From eb320600e154389d828387d2e091cf208e2a1b9c Mon Sep 17 00:00:00 2001 From: k-slonka Date: Mon, 13 Apr 2020 20:33:14 +0200 Subject: [PATCH] first commit --- .idea/compiler.xml | 17 + .idea/description.html | 1 + .idea/encodings.xml | 6 + .../Maven__aopalliance_aopalliance_1_0.xml | 13 + .../Maven__com_beust_jcommander_1_72.xml | 13 + .../Maven__com_google_guava_guava_19_0.xml | 13 + ...__com_google_inject_guice_no_aop_4_1_0.xml | 13 + .../Maven__javax_inject_javax_inject_1.xml | 13 + .idea/libraries/Maven__junit_junit_4_13.xml | 13 + .../Maven__org_hamcrest_hamcrest_core_1_3.xml | 13 + .../Maven__org_testng_testng_7_1_0.xml | 13 + .../Maven__org_yaml_snakeyaml_1_21.xml | 13 + .idea/misc.xml | 21 ++ .idea/modules.xml | 8 + .idea/project-template.xml | 3 + .idea/uiDesigner.xml | 124 +++++++ .idea/vcs.xml | 6 + .idea/workspace.xml | 305 ++++++++++++++++++ README.md | 0 filename.txt | 1 + pom.xml | 38 +++ src/main/java/com/company/AddToFile.java | 41 +++ src/main/java/com/company/CheckPesel.java | 21 ++ src/main/java/com/company/Main.java | 43 +++ src/main/java/com/company/Person.java | 35 ++ .../java/com/company/CheckPersonTest.java | 15 + .../main/java/com/company/CheckPeselTest.java | 18 ++ target/classes/com/company/AddToFile.class | Bin 0 -> 2871 bytes target/classes/com/company/CheckPesel.class | Bin 0 -> 951 bytes target/classes/com/company/Main.class | Bin 0 -> 2223 bytes target/classes/com/company/Person.class | Bin 0 -> 1183 bytes .../java/com/company/CheckPersonTest.class | Bin 0 -> 818 bytes .../java/com/company/CheckPeselTest.class | Bin 0 -> 1003 bytes untitled1.iml | 51 +++ 34 files changed, 871 insertions(+) create mode 100644 .idea/compiler.xml create mode 100644 .idea/description.html create mode 100644 .idea/encodings.xml create mode 100644 .idea/libraries/Maven__aopalliance_aopalliance_1_0.xml create mode 100644 .idea/libraries/Maven__com_beust_jcommander_1_72.xml create mode 100644 .idea/libraries/Maven__com_google_guava_guava_19_0.xml create mode 100644 .idea/libraries/Maven__com_google_inject_guice_no_aop_4_1_0.xml create mode 100644 .idea/libraries/Maven__javax_inject_javax_inject_1.xml create mode 100644 .idea/libraries/Maven__junit_junit_4_13.xml create mode 100644 .idea/libraries/Maven__org_hamcrest_hamcrest_core_1_3.xml create mode 100644 .idea/libraries/Maven__org_testng_testng_7_1_0.xml create mode 100644 .idea/libraries/Maven__org_yaml_snakeyaml_1_21.xml create mode 100644 .idea/misc.xml create mode 100644 .idea/modules.xml create mode 100644 .idea/project-template.xml create mode 100644 .idea/uiDesigner.xml create mode 100644 .idea/vcs.xml create mode 100644 .idea/workspace.xml create mode 100644 README.md create mode 100644 filename.txt create mode 100644 pom.xml create mode 100644 src/main/java/com/company/AddToFile.java create mode 100644 src/main/java/com/company/CheckPesel.java create mode 100644 src/main/java/com/company/Main.java create mode 100644 src/main/java/com/company/Person.java create mode 100644 src/test/java/main/java/com/company/CheckPersonTest.java create mode 100644 src/test/java/main/java/com/company/CheckPeselTest.java create mode 100644 target/classes/com/company/AddToFile.class create mode 100644 target/classes/com/company/CheckPesel.class create mode 100644 target/classes/com/company/Main.class create mode 100644 target/classes/com/company/Person.class create mode 100644 target/test-classes/main/java/com/company/CheckPersonTest.class create mode 100644 target/test-classes/main/java/com/company/CheckPeselTest.class create mode 100644 untitled1.iml diff --git a/.idea/compiler.xml b/.idea/compiler.xml new file mode 100644 index 0000000..79a187d --- /dev/null +++ b/.idea/compiler.xml @@ -0,0 +1,17 @@ + + + + + \ No newline at end of file diff --git a/.idea/description.html b/.idea/description.html new file mode 100644 index 0000000..db5f129 --- /dev/null +++ b/.idea/description.html @@ -0,0 +1 @@ +Simple Java application that includes a class with main() method \ No newline at end of file diff --git a/.idea/encodings.xml b/.idea/encodings.xml new file mode 100644 index 0000000..97626ba --- /dev/null +++ b/.idea/encodings.xml @@ -0,0 +1,6 @@ + + + + + + \ No newline at end of file diff --git a/.idea/libraries/Maven__aopalliance_aopalliance_1_0.xml b/.idea/libraries/Maven__aopalliance_aopalliance_1_0.xml new file mode 100644 index 0000000..30ff5cb --- /dev/null +++ b/.idea/libraries/Maven__aopalliance_aopalliance_1_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/libraries/Maven__com_beust_jcommander_1_72.xml b/.idea/libraries/Maven__com_beust_jcommander_1_72.xml new file mode 100644 index 0000000..ad12dd9 --- /dev/null +++ b/.idea/libraries/Maven__com_beust_jcommander_1_72.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/libraries/Maven__com_google_guava_guava_19_0.xml b/.idea/libraries/Maven__com_google_guava_guava_19_0.xml new file mode 100644 index 0000000..68e23cc --- /dev/null +++ b/.idea/libraries/Maven__com_google_guava_guava_19_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/libraries/Maven__com_google_inject_guice_no_aop_4_1_0.xml b/.idea/libraries/Maven__com_google_inject_guice_no_aop_4_1_0.xml new file mode 100644 index 0000000..d846de0 --- /dev/null +++ b/.idea/libraries/Maven__com_google_inject_guice_no_aop_4_1_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/libraries/Maven__javax_inject_javax_inject_1.xml b/.idea/libraries/Maven__javax_inject_javax_inject_1.xml new file mode 100644 index 0000000..93cf65a --- /dev/null +++ b/.idea/libraries/Maven__javax_inject_javax_inject_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/libraries/Maven__junit_junit_4_13.xml b/.idea/libraries/Maven__junit_junit_4_13.xml new file mode 100644 index 0000000..59fc5c4 --- /dev/null +++ b/.idea/libraries/Maven__junit_junit_4_13.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/libraries/Maven__org_hamcrest_hamcrest_core_1_3.xml b/.idea/libraries/Maven__org_hamcrest_hamcrest_core_1_3.xml new file mode 100644 index 0000000..f58bbc1 --- /dev/null +++ b/.idea/libraries/Maven__org_hamcrest_hamcrest_core_1_3.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/libraries/Maven__org_testng_testng_7_1_0.xml b/.idea/libraries/Maven__org_testng_testng_7_1_0.xml new file mode 100644 index 0000000..54dbda6 --- /dev/null +++ b/.idea/libraries/Maven__org_testng_testng_7_1_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/libraries/Maven__org_yaml_snakeyaml_1_21.xml b/.idea/libraries/Maven__org_yaml_snakeyaml_1_21.xml new file mode 100644 index 0000000..c86e780 --- /dev/null +++ b/.idea/libraries/Maven__org_yaml_snakeyaml_1_21.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/misc.xml b/.idea/misc.xml new file mode 100644 index 0000000..0340661 --- /dev/null +++ b/.idea/misc.xml @@ -0,0 +1,21 @@ + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/modules.xml b/.idea/modules.xml new file mode 100644 index 0000000..b6fec94 --- /dev/null +++ b/.idea/modules.xml @@ -0,0 +1,8 @@ + + + + + + + + \ No newline at end of file diff --git a/.idea/project-template.xml b/.idea/project-template.xml new file mode 100644 index 0000000..1f08b88 --- /dev/null +++ b/.idea/project-template.xml @@ -0,0 +1,3 @@ + \ No newline at end of file diff --git a/.idea/uiDesigner.xml b/.idea/uiDesigner.xml new file mode 100644 index 0000000..e96534f --- /dev/null +++ b/.idea/uiDesigner.xml @@ -0,0 +1,124 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/.idea/vcs.xml b/.idea/vcs.xml new file mode 100644 index 0000000..94a25f7 --- /dev/null +++ b/.idea/vcs.xml @@ -0,0 +1,6 @@ + + + + + + \ No newline at end of file diff --git a/.idea/workspace.xml b/.idea/workspace.xml new file mode 100644 index 0000000..8db3a11 --- /dev/null +++ b/.idea/workspace.xml @@ -0,0 +1,305 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 1586462127137 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/README.md b/README.md new file mode 100644 index 0000000..e69de29 diff --git a/filename.txt b/filename.txt new file mode 100644 index 0000000..97b0446 --- /dev/null +++ b/filename.txt @@ -0,0 +1 @@ +j j j 95070511101 diff --git a/pom.xml b/pom.xml new file mode 100644 index 0000000..69f0ba4 --- /dev/null +++ b/pom.xml @@ -0,0 +1,38 @@ + + + 4.0.0 + + + groupId + untitled1 + 1.0-SNAPSHOT + + 1.8 + 1.8 + + + + + junit + junit + 4.12 + test + + + + org.testng + testng + RELEASE + test + + + junit + junit + 4.13 + test + + + + \ No newline at end of file diff --git a/src/main/java/com/company/AddToFile.java b/src/main/java/com/company/AddToFile.java new file mode 100644 index 0000000..33b0f6d --- /dev/null +++ b/src/main/java/com/company/AddToFile.java @@ -0,0 +1,41 @@ +package com.company; +import java.io.*; +import java.nio.charset.StandardCharsets; +import java.nio.file.Files; +import java.nio.file.Path; +import java.nio.file.Paths; +import java.util.List; + +public class AddToFile { + public File createNewFile() throws IOException { + File file = new File("filename.txt"); + return file; + } + public void DeleteFile() { + File myObj = new File("filename.txt"); + myObj.delete(); + } + + public void addNewData(Person person, File file, List < String > listPesel) throws IOException { + boolean searchList = listPesel.contains(person.pesel); + if (searchList) { + int indexList = listPesel.indexOf(person.pesel); + Path path = Paths.get("filename.txt"); + List < String > lines = Files.readAllLines(path, StandardCharsets.UTF_8); + lines.set(indexList, person.city + " " + person.name + " " + person.surname + " " + person.pesel); + Files.write(path, lines, StandardCharsets.UTF_8); + } else { + try { + FileWriter myWriter = new FileWriter(file, true); + myWriter.write(person.city + " " + person.name + " " + person.surname + " " + person.pesel + "\n"); + myWriter.close(); + System.out.println("Dane dodano do bazy."); + listPesel.add(person.pesel); + } catch (IOException e) { + System.out.println("Nastapił bład przy zapisie."); + e.printStackTrace(); + } + + } + } +} \ No newline at end of file diff --git a/src/main/java/com/company/CheckPesel.java b/src/main/java/com/company/CheckPesel.java new file mode 100644 index 0000000..0360ed8 --- /dev/null +++ b/src/main/java/com/company/CheckPesel.java @@ -0,0 +1,21 @@ +package com.company; + +public class CheckPesel { + final char[] peselNumber; + + public CheckPesel(String pesel) { + peselNumber = pesel.toCharArray(); + } + + public boolean check(String pesel){ + final char[] peselNumber = pesel.toCharArray(); + if(pesel.length()==11){ + final int sumaKontrolna = 9*Integer.parseInt(String.valueOf(peselNumber[0])) + 7*Integer.parseInt(String.valueOf(peselNumber[1])) + 3*Integer.parseInt(String.valueOf(peselNumber[2])) + 1*Integer.parseInt(String.valueOf(peselNumber[3])) + 9*Integer.parseInt(String.valueOf(peselNumber[4])) + 7*Integer.parseInt(String.valueOf(peselNumber[5])) + 3*Integer.parseInt(String.valueOf(peselNumber[6])) + 1*Integer.parseInt(String.valueOf(peselNumber[7])) + 9*Integer.parseInt(String.valueOf(peselNumber[8])) + 7*Integer.parseInt(String.valueOf(peselNumber[9])); + if(sumaKontrolna%10!=Integer.parseInt(String.valueOf(peselNumber[10]))){ + return false; + }else{return true;} + }else{ + return false; + } + } +} diff --git a/src/main/java/com/company/Main.java b/src/main/java/com/company/Main.java new file mode 100644 index 0000000..16557ff --- /dev/null +++ b/src/main/java/com/company/Main.java @@ -0,0 +1,43 @@ +package com.company; + + +import java.io.File; +import java.io.FileNotFoundException; +import java.io.IOException; +import java.util.ArrayList; +import java.util.List; +import java.util.Scanner; + + +public class Main { + + public static void main(String[] args) throws IOException { + int answer=1; + List listPesel = new ArrayList<>(); + + new AddToFile().DeleteFile(); + File fileName = new AddToFile().createNewFile(); + while (answer==1){ + final Scanner scan = new Scanner(System.in); + System.out.println("Podaj miasto"); + final String city = scan.nextLine(); + System.out.println("Podaj imie"); + final String name = scan.nextLine(); + System.out.println("Podaj nazwisko"); + final String surname = scan.nextLine(); + System.out.println("Podaj PESEL"); + final String pesel = scan.nextLine(); + Person person = new Person(city, name, surname, pesel); + CheckPesel chcek = new CheckPesel(pesel); + if(chcek.check(pesel)==true && person.checkPerson()==true){ + new AddToFile().addNewData(person,fileName,listPesel); + }else{ + System.out.println("Podano zły pesel lub nie podano wszytkich danych"); + } + System.out.println("Wpisz 1, jeśli chcesz dopisać kolejną osobę lub jakąkolwiek inna cyfre, aby zakonczyć"); + answer = scan.nextInt(); + + } + } + +} diff --git a/src/main/java/com/company/Person.java b/src/main/java/com/company/Person.java new file mode 100644 index 0000000..86301c6 --- /dev/null +++ b/src/main/java/com/company/Person.java @@ -0,0 +1,35 @@ +package com.company; + +public class Person { + String city; + String name; + String surname; + public String pesel; + + public Person(String city, String name, String surname, String pesel){ + this.city=city; + this.name=name; + this.surname=surname; + this.pesel=pesel; + } + + public boolean checkPerson(){ + if(city.isEmpty() || name.isEmpty() || surname.isEmpty() || pesel.isEmpty()){ + return false; + }else{ + return true; + }} + public String getPesel() { + return pesel; + } + public String getName() { return name; } + public String getSurname() { + return surname; + } + public String getCity() { + return city; + } + public String[] getPerson(){ + return new String[]{city, name, surname, pesel}; + } +} diff --git a/src/test/java/main/java/com/company/CheckPersonTest.java b/src/test/java/main/java/com/company/CheckPersonTest.java new file mode 100644 index 0000000..a20c17a --- /dev/null +++ b/src/test/java/main/java/com/company/CheckPersonTest.java @@ -0,0 +1,15 @@ +package main.java.com.company; + import com.company.Person; + import org.junit.Test; +import static org.junit.Assert.assertFalse; +public class CheckPersonTest { +Person person = new Person("", "name", "surname", "950707"); + Person person2 = new Person("", "", "surname", "950707"); + +@Test +public void checkPerson() { + assertFalse(person.checkPerson()); + + assertFalse(person2.checkPerson()); + } +} \ No newline at end of file diff --git a/src/test/java/main/java/com/company/CheckPeselTest.java b/src/test/java/main/java/com/company/CheckPeselTest.java new file mode 100644 index 0000000..ab43523 --- /dev/null +++ b/src/test/java/main/java/com/company/CheckPeselTest.java @@ -0,0 +1,18 @@ +package main.java.com.company; +import com.company.CheckPesel; +import com.company.Person; +import org.junit.Test; +import static org.junit.Assert.*; +public class CheckPeselTest { + + @Test + public void checkPesel() { + Person person = new Person("city", "name", "surname", "95070511123"); + Person person2 = new Person("city", "name", "surname", "950705"); + + CheckPesel chcek = new CheckPesel(person.pesel); + assertFalse(chcek.check(person.pesel)); + assertFalse(chcek.check(person2.pesel)); + + } +} \ No newline at end of file diff --git a/target/classes/com/company/AddToFile.class b/target/classes/com/company/AddToFile.class new file mode 100644 index 0000000000000000000000000000000000000000..2497834e809c232acc5c8134d96d96df45a1061d GIT binary patch literal 2871 zcmbVOTW}Lq82+}oY#O$u1X>!fkOE4}rBM{b29Zmt7DHQYp%hfyX1DD^vI(0FZ7Pa_ z_xoM(`sk}LP75;n#)~t)_~<(`4C9k8@ZdOpXLlPmZE;33le7Q%_y521-_QQ?_m4jV zcmUrGVN}Lg6wMfqz!1;lVmVQZEOHT;QRGn&;wNQT5fr0ng)O5b zb<4hh;9w4BUy4{>3l}b9#Sns>^)}C9yf{- zmZvlMT$2BSYL=6`HEl58ZDjS7gu0BStG3>&PY8yDhPL+farKm%H1bJLBsl84sh`V_ z?wic$1>4A*JR#bpXLVb5K|;B5-^g(?RZSyumujmLwzgFn(XU&@yqT&7b$2D($R^W9 z(M}16YYkJ=C&gBR)WZN2RC`>)GFR55ox;9kKe_ZLlx19KPs3a&36WfR$TDo*swjIt zx?Czt3_xSWqMp8rgM1e*I0g${e=I#WXl9lc_UlDGOTUY{YGuYfn~z9ns?JThFq@xs zbIjb~?z5^nmK?Ax!yHTP6j>Uu)y#hu!9D*yt_z+bVjUgGm#mDJ z#rjH}bcl*ja1Yi?Q2f=wtq8uU=JXDG(iS2U3MNsOF{R)+oR;ytf){W`!Hal_S#gwo z-pM$t;2d6-@rr_1@tTa+6}*8rS+a9Q_mqsRrdtZ$!f6F>;~nNJq2OJydrvIy;{ydB z;v)&oj&7wi`U<+)=M<`fkMRjx#qWcgePQ~iM2rd%`tTXn%lKTud3?c?cd4eH(DIsU z=J`mBs8i(*8DA>+O2~eVGZL20V*1KPLQAh&wAF%f^=x9~>RDAw6s)OoVv3xip?4_w zMufD{Ur?2xS4E5Mq-WRwjcxM_L?kY(Iql~R3D#T8kLZ>tTchAsXKX%%gnGyPO6Rd# zGI`Th4bj_Ghq{)e+IfoXbY|b^9VFPRZcr+T5Ist~z?e=~QVY0pbiQfcII6;6N3>Q_ zoEcZGqHeQYR8vzeZLhoMIiZ7t-N&{|SmB}yh*@Dzh(L2p6a$Q^3a6sBJDU|#mfKyP zt<_fC8P+qp5>B2I%oui=iBb!!Moq%z1>E=4eEs1Sz0em;#+VmNmPG6AaI&oSr13NP2yhS-N$#rs8;^BR18ad z*bP4HX@rJu+gMKtU@`FcZt}DUHE(U+_yfY1A=hAA zurYWZC65syBNPh+enRafL_5Rr@I@@T1f^4s%Z;Hcs2iR^J#`mPqan703+b4TUh1R6 zq^Gg$G8zLIiihJep$Ob`3CoAR!^9Od4{r+2Al?~@{}1HY3KxDFE90SQ+;tHxu~pMp z?KzUD0S}3Fh6H~N`9f&z1;+UtuHiZ(9(1z3A2G&b8S~W0I5wdL%NeO=W+RT1Sivi9 zB{f=jC9T4*SdHJ1!0*()#*(^@HMoJb_>%>8lUgFvqs&PQGT4R(5hCv}w&Nkz)E4xj z6Di0<8o~}d%(plAMY$7?kYYx>ya{R-cGFHli7FA5bPIc^8=#ecU@vPgh<)UWs&a9= liR(&rQ|eLDbqM^0b4)nDR$J?Rh4vU<)}V(6?02Zg{{f*R(DwiU literal 0 HcmV?d00001 diff --git a/target/classes/com/company/CheckPesel.class b/target/classes/com/company/CheckPesel.class new file mode 100644 index 0000000000000000000000000000000000000000..1e9a3efaac1c85e0bb300eb9a83e637b6fdfce44 GIT binary patch literal 951 zcmZ`%%Wl(95Ixt=#Bmb0(9i-clxLEf2BhwaDxpY75h+Cq>H;?07?Zel?8tE{^)I?+ z!=pmkWWxfeSnvUS5)z2HPKYbfE_)+;DLb*t{PazN)|e<3Gccv zs|If1rjA=WZZqWi?!fh*4ts4kVvt_e8MKF9;Kf@E3+3h>Kj1Z=2c23gj=Z3=S=nV! z>fvjbq1f~ScY=J*+dgIHo1w$~T^@NNo+g#J>kSwdn@-rP(bwm}VXfYEo&9HG^d^Iv z>>%L(jiW{(35 zuZxi;a=4@8u8A@#CbBS0RIz5l#yuVDCTh6PP&%6cgO#qm)82EPm_aTJp-vr4GNpdQh1J5 z4Xn~T$k5}U#RNz4B=U1G$>u3)P%P0uU;PGFUHb~@3*^s9S%GW;GFc4@wK1ttk)qNB zKn>?8A}Ho@f!Z-##KNR4TOx!)XCu*Zn~Y-R-s7#6wdIWys3*|6mToKm{8yT-&V0qz zWmN8AdgDQ`eu{@?#Wg-i#5K)jm zD$y+vwIi}0i1snj{X|5C09B%PL{v+-l*luhNimvAq2gnrwuD8hHzEDTv5qD7E0O6k IQcK`qY literal 0 HcmV?d00001 diff --git a/target/classes/com/company/Main.class b/target/classes/com/company/Main.class new file mode 100644 index 0000000000000000000000000000000000000000..06e5d2e22d1a4f7f59981ab7d4516a8a2ef22bfc GIT binary patch literal 2223 zcma)8-*XdH6#lkNyPNG&N`KLS*hNtb76KyvXe)@cV5Ke9V6ptto6WY}WV0K0HRcgDAbyC4Eb$_-^IT5&>Yv;1?R|;08aZw@M~!C(^04JZH3|I0QQACFrbB924U$zy#SU$kp;YW3 z^oiNO&7b*LOVAE$#j;BZhPIi{tk_m*ULweQMX=0(`kvx5@r4(z+JORPT0z=%!YDqb zpr<8<8LA1SEjg||7dzUWSRFrmmO9!7w^LcGJfic!V>lB}hJTXhcjO{rm1adH&Vq`( z3QNUt6$J(Q)J@B-M2_!;D#((a^Q(bn%bV%5OwAEVsexxP%s69uWA0A}fv8F66%4dy z^K_wb(U->!EMeHdGFBAq+B(_ zJ+V1uhkkV@f+y;$PFV64bR~-Usoc~ItDx1NB%AUYdOFx@*-E6e@}eqk7+A$k12xnY z9Fw4)Z`SXBQ8VL`G2Lq3^c>r)B$m~%UW-bOwPJE(&0?TeE>)bcZXO#oi}wBRT*s6p zL`A`;UToemOTKFtz0KREANu*tZ)3!wC~e-RVb!rqrsH|Sv}#L%J!*=4&8&-(?^*TQ z<{bl{;d2&GYYZ|$RBcuLg?!Pr7-{zw<4KZ?SkWk|ZAX$f_9(gBF@2#~6eNDqcI~K9 z5Id~E7Lh$?uON8k@?up6I_;4{ zw(4o@$20uSkbiAZ8GedP0oSpc<4%Uz1NnW}k3KTiPXY#T6oYt! z_EQ+bIb<-0Jy^hATqDCaId;kJE$;pbCcY=y&m`_wlJ+}k`x7Jh3kPFbE2QoyzQIe> zrHJ+=^@q?wyeeMis*{LI80AVO<|Q2FN+a@F9AVbd#2@GRWAu*+z$-Y;xefRE^WX#% zrt`kn@H#ca_=*0$iFS-}hfHQ-8>el8nn|P{u)C{xOU22D_!?a*PBlaonu@nu{(Duj Z0QDhxNo{Q-%4vR5#D0gLGhDY}@?VryCrJPR literal 0 HcmV?d00001 diff --git a/target/classes/com/company/Person.class b/target/classes/com/company/Person.class new file mode 100644 index 0000000000000000000000000000000000000000..e99d18fb3b1d0d8d88f211c9f609442bacd1ad51 GIT binary patch literal 1183 zcmbVK%Wl(95IxtAxJjI*O;bWB6wK3cQ?ls}#HNBxL#bp`DX?;kmAFlu$Z?Re<2T?N zx&VoQgajYJMD zZJ=Sm5zzcFnF&OG>n$QuR%#$+0&NFN82U?7lt97bXCMBvC? zt^2=7fFhOl$oB@PUL5jy5!8}?I1#9}{n4;R-`I<0 zt&@PRf1+Cj70yA9Y@TND<111>6I--WozNED9HgC4#WtNd zpP2}Wn2RD2vr#2tJ{sL$L2bdNPoDFe&SxlJkoy3OkSbA)kS0-ykWT15boD~JpnO&G zTbF$|VbjSW7R<>^`z<(KrHBT_<|%dJmuk==&r@~o`;kDpZcYYkm)huyHUc}D#p-f# zJ+t_FX0f(hypvgcBePgpF5b;7-o?$u&PP&tz%stW=%}>0;&wE0s@q{5G`EvuIo##O O)}_YQUp;O~)vVuq0KL!v literal 0 HcmV?d00001 diff --git a/target/test-classes/main/java/com/company/CheckPersonTest.class b/target/test-classes/main/java/com/company/CheckPersonTest.class new file mode 100644 index 0000000000000000000000000000000000000000..6c2e2843285b4bc8b732039606813224f1c31253 GIT binary patch literal 818 zcmbVKU2D`p6g`tolWaEqFz#yWSFKvRyS2?CN|B0C3iZLD#g+vNKFr2pJL_gr@*(tR z32H^bAK;G??<8wi`XoNg;og(nbMCz}KYxAu0pJmOE@pAl#w`cS4sJVGad5|lfxC5h zxTj%Nr}tf~p>3mMqsw5Oh%`$SLo2;xU8H>KmB#G`QmE=5^i6YH~FiD5OsG#P8NwIs76lo;(r0%DAL3~rY zd$N++F6lSk@e(pZ>zVZ%ho;2Vcx@nhDAKEvEgA80mJG)4M!c9 z^N+b22CoJq5#qG-wmFCc?{wbsv#;^INKjT|xus_53L)Ffy`o~hF zNjQfZ;S5YzxJp7T%DhSrSBTL|UnDD|w0cgNwrX`h!TAFBD;gBltLUO=1Nz}N$zm$n Tnusouh(%J@N`vc!25$TYJ=U^A literal 0 HcmV?d00001 diff --git a/target/test-classes/main/java/com/company/CheckPeselTest.class b/target/test-classes/main/java/com/company/CheckPeselTest.class new file mode 100644 index 0000000000000000000000000000000000000000..9184f19a905dfc6e46695d38ef36efff30b05bbb GIT binary patch literal 1003 zcmbVK>uwT36#jb1zz&|Pn8vDtM{%shu^z|cI5t#-@Fb3` zf?R^kyov-ir6{N^4kSNdFp)_-)}aY#2RL*m}o&Z|auQlYif|FZFtxTNlUN@uwX%SLImVCP-aZs~8D9d7vyud>D% zJn>y&xAy)Ik?$eem)9q+|5j0k`9HJ@l7egg%lSF69^c2l=W&-0wrOFq(+`jnvss?hhQIr<5`li0E}Ud?9?a#^H?B{VUdzULGlNRix5`7 zLg-8jYY{D~#fDIf2|rH$>nkMAHa|ffB6(Dl#%H9yV5(TSF~_Y}s3@Qk$0RbCLV=jq vi2DJxm2~o>me+LB*v1l;iB<+{SRpG!8H>1!dkEt`#miA1kY;#DGK9=eI4I_^ literal 0 HcmV?d00001 diff --git a/untitled1.iml b/untitled1.iml new file mode 100644 index 0000000..b55f154 --- /dev/null +++ b/untitled1.iml @@ -0,0 +1,51 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file