ium_444463/data_test.csv
Mikołaj Pokrywka 787daebe27 dvc refactoring
2022-06-05 17:08:06 +02:00

6.7 MiB
Raw Blame History

17484,Sub-prime Automotive Finance Analyst/Underwriter,"US, NV, Las Vegas",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","West Coast Service Center Sub-prime Automotive Finance Analyst/UnderwriterTidewater Finance Company located in Virginia Beach, VA is looking for a Sub-prime Automotive Finance Analyst/Underwriter for our West Coast Service Center located in Henderson, NV.  Tidewater Finance Company is an industry leader in indirect consumer specialty financing since 1992.  We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork, and an uncompromised level of customer service. Responsibilities for this position include:Analyze non-prime credit applications and credit bureaus for stability, ability and willingness of applicants to make on time installment paymentsEvaluate loan to value ratios for automotive collateral, payment to income, debt ratio and degree of the applicants credit worthinessApprove or deny auto loan applications submitted from third party new and used automobile dealersNegotiate and structure repayment terms with dealers according to the level of risk involved and programs offeredDevelop and maintain relationships with independent and franchised auto dealersProviding excellent customer service to our dealer partners The job requirements for this position include:Must be able to travel to our Virginia Beach, VA office for trainingMinimum of three years of prior automobile underwriting experienceGood judgment, problem-solving skills and decision-making abilitiesStrong negotiation and communication skillsKnowledge of fair lending laws and the regulatory environmentOrganized with the ability to complete multiple tasks under minimal supervisionExcellent communication skills with the ability to cultivate dealer relationships and trust We offer a competitive salary and a comprehensive benefits package including:Medical, dental and vision benefitsDisability insuranceSupplemental life insuranceAFLAC supplemental insuranceLong-term care insurance401K retirement plan with a company match.Paid vacation days including 40 vacation hours after 6 months of employment and 80 vacation hours after 1 year of employmentPaid personal, sick days and holidaysQualified candidates should submit their resume and salary requirements to Tidewater Finance Company, 6520 Indian River Road, Virginia Beach, VA 23464, Attn: Human Resources. Resumes can also be faxed to #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b# or e-mailed to #EMAIL_dea7f54ac43c6812ccbfa048a992eca4e8ca253b1ee04c7bcff4b19923bd3a05#.Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services."," The job requirements for this position include:Must be able to travel to our Virginia Beach, VA office for trainingMinimum of three years of prior automobile underwriting experienceGood judgment, problem-solving skills and decision-making abilitiesStrong negotiation and communication skillsKnowledge of fair lending laws and the regulatory environmentOrganized with the ability to complete multiple tasks under minimal supervisionExcellent communication skills with the ability to cultivate dealer relationships and trust","Our company offers a competitive salary plus BONUSES as well as a comprehensive benefits package to our full-time employees including:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.",0,1,1,Full-time,Mid-Senior level,Unspecified,Financial Services,Financial Analyst,0
15990,"Field Sales Representative - Sales - Newnan, GA","US, GA, Newnan",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Field Sales Representative is required having responsibility to identify and grow opportunities to serve as a supplier for non-traditional spare partsC - 41Job Responsibilities: Identify and grow opportunities to serve as a supplier for non-traditional spare partsSupport inside sales spare parts team activities including, but not exclusive to identifying parts, processing orders, and tracking orders and interfacing with customers for parts needsMarket and support of service team sales activities;sales activities include, but are not exclusive to repair, breakdowns, preventive maintenance, retrofits, robots, material handling equipment and proposal developmentMaintain and improve relationships with longtime customers as well as finding and developing new customersDevelop and maintain spare parts marketing documents and toolsCooperation with internal interfaces, both in the US and in Germany (Sales, Spare Parts, Service, Engineering, Project management, Procurement, Manufacturing)","3 years of experience in field sales specifically growing customer base in one of the following areas: handling equipment, conveyor, drying technology, or process equipmentWillingness to travel 40-60%Ability to read and decipher parts within technical plans, drawings or modelsExcellent Communication and Negotiation skillsEducational Qualifications:Bachelor's Degree in Engineering or a related technical discipline We Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,0,0,0,Full-time,,,,,0
7774,Consumer Lending Sales Specialist,"US, MA, Woburn",,,"Outstanding Member Service Starts With Outstanding PeopleIf you are committed to the concept of “above and beyond” and enjoy interacting with people either in person or on the telephone, then we want to talk to you.Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.","This position is primarily responsible for the timely and accurate review, evaluation and processing of loan packages and supporting information received; funding said loans; completing new loan on-boarding; and successfully presenting and closing all cross-sell opportunities with members.  Provide needed assistance to others within the Indirect and Direct consumer lending areas as available.Duties and ResponsibilitiesInitiate contact with borrowers to review details of approved loan(s), payment information and to review applicable cross-sell opportunities identified.Provide any applicable insight into credit improvement or maintenance based on credit report details during calls.Timely generate and submit loan funding packages to borrowers via email or through specified lending portal.  Answer and address any questions or concerns presented via phone or email.  Document additional details on LOS as needed.Receive, review and verify validity of all incoming, supporting loan documentation delivered through managed electronic lending portals, queues or other avenues of receipt.Timely scan, upload and/or file documents received to applicable loan files on LOS.Follow disclosed call-back schedule for any loans approved and not yet funded.Establish additional loan applications to document accepted cross-sell opportunities.  Complete loan funding process with borrower(s).Provide needed assistance to other team members to ensure team meets documented production and service expectations.Mentor other team members to develop and/or maintain expert level processing, sales and communication skills and knowledge.Maintain expert level knowledge of all Credit Union products and services.  Be able to explain to borrowers or other parties as needed.Adhere to all local, state, and federal laws/regulatory requirements as related to functional areas including the Patriot Act, Truth in Lending, Reg B, Reg Z, and Bank Secrecy Act.Follow all BSA regulations, including completing CTR reports when applicable, reporting suspicious activity via SARs when applicable and completing annual training.Timely and accurately report individual performance reports.","Essential Skills, Knowledge, and RequirementsAdept at utilizing most current technology and software to process loans requests.Strong commitment to providing excellent member service with a “what ever it takes” attitude.Able to positively influence financial behaviors in members to improve credit and financial standing.Able to present cross-sell opportunities and successfully close in a timely manner.Previous sales or retail experience required.Able to work remotely outside of Credit Union offices on a regular or as needed basis.","Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement programAnnual incentive bonus & moreEOE",0,1,1,,,,,,0
11691,Maintenance Technician,"US, MA, Boston",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Job Title: Maintenance Technician  PLC maintenance experience -A Maintenance Technician is required having 5+ years industrial maintenance experience in a manufacturing environment is required.Job Requirements & Qualifications Required:5+ years industrial maintenance experience in a manufacturing environment is requiredPLC skills are not necessary, but would be a plusPlastics and/or extrusion experience not necessary, but also would be a plusAbility to calculate figures and amounts such as proportions, percentages, area, circumference and volumeAbility to apply concepts of basic algebra and geometryJob Responsibilities:Maintain facility and equipment. Perform repairs, modifications, installations, etc. of complex electrical, hydraulic and pneumatic systems.Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#",,,0,0,0,Full-time,,,,,0
274,English Teacher Abroad ,"US, IL, Evanston",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
2423,Mystery Shopper - Ohio,"US, OH, Athens",,,"SDI Marketing started in 1988 as a small marketing agency with one simple philosophy: make our client's business our business. Our approach is what makes us one of Canada's most innovative marketing companies, and we take pride in continuing to represent our original clients. Our dedication to maintaining and growing relationships leads to better access to markets, innovative programs and proven results for our clients.","Do you consider yourself to be Tech Savvy? Would you like to get paid to use your Smart Phone?... We've got the job for you!SDI Marketing USA is currently looking to hire Mystery shoppers to complete a checklist at various retail locations, by using a smart phone! You will be responsible for visiting VARIOUS high-volume stores in Ohio, ensuring that all products are fully stocked for one of SDI Marketing's top-tier clients.Company: SDI MarketingTitle: Mystery ShopperPay Rate: $20.00 per store visited (More Shops Visited, More Pay for you!)Duration: All mystery shops must be completed between May 16th - June 6thLocations to complete Mystery Shops: (More than 1 store for each location)- Athens- Nelsonville- Canal Winchester- Delaware- Dublin- Columbus- Bellefontaine- Marysville- London- Heath- Marion- Bucyrus- Defiance- Tiffin- FindlayEach Mystery Shop takes approximately 15-20 minutes.","- Reliable Access to a vehicle (willing to drive) - Valid driver's license- Owner of a smart phone with Data Plan & Camera- Comfortable with using ""apps""APPLY TODAY!1.If this sounds like the job for you, please send your resume in a Word or PDF Form by replying to this ad!2. Please include ""(YOUR LOCATION) + MERCHANDISER"" in the subject line",,0,1,0,Temporary,Entry level,High School or equivalent,Marketing and Advertising,Other,0
17684,Assistant Accountant/immediate start,"AU, NSW, Sydney",,25000-30000,,"Our organisation is seeking students / graduates with a finance, business or commerce related degree qualifications. We want to hear from you if you are seeking experience in genuine, professional environments. We have several exceptional positions available for immediate start. You will be degree qualified or partially through your degree with good attention to detail as well as good communication skills. You will also have strong organisational skills, a willingness to learn coupled with exceptional numerical skills. Position: You will ultimately be responsible for supporting the accounting team in general finance admin responsibilities. This will include high volume data entry that will require phenomenal attention to detail and strong Excel skills. You will be responsible for working proactively to investigate and resolve queries with internal stakeholders.",Requirements: You must have commenced either your CA or CPA studies Excellent communication and interpersonal skills are essential Exposure to purchase ledger reporting & a demonstrable understanding of basic accounting principlesLarge ERP system exposure is definitely advantageousComputer literateAbility to work under pressure and meet deadlinesHigh level attention to detail including strong numeracy skillsA mature and logical approachProblem solving skills,"If you are interested, please send your resume in word fomat only.",0,0,0,Part-time,,,Accounting,Accounting/Auditing,1
11812,Field Operations Specialist,"US, IA, Mason City",,,"Working at FBNAt FBN, we put farmers first. For every decision we make, we consider its impact on farmers big and small. Only after this has been considered will we consider the impact of our decisions on FBN, followed by our fellow team members, and finally ourselves. Before making a decision, we listen to farmers and learn about how implementing it will impact them.While making a decision or working on a project, we prefer working in small teams to make sure our employees feel empowered and own their decisions. Finally, after our decisions are made, we communicate those decisions to our farmers and to the rest of the team.FBN employees are a diverse group with a common passion for agriculture. We respect humility, value honesty, and despise entitlement. We see farmers as hackers and entrepreneurs, able to fix things with duct tape and baling wire while predicting the weather and analysing their financial position on the commodities market. Just like farmers, we believe that if something is broken, its better to fix it than to complain about it.All FBN employees, regardless of the position, will spend meaningful time visiting farms, to meet with some of our founding and network farmers in order to discuss their equipment and data collection practices.As a Silicon Valley based company with a Midwestern culture, we believe in the power of getting things done, and understand that creativity is fostered by trust and the ability to focus. As a result, meetings are avoided and we respect everyones right to at least one day a week without meetings. Thursdays are considered “no meeting days.”Finally, there are no shrinking violets here. The team consists of many people with deep experience in agriculture, technology, data science, machine learning, physics among other areas. So be prepared to offer opinions!Interviewing at FBNOur interview panels are as diverse as our employees. You may be expected to interview with an agronomist, a physicist, a data scientist, and because of our strong focus on farmers, we expect every FBN candidate to interview with one of our founding farmers, advisory board members or network members. As a result, our interviews will take you out of your comfort zone. Be prepared to be asked to solve hard problems that may seem daunting, to be asked questions that will test your curiosity, and to meet really awesome people.If FBN sounds like a place where you would like to work and if you're excited about making a difference in the lives of thousands of farmers, take a look at our current openings.","Farmers Business Network (“FBN”) is an advanced information and decision support system serving farmers and their trusted advisors. Farmers Business Network gathers disparate data from its farmer Members, analyzes the data, and converts it into new insights and perspectives, previously unavailable, that can help farmers make better decisions, manage risk, and ultimately increase the profitability of their farming operations.  We're seeking a full-time, Iowa based Field Operations Specialist. Field Operations Specialists are the front-line of our company, bringing our vision and services to farmers and ensuring they have a stellar experience with FBN. This job will cover a region including a large portion of north, central IA and the lower tier of south central MN .  Some travel will be required within that geography. The applicant should live in Mason City or relatively near the Mason City area.","Things we look forHonesty, integrity and a passion for agriculture and deep respect for farmers.Everything we do is focused on understanding the needs of farmers and empowering them, we measure our success directly by their successStrong communication and interpersonal skillsGood planning and organizational skillsHighly motivated and the ability to work independently, as well as with team members.Solid experience/knowledge of farming/agricultural industryAbility to work in a complex and constantly changing environment.Ability to learn and be proficient in operating new software systems.Driving is required, as is a good driving historyThings you'll doProactively identify, and recruit high potential farmer and professional crop consultants as FBN Members.Cultivate relationships with farmers and other stakeholders (e.g., professional crop consultants).Participate in all training provided by FBN and make efforts to learn different farming systems.Communicate with farmers or professional crop consultants in person, by phone or by email, as appropriate.Organize and conduct farmer meetings to recruit members Document and report suggestions from our members to improve FBN.Some travel within your region will be required.Some travel to FBN office locations in Iowa and California will be required at times.Use software provided by FBN to document all customer interactions.",Competitive compensation based on experienceHealth insuranceStock optionsCompany VehicleFarm visits!,0,1,0,Full-time,Director,Bachelor's Degree,Farming,Customer Service,0
2348,Executive Search Consultant ,"CA, ON, Greater Toronto Area",HR,,"MMR Inc is one of Canadas highest accomplished recruiting firms today. Our team of consultants find all management and executives who best fit your business and share your passion for growth and success. We work closely with you to understand your companys requirements, culture and business strategies to develop a high-level candidate profile and source-action plan to find superior, top-qualified candidates in less time. Our success is built on complete confidentiality, comprehensive service and a commitment to client relationships. Our team delivers the strongest talent to every organization we work with- from small independent businesses to major corporations. We work hard to give our clients specialized, industry focused, full staffing services and HR solutions. Most of all, we proactively seek better employment strategies to help ensure both our clients and the candidates recruiting success.","Careers With UsWe are passionate about finding Jobs for talented people. See why this Career Path could be right for you!Your Future Begins NowAt Modeste Management Recruiting, were always looking for highly motivated, energetic and talented individuals to join our team.The MMR team enjoys a sense of pride in our and our clients are delighted with our personable, knowledgeable andhighly professional staff. We demonstrate a commitment to excellence while maintaining a safe and professional environment; its the MMR way!We recognize that our employees are our most valuable assets and the success of MMR is a direct testimonial to theircontribution and interaction every day. As a managed-service provider MMR strives to provide exceptional services to ourclients and an enjoyable place to work where team members are given entrepreneurial opportunities to learn and grow.“Putting people first” means opportunities that are second to none. If you have the talents and the drive to play a significant role in helping our clients and our company succeed Modeste Management could be the start, or the next step of a highly rewarding career.ResponsibilitiesListen to the client and understand their precise demands in terms of candidate skill setImplement search using internal (database) and external (internet) methodsConduct candidate interviews at application or registry stageActively search for new candidatesActively seek to grow executive search company business by partnering new clients","This role is ideally suited to individuals who like to work in a fast paced environment, are outgoing, and are serious about building their career. You will have excellent communication skills, show a relentless desire to succeed, and display a talent for getting the job done to meet clients needs.Desired Skills & Experience:· 2-5 years recruiting experience in the staffing industry;· A track record of demonstrated recruiting success;· Ability to build long-term and trusting business relationships· Excellent communication and problem-solving skills;· Team-oriented;· Must be internet savvy and fully conversant with Microsoft Word, Outlook and Excel;",,0,1,1,Full-time,Entry level,Unspecified,Staffing and Recruiting,Consulting,0
9979,Visual Designer,"GB, LND, London",,35000-50000,"At FutureLearn, we want to inspire learning for life. We offer a diverse selection of free, high quality online courses from some of the worlds leading universities and other outstanding cultural institutions.Our aim is to connect learners from all over the globe with high quality educators, and with each other. We believe learning should be an enjoyable, social experience, with plenty of opportunities to discuss what youve studied, in order to make fresh discoveries and form new ideas.FutureLearn is being built by experienced educators, disruptive thinkers, entertainment experts and geeks.  Our team combines years of experience building great online and mobile experiences for consumers globally.We are a private company wholly owned by the Open University, with the benefit of over 40 years of their experience in distance learning and online education. Our partners include over 20 of the best UK and international universities, as well as institutions with a huge archive of cultural and educational material, including the British Council, the British Library, and the British Museum.We are growing fast. We need people who believe that the opportunities of a great education should be open to everyone. We need people who want to be part of the challenge to break down barriers and inspire millions of people to continue their learning journey throughout their lives. And we want people interested in working for an innovative web company in a fast-moving sector.  Bring your skills, pioneering spirit and collaborative instincts, join our team and be at the forefront of this amazing adventure.","A unique opportunity for the right person to work within our design team on a broad range of things, from the visual marketing and promotion of our digital product to the design of the product itself.  The ideal candidate will be able to fulfil many of the following key responsibilities and tasks:Design visually compelling marketing campaigns from initial idea generation to the delivery of visually rich and effective design solutions that work in digital applications and print.Work collaboratively within the product team to develop new features or evolve existing functionality throughout the project lifecycle from initial brainstorms, sketches and idea generation to the delivery of visually rich and effective design solutions.Design inspiring printed collateral to promote the courses, such as posters, leaflets and direct marketing as well as clever merchandise for learners and our university partners.Design and deliver email templates, MPUs, banners, social media assets and other digital marketing assets to promote FutureLearn across a variety of digital media channels.Source fabulous imagery which directly reflects the rich content of our courses and the brand, showing an appreciation of great photography and how it can be used to bring a design to life and promote an idea.Create simple, clear, bold, on-brand info-graphics to communicate key visual information.Create consistent design guidelines of all new templates and design patterns for an evolving brand style guide, as well as champion the design of templates for corporate PowerPoint and Keynote presentations (when needed).Contribute and present your work fortnightly at whole company meetings, taking on feedback and adjusting designs as required.","You must have at least 4 years experience working in design with a deep understanding and a keen eye for visual communication, holding a degree in design (or relevant experience).Your work will show a strong visual flare, with a portfolio of fresh ideas that are conceptually clever and aesthetically delightful.You will be highly creative in your approach to design, whilst also demonstrating the ability to work within a brands specific guidelines and always showing a keen attention to detail.You will be skilled in creating visual designs that work across all platforms showing a broad knowledge of different types of design requirements and outputs from digital delivery to the printing process. You will be aware of current design trends and patterns and find ways to introduce these into your designs at every opportunity.You must have demonstrable experience in the Adobe CS Suite and be at ease working with other designers and different departments in the company.You will be an excellent communicator, with the ability to get your ideas across easily, whilst also accepting specific direction and being flexible to changes.You will be super passionate about what you do and committed to making excellent work, showing motivation and energy to complete the tasks to the best of your ability, and developing your skills at every opportunity.You will enjoy working collaboratively in a multi-disciplinary team, being flexible to changes and specific direction, whilst maintaining a positive attitude.You will be comfortable working on a variety of different tasks at the same time and happy to switch focus when needed.Quite simply, you will be a delight to work with, and well wonder how we ever worked without you!",We're constantly looking to add to the range of benefits that we offer staff at FutureLearn:Great annual leave allowanceFlexible working allowedPaid time off allowed for charity workPaid for work related training & developmentInterest free season ticket loansChildcare VouchersCycle to Work scheme,0,1,1,Full-time,Mid-Senior level,,Education Management,Design,0
4503,English Teacher Abroad (Conversational),"US, IL, Carbondale",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500+ monthly ($200 Cost of living)Housing providedAirfare providedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferred, but not necessaryCanada/US passport holders only",See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,Education,0
14657,Customer Experience Concierge,"US, NY, New York",Customer Service,,"Cleanly is the easiest way to get laundry done. At the tap of a button, users can schedule an order and have a Cleanly Valet swing by to pickup and deliver their laundry, with payment and tip charged to their card on file.We're powering local laundry delivery at scale through the use of technology and are looking for super smart people to join our team and help us revolutionize an old industry.","Cleanly is looking for amazing Customer Experience Concierges to take our phone, web, and in-person customer support to the next level.The Cleanly Concierge is the first point-of-contact for both our customers as well as our fleet of drivers (Cleanly Valets). As such, this position plays an integral role in our success as a company.Were looking for people who have impeccable work ethic, excellent communication skills, high levels of empathy and a dedication to providing amazing customer service.What Youll Do:Provide first line of contact for customers and Cleanly Valets by phone, email, social media, and in-personSolve problems on the go as they happen whenever pickups, deliveries, transactions, or other issues dont go according to planOpen to working a flexible scheduleLearn the Cleanly Supply Chain and the ins and outs of the laundry industry from a tech perspectiveOccasionally assist on other general administrative duties including filing, data entry, etc.Work with the founders directly to help inform strategic product decisions that impact customer experiences What Youll Need:Upbeat, positive energy and a strong work ethicTech and computer savvy (comfortable using web applications in a fast-paced environment)Be a problem solver who loves going above and beyond to make customers happyBe proactive, engaged, and extremely detail oriented on all tasksStrong organizational and time management skills and ability to prioritize multiple assignmentsBe a self-starter capable of taking initiative and working with minimal directionPassionate about working in a start-up environment with endless room for growth ",B.A. or B.S. preferredExperience in customer service role preferred but not a deal breakerWilling to work early mornings or late into the evening (were open 7 days a week)Must be willing work occasional weekends or holidays,Full benefits packageFree Laundry & Dry-Cleaning creditsGet in on the ground floor of a rapidly growing startup and have a meaningful impact,0,1,1,Other,,,,Customer Service,0
2952,Recruiting Manager,"US, NY, New York",,,"Weve built revolutionary technology that is changing the way people get around cities. Using Via, a luxury ride booked on your phone costs little more than the bus. Via is on-demand transportation on a mass scale; its smart transit thats friendly to our planet. Currently live in NYC, were backed by some of the worlds top investors and aggressively expanding.Were looking for entrepreneurs who are excited about getting in on the ground floor of a fast-growing start-up; imaginative thinkers who relish wearing multiple hats and never back down from a challenge. We want people who get things done.Were unusually selective in our hiring process. If you have a record of exceptional achievement, take intense pride in your work, and want to join a world-class team, wed love to talk to you.","As Recruiting Manager, you will find and attract top talent for Via, introducing candidates to our ground-breaking company and guiding them through the hiring process.Responsibilities:Source candidates from top talent pools using a variety of innovative strategiesBe an expert in Vias talent needs, intuitively understanding where any given candidate may fit bestKeep candidates up-to-date and informed throughout the hiring processUse analytics to inform recruiting goals, methods, and protocolDevelop and continuously improve Vias internal hiring process, from job posting through offer letterYou:Reliable, independent, and able to juggle multiple tasks effectivelyA savvy, tactful, and graceful communicator  you intuitively find the right tone in every situationExceptionally organized, never letting anything fall through the cracksKnowledgeable of start-up culture and excited by quick growthHave a bachelors degree from a top-tier universityHave significant experience in a similar role, ideally at a start-up*****Via offers highly competitive compensation packages and benefits, including equity, health insurance, a flexible vacation policy, and relocation assistance.To apply, please send a copy of your resume and cover letter to #EMAIL_a75d7a5293c9cedac048ed456b281bb1d324c6217a8eb4fa1b4e994b2b90ca41#.Via is an equal opportunity employer.",,,0,1,0,Full-time,,,,,0
6749,"Brand Ambassador - Entry-Level Advertising, Marketing and PR","US, FL, Fort Lauderdale",Marketing,,"NY Marketing Firm is family-owned and operated right here in New York, NY. Other companies may offer similar services, but our services are the best, and come with a personal touch. We are not your typical advertising agency. We employ a wider range of disciplines that approach the marketing challenge from many different fronts: Branding strategies, CSR , Direct Response, Promotions, Marketing Collateral, Public Relations, Internet Media, Event Marketing, Corporate Identity, and anything else that helps move the sales curve in the right direction. No longer is a marketing plan confined to radio, TV and print. The world is at our fingertip!  Most important, we approach every marketing challenge from a strategic point of view - we stay focused on the big picture, where success is always in sight. We embody the T.E.A.M atmosphere, where Together Everyone Achieves More!!! NY Marketing Firm was founded in June 2010. Since our inception, We have secured contracts with the largest telecommunications providers, cable providers, energy providers, and finance titans. Furthermore, we have expanded our operations and brand to various markets throughout the country. We are growing at a very rapid pace in a time when the economy is receding. Thanks to our phenomenal leadership and assertive marketing strategies we will be moving into new territories in the near future. ""Be a yardstick of quality. Some people aren't used to an environment where excellence is expected."" -Steve Jobs","Brand Ambassador - ENTRY LEVEL ADVERTISING, MARKETING, & PRWe are willing to train the right candidate for entry level Junior Account Managers to provide a face to face market presence to develop and manage accounts for our Major Promotions client. Account managers are provided with extensive training in up-sales, event promotions, customer service, marketing, market research, new business development, and leadership. Top performers have the opportunity to be cross trained into team leadership and then branch management roles.The ideal candidate is motivated to grow and learn, can inspire others and move quickly into a leadership position based on their performance. No experience is necessary. Opportunities for travel and advancement into management for the right candidates. Send your resume to be considered.** We are located in Fort Lauderdale! This neighborhood is easily commutable from anywhere in South Florida!Job RequirementsThis position is ENTRY LEVEL, so no experience is necessary. Some college is preferred. Amazing communication skills are a must! Top candidates must be able to represent our Fortune 500 Clients, be able to work within an amazing team and independently and be motivated for success, and possess great leadership skills!Advancement to a Branch Management position will only be given after a proven track record within the Account Manager position. Experience in marketing, sales, promotions, event promotions, client interaction, customer service, sales, retail, account management and dealing with people will be considered first.This is a full time position. The right candidate will be chosen only after several face to face one on one interviews. Job SnapshotBase Pay $30,000.00 - $40,000.00 /YearEmployment Type Full-TimeJob Type Marketing, Entry Level, SalesEducation Not SpecifiedExperience 0 to 7 year(s) ",,,0,1,0,Full-time,Entry level,Unspecified,Marketing and Advertising,Marketing,0
17354,Mobile Engineer,"US, ND, Bismarck",,,"We're changing how the world creates and publishes content. Even crazier, we're doing it from North Dakota. It's going to be an exciting ride. Why not join us?","We are looking for a mobile application engineer (iOS and/or Android) to join our fast-growing and dynamic startup CoSchedule.CoSchedule is the first social media editorial calendar built exclusively for WordPress. CoSchedule enables bloggers, social media managers, and marketing managers to schedule their blog posts and social media messages on a beautiful drag-and-drop calendar that is built right into WordPress, the world's most popular blogging platform. A mobile engineer at CoSchedule will be responsible for building and launching new applications on the iOS and/or Android platforms. Our focus is on shipping a quality product quickly, with a strong bias for simplicity and appreciation for good software craftsmanship. Salary and title are negotiable based on experience. Bismarck location required. ","The Musts:You think big, and enjoy moving fast. You obsess about our product and the challenges that our customers face each day.You care deeply about the quality of the work you produce.Strong object-oriented programming skills.Strong programming skills in Objective-C, Java, or both, with deep knowledge of development for iOS and/or Android.A willingness to learn something new. Every day.Be proud of what you work on and what you ship.Must be self-motivated and looking for new challenges.Experience developing and launching at least one high quality app.Familiarity with backend technologies and how to interface with them. Backend development experience is a plus.Opinionated about products, with good user experience instincts.The Thatd Be Greats:2+ years experience in a professional work environment.Experience with WordPress, and building custom WordPress plugins.Experience with payment networks.Experience working with a variety of social APIs (Twitter, Facebook, etc.)We live and breathe by the do what you love, love what you do philosophy. We do everything we can to create this type of environment.","The Perks (full-time only):Fast-paced startup atmosphere.Benefits package, with vision, dental, and medical available.Free parking.Free coffee, tea, soda, water -- all the hydration you need.No limits on sick or vacation days.Yearly learning allowance.Company-supplied MacBook Pro.Casual dress.We hold an annual Bratwurst blowout that usually includes pellet guns and go carts.Unpredictable appearance of breakfast pastries.Free faxing! (For all your faxing needs)",0,1,1,Full-time,Entry level,Unspecified,Program Development,Other,0
11281,Food Quality,"US, MN, Minneapolis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Food Quality :Job DescriptionCandidate must have a:Degree in Food Technology/ Food Science/Quality Control/Nutrition & Food Services Management or Related with the same.Minimum experience:Two yearsEducation:B.Tech/B.E.Category:Jobs in Quality / Testing / Process ControlVisit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#,,,0,0,0,Full-time,,,,,0
5620,Product Co-founder,"DK, 84, Copenhagen",,,"At Founders we create companies. We are are a team of people who constantly work and experiment with business models applying our Creation Process to build new businesses. During the process, we partner with individuals who will become co-founders and ultimately CEOs of the businesses. We are not an incubator, nor a venture capital firm. We are simply a company that creates companies.","Founders is a company that starts companies. We partner with exceptional people and provide capital, expertise and talent flow to turn ideas into great businesses. We are in it with you 100 percent and we get our hands dirty, just like we expect you to do.About the opportunityAs a co-founder in residence your objective is to turn an idea into a company, working with us through the steps of the Founders Creation Process. You might work on an idea of your own or find one you are passionate about together with us. In both cases we expect you to act as a founder from day one and show that you have what it takes to build and lead a team in order to create a successful company. As part of Founders you will have access to a team of people who do nothing else than building companies and products.Your profileThe typical product co-founder is either an accomplished hacker or designer. You are already a passionate entrepreneur or have an extraordinary track record leading projects during your career. We value your experience and previous accomplishments, but most of all we value your attitude.As a co-founder you are expected to be able run and grow a company. You will have access to Founders resources, but you are responsible for building a great team, a great product and doing what it takes to win in the market. ","Drive, passion and curiosityYou feel at your best contributing production quality code or design every weekTrack record of delivering polished features based on operational and customer feedbackThe ability to fight for your opinions while remaining open to feedbackA track record of getting shit doneYou know how to build a team and create a good product development cultureThe stamina to work hard and go through tough timesAn analytical and data driven approach to decisionsYou love getting your hands dirtyBonus pointsPrevious entrepreneurial experienceYou already know your first hire",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Venture Capital & Private Equity,,0
1342,Title Insurance Ops: Sr Title Officer/Counsel,"US, NY, Woodbury",,,#URL_e7c9057d5e6f097876436d175031e95669ede4ebaab52b6be0957c837bc98343#,"A well established Title Insurance Agency based in Long Island NY (Woodbury Area), has a need for an experienced Sr Title Officer (or Counsel). Both residential and commercial experience w/ JD preferred (but not necessary).Must be able operate at a very high level and interface w/ high end clientele. If you have at significant experience within the Title Industry in this sort of role, we'd like to hear from you.Pay is flexible & commensurate w/ experience. Drop us a line if interested!","The ideal candidate:• Has at least 5 years experience with commercial & residential real estate transactions• Possesses strong client relations skills.• Must be a self-starter, detail-oriented with strong organizational and verbal/written communication skills.• Will be able to juggle multiple assignments in a fast-paced team oriented environment.• Will possess impeccable attention to detail and analytical skills.",,0,1,0,Full-time,Mid-Senior level,Unspecified,Financial Services,Finance,0
14579,Investment Sales Associate ,"US, NY, Garden City",,,"Sabre Real Estate Group is a full service retail real estate brokerage company with a core focus on tenant/owner representation and investment sales.  Sabre also offers restaurant, franchise, brand and financial services, and property management.  Sabre was founded by Jayson Siano formerly of CB Richard Ellis and Kenneth Breslin of Breslin Realty Inc. to adapt to the ever changing industry and stay ahead of the curve.  While the economy right sized the real estate business over the past few years Siano and Breslin decided to change with the times and build a company for the future. Siano and Breslin bring forward over 50 years of retail real estate experience and are responsible for the introduction of the majority of the National retailers into the New York Metro Area.  Siano and Breslin are well known for their retail real estate accomplishments as well as hiring and training the top retail brokers in the business today.  Jayson and Ken had a vision of combining the professionalism of a large corporation with the creativity and speed of a boutique firm and Sabre Real Estate Group was born. In two short years Sabre has become a premier full service firm representing some of the most successful companies throughout the country.Our salespeople are supported by a top level marketing and research team that has access to the most cutting edge software and equipment used in the business to create best in class material for our prospects and clients.  Each marketing professional is an expert in graphic design, mapping software & demographic analysis.Sabre is built on a proven track record and strong relationships.  The deal is only a small part of what we do. We act as an extension of the companies we work with and are only successful when they are.  Our clients are our top priority and it shows.  At Sabre we go beyond real estate.For more information please contact usT #PHONE_334a317aaaf77933210fd48998fd31a9d2c2fc8bd72c443b72411dcfb7841a86#T #PHONE_2ac6262a09de1b44e6e7e525ef041d9aa4f60d5a85b992756065d1c6d725a17e#T #PHONE_1c447d8feea5021d076fb9ac0963c4c966879d9c735708fec9027d1e2cd60bda##EMAIL_a35c9593785b2c585f6279ec421cdae6852c6c558e06db3f4e9c04102ce4e06f#","Job Description: Associate - Investment Sales Sabre Real Estate Group is looking to grow its Investment Group with college graduates or real estate professionals looking for an exciting, new opportunity in commercial real estate investment sales.  The role, Associate - Investment Sales, will focus on sourcing and participating in commercial real estate investment transactions and building new business opportunities for Sabre Investment Group.  The Associate will succeed by developing relationships through over-the-phone contact and regular in-person meetings allowing Sabre to form a strong relationship with the potential client.  They will identify the clients investment preferences allowing them to represent either the listing or purchase side of the real estate transaction. The ideal candidate for this position will be a self-starter and capable of focusing on small tasks in order to achieve a larger objective.  They will have a finance, marketing, or real estate background, giving them the fundamentals to evaluate investment property opportunities.  Other areas of focus include but are not limited to: sales, marketing, property valuation, contract negotiation, market research and due-diligence. Sabre Real Estate Group is a premiere commercial real estate brokerage firm in the NYC/Metro area.  Located in Garden City, NY, Sabre is uniquely positioned to recruit, train, and grow professionals with less than five years of experience in the commercial real estate field.Interested candidates will email their resume demonstrating experience in commercial real estate or a sales position in a financial field.  For recently graduated students, the resume should demonstrate relevant course work and/or internships focused on investment products.Our investment professionals are commission-only independent contractors.","Job Responsibilities Include But Are Not Limited To:Business Development through phone, email, in-person contactInvestment Property AnalysisAbility to Form and Maintain Client RelationshipsEnergetic Marketing of Listed Commercial PropertiesDesire to Become a Leader and Expert in Your Submarket Position Requirements: Excellent Communication SkillsAnalytical AbilitiesStrong Computer SkillsTeam Oriented but also Able to Work IndependentlyExperience in Commercial Real Estate is a plus but not required. ",,0,1,0,Full-time,Entry level,,Real Estate,Sales,0
14231,Human Resources Specialist,"US, FL, Fort Lauderdale",,,,"The HR Specialist performs a variety of human resources administration functions, including: conducting hiring processes, recruiting, initial training, new employee orientation, administering benefits, entering employee information into the automated resource system, maintaining personnel files, providing counseling, and maintaining EEO/AA records. Prepares state/city board security applications on a daily basis; coordinates licensing activity with supervisors and issues guard cards upon receipt; advises appropriate management staff of any irregularities encountered in an individuals licensing procedure.Assists with the recruitment, screening, interviewing, hiring and orientation of new employees; prepares necessary paperwork for personnel files.Coordinates the application process and maintenance of applicant logs with administrative staff.Processes corporate affirmative action reports and other personnel reports; tracks percentages and advises appropriate management staff of any adverse impact or potential adverse impact in hiring, transfers, promotions, or terminations.Assists with maintaining officer training records.Assists with payroll and benefits administration; reconciles related records.Records associate information, such as: personal and tax data; compensation and benefits data; attendance; performance reviews or evaluations; and, termination date and reason.Updates and maintains confidential personnel files to document personnel actions and to provide information for payroll and other uses.Examines personnel files to answer inquiries; provides information to authorized persons.Compiles data from personnel records and prepares reports using typewriter or computer.Performs tasks and duties of a similar nature and scope as required for assigned office.Job RequirementsEducation/Experience: High School Diploma or G.E.D. and 2 years of related experience, or an equivalent combination of education and experience sufficient to perform the essential functions of the job, as determined by the company. Competencies (as demonstrated through experience, training, and/or testing):Understanding of human resources administrative processes.Thorough understanding of standard office procedures and practices.Demonstrated knowledge of mathematical concepts including computation of rates, ratios, percentages, averages and reconciliation.Ability to interpret instructions furnished in written, oral, diagrammatic, or schedule form.Ability to use personal computers and office productivity software.Good interviewing skills.Ability to write original correspondence.Planning, organizing, and project coordination skills.Ability to communicate clearly and concisely.Ability to interact effectively at all levels and across diverse cultures.Ability to maintain professional composure when dealing with emotional or confrontational circumstances.Ability to be an effective team member and handle projects responsibly.Courteous telephone manner.Strong customer and results orientation.",,,0,0,0,,,,,,0
11061,Office Services Team Lead ,"US, CA, San Jose",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Office Services Team Lead will be based in San Jose, CA. The right candidate will be an integral part of our talented team, supporting our continued growth.We are currently seeking an Office Services Team Lead. The right candidate will be an integral part of our talented team, supporting our continued growth. This position entails the Supervision of a staff.Daily tasks include, but are not limited to:Training for StaffCoordinate Daily Tasks for StaffHandle time-off requests and day-to-day processes of the teamHelp resolve employee and customer concerns/issuesAdministrative services/processing large volume reports using excel and assisting manager with quarterly business reviewsHandle time-sensitive materialPerform duties and special requests as assigned by managementBalance workload; provide guidance and direction to team; serve as focal point for communication with customer and company personnelEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careEnsure adherence to business guidelines, safety & security proceduresProvide constructive feedback and recognition to team",Requirements:Ability to work with software programs Willingness to submit to a pre-employment drug screening and criminal background check3+ years customer service related experienceExperience in professional environmentExcellent communication skills both verbal and writtenAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasks; detail orientedHigh School Diploma or equivalent (GED) required,,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Administrative,0
7572,Purolator International - Pricing Financial Analyst (Analyst II Finance US),"US, NY, Jericho",,,"Pricing Recruiters is the leading global resource for the procurement, vetting and placement of qualified, pricing and profitability professionals worldwide.  We understand and hold appreciation for the unique needs and demands of todays employers and job seekers who work within the pricing discipline across all levels and industries.  Through our insightful knowledge of pricing in over 5 offices in 4 key international markets we hold an active candidate database of over 4,000 candidates from senior analyst to executive leadership.  Contact one of our recruitment consultants today to learn how we can help position your pricing team for success through strategic recruitment and team buildin","Working at Purolator International is more than a job. It's an opportunity to learn and excel, to be part of a winning team and to contribute to the success of our growing company. Purolator International is a subsidiary of Purolator International, Canada's largest integrated distribution services company. Purolator International is rapidly expanding throughout the United States, and is looking for talented and experienced sales professionals to help grow our business in the United States.","Responsible for supporting corporate profit margin (P&L;) requirements by utilizing market pricing for new and existing customers on various products, services, and ad-hoc opportunities and analyzing pricing and profit margins. Duties include:Maintain P & L analysis and reporting for existing customers to identify account adjustments to optimize the profitability of the customer basePreparing supporting analysis for new sales proposals that include financial as well as contractual interpretations. Support selling price determinations with internal customers.Help guide internal customers on increases and their accounts, policy guidance, etc.Respond to inquiries and reinforce training and implemented pricing policy, margin analysis, and market research to internal customersAssist in monitoring administration of sales agreementsCommunicate with internal customers on tactical aspects of contract requirements.Support development and employ strategic price setting on sales contract renewals, RFQs and ad-hoc servicesMaintain P&L; analysis at a client level in detail to optimize active contracts. This includes preparing internal review renewal packages and documenting exceptional contract-affecting issuesPrepare analysis of specific products, rate comparisons, pricing and cost elementsHelp guide internal customers on increases and their accounts, policy guidance, etcEnsure contracts are fully executable and completeCollaborate with Contract Administrator and maintain contract compliance and exception reporting.Maintain process and approval reportingSupport cross-functional teams in overall tactical effectivenessProvide support to the Billing Quality Assurance teamAdditional projects as deemed necessary by ManagerMinimum Bachelors Degree in Finance or Accounting with 5 years of financial analysis experience --with contract and pricing as well as freight forwarding experience a plus.Excellent MS Office skillsExcellent organizational and interpersonal skills, ability to multi-task and work in a fast paced/demanding environmentStrong problem solving skills required","Purolator International Provides Competitive Benefits Package IncludingMedical, Dental, Vision, Prescription Drugs401k with Employer MatchCompany Paid Life InsuranceAdditional Optional Life InsuranceDisability/Income ProtectionEmployee Assistance ProgramTuition AssistanceFlexible Spending AccountPaid Time OffDate:* 2014-01-29Country:* USState:* NYCity:* JerichoPostal Code:* 11753About PurolatorPurolator Inc. is Canadas leading integrated freight, parcel and logistics solutions provider. Celebrating over 50 years of delivering its customers promises, Purolator continues to expand its reach, renowned service levels and reliability to more people, more businesses and more places across the country and around the world. Purolator is proud of its Canadian heritage and is focused on sustainably positioning itself for future growth and success. Purolator is also committed to contributing to the well-being of the communities it serves and where more than 12,000 of its teammates live, work and play.",0,1,0,Full-time,,,,,0
15539,Business Development and Marketing,"PL, , REMOTE",,0-0,Revolutionary Cloud based suite of Hospitality IT solutions ,"Nueva Hospitality is a looking for a Business Development and Marketing SpecialistOur key product Bear PMS-Light is a unique Mobile Property Management Solution on the market: user friendly, google-like UI, completely accessible from any mobile device, and bringing more than any hotel solution has ever brought to the hotel industry. To our rapidly growing company we are currently looking for an ambitious and hard working Sales and Marketing Freelancer. A technical background, especially within the Hotel IT Industry, would also be advantageous.If you fit to this profile let us know, we want you in our team!SKILLS:- Strong sales skills including negotiating and persuasion- Excellent telephone skills - clear and confident manner- Self-driven, results-orientated with a positive outlook- Highly motivated with excellent communication and listening skills- Good attention to detail and strong analytical skillsYOUR TASKS: Business Development:- Conduct regional market segmentation and market analysis (including but not limited to market size, trends, growth rate, opportunity, profitability, industry cost structure, competitive landscape).- Conduct industry benchmarks- Create a long list of customers based on market analysis and pre-sales activities.Marketing / Telemarketing: - Pre-sales and marketing (Cold calling prospective customers, creating quotes, dealing with new enquiries)- Generate new leads- Understand the needs of the prospective clients and offer advice and solutions- Schedule appointments- Deliver a high quality customer experience- Proactively provide sales and marketing ideas- Provide forecasts and pipeline sales projections","- Fluent English and Polish is absolutely essential, one other European language highly desirable- Internationally-oriented- Telesales / Sales / Customer Service / Business Development experience in a high tech company preferred- Degree in Business or Engineering preferred- Working knowledge of Microsoft Office; Outlook, Excel and Power Point",Attractive remuneration depending on experienceUnique start-up atmosphereA chance to grow an interesting international projectcontract type: freelance with a possibility of permanent position,0,1,0,Contract,Associate,,Hospitality,Marketing,0
16775,Greek Product Manager,"GR, I, Athens",Editorial,,"Daily Secret is the fastest growing digital media brand for young and affluent professionals around the world. Covering over 35 markets, its signature daily email newsletters reveal coveted bars, restaurants, shops and all around local secrets while integrating brands around passion points. Daily Secret has offices in Athens, Greece and New York and is widely considered an innovator in premium content production. Since its launch in 2010, Daily Secret has organically grown to over 1.5 million subscribers, delivering international reach on an unprecedented scale.","We are looking for a talented, detail-oriented Greek editor to join us full-time out of our Athens, Greece office. As a key member of our team, youll report directly to our Associate Managing Editor and work closely with our Insiders in Athens, Thessaloniki, Cyprus and Mykonos. Your primary role will be to write 15-20 creative, whimsical secrets (100-120 words each) in Greek per week that will be read (and loved!) by over two hundred thousand people every day. You will also be responsible for providing ad hoc Greek language assistance in the areas of social media, sales, and marketing. Just as importantly, you will be expected to constantly absorb new information and be the very best in your field - an ideal opportunity for someone looking to expand their skillset from traditional to digital media. We've created an environment that's both inspiring and challenging and only hire the best. If this is for you, please apply and join us for the ride.","We're looking for someone who...is a native Greek speakerhas professional editorial experience and loves writing beautiful copyis a fantastic communicator, especially across different culturesis professional, responsible, and thoughtfulenjoys learning in a fast-paced environmentbelieves in our company values as much as we do","We offer...first-hand experience at a fast-growing, global digital media startupthe opportunity to expand your skill set from traditional to digital mediaexposure to over two hundred thousand readers (in Greece/Cyprus) every dayintelligent colleagues who take pride in their worka salary (of course), a full fridge, and all that jazz",0,0,1,,,,,,0
14519,Senior Frontend Developer (Javascript),"DE, BE, Berlin",R&D,45000-72000,"RhodeCode provides the leading source code management solution for enterprises. We are powering the world`s largest organizations, who depend on our products to make their software development process more flexible, collaborative, productive & secure.Every day millions of developers, project managers and QA engineers are using our innovative software which plays a central role in their work life.Our company core values are about questioning the existing, being open & delivering just the absolutely outstanding.Everyone who is with us is an expert in his/her field of expertise, works in a small team of other gifted A-players and helps to achieve the team's mission. Everyone takes full responsibility for his/her work, is treated with respect and management is just there to remove blockers. No committees, no micromanagement, just the focus on delivering the outstanding.Help us to make the world better for millions of people. Have a real impact. Apply today!","Every day millions of developers, project managers and QA engineers are using RhodeCode Enterprise which plays a central role in their professional life to get their job done.If you want to have a real, lasting impact on the way millions of people work and you are willing to go the extra mile to ship just outstanding work then the following job may be something for you.As part of one of our development teams, you optimize our Javascript frontend code and ensure the optimal data flow between application backend and the user-facing frontend.Additionally, since many design, handling and user experience issues are just appearing during the actual implementation, you need to have an eye for things that just dont feel or look right to be able to quickly fix them during development. Our enterprise web applications are used on a large ecosystem of browsers and you need to be skilled in writing frontend code which is as fast, simple and cross-browser compatible as possible.Our frontend focus is about providing a rock-solid, high quality user experience and Javascript plays a major role in that position.In general, it is a technically very challenging, but also rewarding high-tech position in a small team of gifted A-players.","7+ years working as a web developer for customer-facing websitesVery strong Javascript skills for data flow, processing, rendering and cachingProven track record in YUI and/or jQueryWork experience with a wide variety of desktop and mobile browser platformsWork experience in writing frontend testsProven track record in open source projectsVery good spoken & written English","Comprehensive compensation package, including stock optionsQuiet work environment with 3-5 people rooms next to a park and riverFlexible working hoursOpportunity to have a real impact",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
16430,Staff Accountant ,"US, NY, new York",,,"Digital Brand Architects is a transformative boutique agency that expertly navigates the digital landscape through a two-pronged business model: one that tackles digital strategy and social media innovation for some of the worlds most venerable brands and companies, and the other that specializes in the talent management of a world-class portfolio of over 90 top online influencers and publishers. DBA is best heralded as the first and only agency to have a dedicated management division for online talent and for pioneering a monetization model that leverages a digital publishers influence online and builds them into prominent brands offline.","DBA is a transformative digital agency that expertly juxtaposes digital strategy, social media innovation and influencer marketing. Holistically combining all facets of brand building, online and off  content strategy, marketing, public relations, events, e-commerce, advertising  our approach builds awareness, drives traffic and elevates the brand voice. DBA builds on a brands core DNA to elevate the conversation and amplify impact across channels through practical application and creative engagement. DBAs global reach includes offices in New York, Los Angeles, Milan and Hong Kong.DBA is looking for a talented Staff Accountant to join our growing Finance team for our New York Headquarters. SPECIFIC RESPONSIBILITIESAssist the Controller with day-to-day duties as it relates to accounts receivable, accounts payable, collections, expenses and various general accounting dutiesPerform bank reconciliations for multiple accounts Prepare all A/R invoicingEnsure proper coding and approval of A/P and A/RManage AR collections, follow-up emails and callsProcess all payments from clients by check, wire and credit cardsProcess expense reportsOversee reconciliation and payment of company credit card accountsMaintain vendor and payment filesPrepare and process A/P checks, wires, and ACH Payments Oversee W-9 maintenance, maintain 1099s and generate year-end reportingAssist with month-end reconciliations and journal entries which include; prepaid accruals, balance sheet reconciliations & fixed asset reconciliationsPerform analysis and reconciliation of accounts, including Revenue and Commissions","Bachelors degree in AccountingWorking knowledge of accounting principles, QuickBooks, Excel and online bankingDemonstrated experience with general ledger, account research and reconciliationsStrong Organizational skillsAbility to multi-task and the desire to take on new responsibilities is necessaryGood oral and written communication ability",,0,1,0,Full-time,Associate,Bachelor's Degree,Marketing and Advertising,Accounting/Auditing,0
394,Receptionist - Part Time ,"US, WA, Redmond",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Redmond, WA. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities include, but are not limited to: Maintaining highest levels of customer service while demonstrating a friendly and cooperative attitude.Checking in visitors and registering their vehicle.Corresponding via email using Microsoft Outlook.Providing assistance with meetings which may include organizing and setting up meeting room(s), etc. utilizing Microsoft Outlook.Effectively addressing and resolving client and customer concerns and/or complaints.Data entry & word processing using Microsoft Word.Developing a familiarity with the building occupants for whom you are assisting.Keeping statistical data on services provided.Answering internal and external calls and transferring to appropriate parties. Other duties and responsibilities include:Demonstrating flexibility in satisfying customer demands in a high volume, production environmentConsistently adhering to business practice guidelines and policiesTaking direction from supervisor or site managerParticipating in cross-trainingMaintaining all logs and reporting documentation with attention to detailAdhering to all safety procedures","Required qualifications:Minimum of 6 months customer service or office/clerical related work experienceExcellent verbal and written communication skillsWorking knowledge of using Microsoft Outlook requiredWorking knowledge using Microsoft Windows requiredKeyboarding and windows environment PC skillsAbility to effectively work individually or within a team in a fast paced environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyWillingness to cross-train for other job functions High School Diploma or equivalent (GED) required Ability to perform the following duties with or without an accommodation:Lift up to 40 lbs. occasionallySit, stand, and/or walk for long periods of timeTravel (e.g. walking, taking a shuttle, etc.) from building to building on the client's campusBend, reach, squat, and perform the necessary receptionist job functionsMust have the availability to attend two to three employee meetings per year from 5:30pm to 7:00pmMust have the availability to attend 6-8 weeks of full time training Monday-Friday, 8am-5pm Must have the availability to attend 2 weeks of full time training Monday-Friday 8am-5pm with the option of 6 additional weeks of full time training for a total of 8 weeks.",,0,1,0,Part-time,Entry level,High School or equivalent,Computer Software,Administrative,0
8827,Data Scientist,"BE, VOV, Ghent",,,"Engagor is a venture backed startup founded in 2011, with offices in San Francisco and Belgium, Europe. Engagor is real-time customer engagement and insights platform for the social enterprise. We help brands like T-Mobile, CocaCola, Evernote and Volvo to have personal conversations with all of their customers on a global scale.","Engagor is one of the leading social engagement saas companies in the world. We work on what will be the future of social data use by enterprises, brands and agencies. We process and enrich over 12 million messages a day from Twitter, Tumblr, Facebook, Instagram, YouTube, and hundreds of blogs, boards and forums. Today the team has grown to 30+ people, with offices in Ghent (Belgium), San Francisco (US) and Istanbul (Turkey).Engagor is looking for a data scientist and hacker who is driven by solving complex problems. You will be part of one of the most ambitious R&D teams in the world. Engagor engineers work in small teams with minimal process and are given the freedom to do big things.Your Responsibilities Will Include:Help build and improve Engagors services and data processing through data analysis/visualization and machine learningCommunicate effectively and cross-functionally with customer support, development and other Engagor departmentsIdentify and develop new data driven product features and enhance existing productsConceive, develop, test and maintain new intelligence solutions and algorithms, from prototyping to production","Have an excellent understanding of and proven skill with programming and data analysis (php/python/clojure, statistics and visualization with R/Incanter, …)Excellent understanding and proven skill in NLP and machine learningKnowledge of some of the main big data and NoSQL technologies, specifically ElasticSearchWant to be challenged by new technologies (RabbitMQ, ElasticSearch), learn fast and think creatively about solutions for our high performance demandsHave an entrepreneurial attitude that gets high-quality projects done quicklyPhD in computer science/artificial intelligence or equivalent experience preferredWilling to work in our Ghent office, on a full time basis",Competitive salary with a great package of extra benefitsOpportunities for personal growth in data scienceWork with a great team of passionate people in a startup environmentFull healthcare benefits plan and group insuranceMacbook Air & iPhone ,0,1,0,Full-time,,Professional,Internet,Information Technology,0
4871,Financial Advisor,"US, TX, Dallas",Sales,,," Seeking experienced Financial advisors to join a Wealth Management firm in Plano Texas. Series 7, 63, 65, or 66 required. Will provide transition assistanced based on prior production, as well as a 50% payout, and health benefits through Blue Cross.","Series 7, 63, 65 or 66, and clean U4.",Blue Cross Blue Shield..,0,0,0,,,,,,0
2650,Part Time Cleaner- Livonia/Farmington Hills,"US, MI, Livonia",,,"Populist Cleaning Co. is an independently owned, full-service commercial cleaning company.  Populist has been providing janitorial services to Southeast Michigan since 1980 and was founded on one simple idea: offer our customers the best possible service, quality, and value.As a growing company, we are looking for exceptional people who share our love for the customers we serve and the work we do!When you work for Populist, youll enjoy flexible hours, a friendly atmosphere, and competitive pay.  Youll also be a part of a team that is committed to supporting your career goals.  All necessary training will be provided.The ideal candidate is dedicated to providing outstanding customer service every day.","Employment Opportunity: Part Time Cleaners in the Livonia and Farmington Hills Areas Populist Cleaning Co. is an independently owned, full-service commercial cleaning company.  Populist has been providing janitorial services to Southeast Michigan since 1980 and was founded on one simple idea: offer our customers the best possible service, quality, and value.As a growing company, we are looking for exceptional people who share our love for the customers we serve and the work we do!Currently, we are looking for energetic, dependable candidates for openings in the Ann Arbor area.  Typically, our positions are part time and done after 6pm.  Job location and specifics to be discussed during the interview process.When you work for Populist, youll enjoy flexible hours, a friendly atmosphere, and competitive pay.  Youll also be a part of a team that is committed to supporting your career goals.  All necessary training will be provided.The ideal candidate is dedicated to providing outstanding customer service every day.Responsibilities may include:Perform various cleaning duties, such as vacuuming, sweeping, dusting, cleaning mirrors and wiping tables/desksClean, detail and re-stock paper products in restroomsRemove and dispose of garbage and recycle itemsProperly use all cleaning products and equipment","Experience cleaning, specifically in a medical facility, is a plus, but all necessary training will be provided. Reliable transportation is a must, as well as the ability to pass a background check and drug screening.Qualifications:Strong attention to detailAbility to communicate clearly and professionally with customers, supervisors, and coworkersHigh level of ownership, accountability and initiativeAbility to work a flexible schedule based on job assignmentAbility to work a variety of tasks based on company needsClean, professional appearance",,0,1,1,Part-time,Entry level,High School or equivalent,Facilities Services,,0
993,UI Designer,"ES, CT, Barcelona",UX,30000-1000000,,"Visual / Interaction DesignerXING is a social network for business professionals. More than 12 million professionals worldwide use XING to meet up on our platform, find jobs, colleagues, new assignments, cooperation partners and experts. To complete our team, we are currently looking for a Visual / Interaction Designer (m/f), available at the soonest possible starting date. The position is based at our office in Barcelona, Spain. XING has the luxury of offering the job security and benefits of a financially healthy mid-sized company and the flair of a small startup. You will be working with a high-performing team of creative and fun colleagues who are passionate about their work and are keen to get stuff shipped. Your position will include a competitive salary and occasional travel between our offices in Hamburg, Germany, and Barcelona, Spain.Summary of Key Responsibilities:Conceptualize and design new products and features for web and mobile applications.Develop drafts, information architectures, and clickable prototypes.Apply user-centric thinking to come up with solutions users will love.Proactively initiate and take ownership of design implementation.Use data from user tests and web analytics tools as well as user feedback to identify pain points and improve current solutions.Create and establish beautiful designs and layouts with a consistent look and feel for XING applications and mobile solutionsCreate production-quality graphics and artwork for new features and enhancements based on concepts, designs and specifications.Continuously challenge the status quo and suggest optimizations.Work collaboratively within a cross-functional team.Communicate proactively with colleagues both in Hamburg and Barcelona. ","Required Knowledge, Skills and Abilities:Academic background in interaction/interface/graphic design or related field, or extensive on-the-job training in user experience.At least 5-6 years of UI and screen design experience with consumer-facing websites.Mobile application experience.Deep understanding of user-centered design.Experience with the entire product design cycle, from research, to wireframes, to mockups, to prototypes, through to the final design and implementation.Experience or familiarity with agile teams and agile development.Understanding and appreciation of web technologies such as HTML, CSS and JavaScript.Advanced knowledge of relevant applications such as Adobe Creative Suite.Ability to effectively communicate and persuasively convey design solutions.An online portfolio or samples of work demonstrating relevant experience.Fluency in English. ","What we offer:The opportunity to work on a high performance, high traffic website with more than 12 million usersPersonal Advancement: We encourage trainings and conferences, be it for knowledge sharing or your own talk. If you have product ideas yourself, advance them during the regularly held innovation daysThe best colleagues you can imagineA beautiful new office in the heart of Barcelona with a sunny terraceAn excellent salary and benefits package ",0,0,1,Full-time,Mid-Senior level,Unspecified,Design,Design,0
17575,Data Entry Admin/Clerical Positions - Work From Home,"US, SD, Yankton",,,,ACCEPTING ONLINE APPLICATIONS ONLYClick Here To Apply This is a Full Time Temporary Position Lasting for 2 yearsDescriptionValidate and review legal contractual agreements for customers Input contract into contract databases All contracts completed & reviewed within per-determined service level agreement Professional e-mail interaction with customers Scanning and uploading of documents QualificationsHigh School Diploma or Equivalent Professional Communication Skills via e-mail interactionDedicated to the needs of the business Project management skills to assist in facilitating multiple contract rejects Detail oriented Able to multi-taskAbility to work with time sensitive documents Must be able to work independently but able to perform in a team environment when needed. Fast and accurate typist ACCEPTING ONLINE APPLICATIONS ONLYClick Here To Apply,,,0,0,0,,,,,,1
10637,"Full Time Caregiver (Position 1) for Easygoing, Caring Woman ","US, OR, Portland",,,"United Cerebral Palsy of Oregon & SW Washington (UCP) supports adults with all kinds of developmental disabilities (not just cerebral palsy), so that they can live the life of their dreams.We're growing, so you will see lots of exciting job openings in the next few months!WHY WORK FOR UCP?As an employee of UCP, you'll be proud of the work you do, every day! Our employees assist the people we support to live independently in their own homes and apartments, find and keep their dream jobs, make community connections, serve as their own advocates, and make their own choices. You'll get the chance to work for one of the 100 Best Non-Profit Employers in Oregon. We're fun and flexible, and there's plenty of room for advancement and growth.","Are you looking for full-time work with great benefits? Would you like lots of time off each week, to pursue school or your other interests?Then United Cerebral Palsy might have the perfect job for you! We are seeking 2 full-time caregivers (Personal Assistants) for a woman who experiences developmental disabilities. She lives in her own newer apartment near SE 122nd and SE Stark, and is generally quiet, kind, caring and easygoing. She likes routine, and enjoys having a busy schedule during the week and lots of downtime during weekends. Job Duties:Provide companionship at home (chatting, decorating for holidays, listening to music, etc.).Plan fun activities in the community (such as going out for coffee, shopping, walking in the park, etc.).Do chores such as cooking, cleaning, and doing laundry.Administer medications and monitor on-going health concerns.Using a Hoyer lift, assist with bathing, dressing and toileting.Provide emotional support by helping her to process her feelings, and assisting her in maintaining relationships.Schedule for Position 1Monday overnights (5:30pm to 8:30am the next day).Tuesday overnights (5:30pm to 8:30am the next day).Sundays, 10am-8pm.All holidays that fall on your regular schedule. ABOUT UCP:UCP supports adults who experience all kinds of developmental disabilities, assisting them to live independently in their own homes, find and keep their dream jobs, and pursue community-based recreation.We are a fun, casual non-profit that was recently ranked as one of the best non-profit employers in the state.Find out more at #URL_2b35257099321dacb52af707d4a6bdf5dd187d81d6bfd24398f0e5b68a6727a7#.UCP is an equal opportunity employer, and actively seeks applicants from diverse background.","At least 18 years old.High school diploma or GED.Must pass a physical with a lifting test of 75lbs, drug screen, reference check, and criminal history background check.Experience in mental health or developmental disability field preferred but not required.","COMPENSATION package:$9.47/hour, with 5% increase to $9.95/hour after successful 90-day Trial Service Period.Excellent medical benefits for employee, spouse/domestic partner and dependents. Includes medical, vision and alternative.Generous paid time off.Holiday pay (double pay for up to 10 hours).401k plan with up to 3% company match.On-going and fully paid training.",0,1,1,Full-time,,,,,0
928,Sub-prime Automotive Finance Analyst/Underwriter,"US, NV, Las Vegas",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","West Coast Service Center Sub-prime Automotive Finance Analyst/UnderwriterTidewater Finance Company located in Virginia Beach, VA is looking for a Sub-prime Automotive Finance Analyst/Underwriter for our West Coast Service Center located in Henderson, NV.  Tidewater Finance Company is an industry leader in indirect consumer specialty financing since 1992.  We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork, and an uncompromised level of customer service. Responsibilities for this position include:Analyzing non-prime credit applications and credit bureaus for stability, ability and willingness of applicants to make on time installment paymentsEvaluate loan to value ratios for automotive collateral, payment to income, debt ratio and degree of the applicants credit worthinessApprove or deny auto loan applications submitted from third party new and used automobile dealersNegotiate and structure repayment terms with dealers according to the level of risk involved and programs offeredDevelop and maintain relationships with independent and franchised auto dealersProviding excellent customer service to our dealer partners The job requirements for this position include:Must be able to travel to our Virginia Beach, VA office for trainingMinimum of three years of prior automobile underwriting experienceGood judgment, problem-solving skills and decision-making abilitiesStrong negotiation and communication skillsKnowledge of fair lending laws and the regulatory environmentOrganized with the ability to complete multiple tasks under minimal supervisionExcellent communication skills with the ability to cultivate dealer relationships and trust We offer a competitive salary and a comprehensive benefits package including:Medical, dental and vision benefitsDisability insuranceSupplemental life insuranceAFLAC supplemental insuranceLong-term care insurance401K retirement plan with a company match.Paid vacation days including 40 vacation hours after 6 months of employment and 80 vacation hours after 1 year of employmentPaid personal, sick days and holidaysQualified candidates should submit their resume and salary requirements to Tidewater Finance Company, 6520 Indian River Road, Virginia Beach, VA 23464, Attn: Human Resources. Resumes can also be faxed to #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b# or e-mailed to #EMAIL_dea7f54ac43c6812ccbfa048a992eca4e8ca253b1ee04c7bcff4b19923bd3a05#.Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.","The job requirements for this position include:Must be able to travel to our Virginia Beach, VA office for trainingMinimum of three years of prior automobile underwriting experienceGood judgment, problem-solving skills and decision-making abilitiesStrong negotiation and communication skillsKnowledge of fair lending laws and the regulatory environmentOrganized with the ability to complete multiple tasks under minimal supervisionExcellent communication skills with the ability to cultivate dealer relationships and trust"," We offer a competitive salary and a comprehensive benefits package including:Medical, dental and vision benefitsDisability insuranceSupplemental life insuranceAFLAC supplemental insuranceLong-term care insurance401K retirement plan with a company match.Paid vacation days including 40 vacation hours after 6 months of employment and 80 vacation hours after 1 year of employmentPaid personal, sick days and holidays",0,1,1,Full-time,Associate,Unspecified,Financial Services,Finance,0
832,Retail Manager -- Must LOVE Dogs,"US, , West Hollywood",,,,"“Pride is a personal commitment.  It is an attitude which separates excellence from mediocrity.” – Author UnknownAs employees at Healthy Spot we feel pride in the work that we do.  We believe in inspiring healthy pet lifestyles by creating authentic experiences with customers and fostering a sense of community. At Healthy Spot, employees are team members, caregivers to four-legged friends, public educators, and role models.  Our pride in our work is what allows us to exceptionally serve dogs, customers, the Healthy Spot team, and our communities.We are looking for a passionate individual to assume the role of the Retail Manager.  This leadership position will require the superstar individual to ubiquitously indoctrinate the culture of Healthy Spot, from instilling within the retail staff members the core values of Healthy Spot, to building rapport and trust with the customers and the community through product knowledge and providing unparalleled customer service.  The Retail Manager will have the additional responsibilities of overseeing the Daycare and Grooming Departments.The Retail Managers position is to make a difference by always keeping the best interest of the animal at heart.  This passion combined with personal pride is what Healthy Spot is looking for in this unique individual! The Ideal Candidate…MUST LOVE DOGS!MUST LOVE PEOPLE!Must be a passionate leaderMust believe in high standards - does not accept mediocrityMust have retail management experience of at least an Assistant Manager for 1 -3 yearsMust have prior and extensive retail experience managing employeesMust be an extrovert who loves interacting with and serving customersMust have a high level of dedication to learnMust be driven and committedMust have excellent oral communication skillsMust have a highly contagious energy around peopleMust be detailed oriented and enthusiastic about cleanlinessMust be flexible, punctual and reliableMust be able to lift 30 lbsMust be capable of working independently and in a team environmentMust be capable of dealing with various types of clientsMust be capable of handling a fast pace, high stress environmentMust be a self-starter and quick learner The Retail Manager Will…-Lead by the highest example in customer service, communication with staff, and indoctrination of Healthy Spots culture, mission statement, and core values-Train and coach team members on a consistent basis, ensuring that Healthy Spots WECARE is being performed at the utmost standard in providing quality customer service-Develop and coach each team member in helping the individual reach his or her potential, including the Assistant Retail Manager-Identify future leaders and develop staff members to become managers-Ensure that each team member has the highest level of product knowledge in the products and services sold at the store location-Ensure that the store maintains its cleanliness and that products are presented properly in a timely manner-Meet or exceed retail sales goals and store goals through working closely with team members-Oversee inventory control and communicate frequently with Headquarters regarding inventory -Perform and teach Healthy Spots POS for employee purchases and returns-Effectively communicate with the Daycare and Grooming Departments to ensure that the operations of the store as a whole are running efficiently and smoothly-Oversee accuracy of team member time sheets, personnel files, and other administrative paperwork-Provide coaching and execute disciplinary action as required-Work with the community for specific events such as adoption events and holiday events-Attend management meetings and training as required-Perform other duties as assignedPlease respond with a resume. Please also articulate the following: 1)  Why you would be an immediate value adding team member2)  Why are you the best candidate for the Retail Manager position3)  What is your favorite breedIt's your opportunity to allow us to get to know your unique personality!Healthy Spot Job Perks!!For Full Time Employees-Four different types of medical plans, and we also offer a dental and vision plan!-If your dog is under 30 pounds, bring them to work and have them stay in dog daycare!* All dogs must pass behavior temperament test and having UTD vaccination records. **Dependent on availability of space in Dog Daycare. This perk is available after the 90 day trial period** - 30% off all Treats/Supplies!!-15% of all Food!!-15%-30% Grooming discount - dependent upon long hair/wired hair and size of dog.Part Time Employees-If your dog is under 30 pounds, bring them to work and have them stay in dog daycare!* All dogs must pass behavior temperament test and having UTD vaccination records. **Dependent on availability of space in Dog Daycare. This perk is available after the 180 day trial period** - 30% off all Treats/Supplies!!-15% of all Food!!-15%-30% Grooming discount - dependent upon long hair/wired hair and size of dog. Company DescriptionWe are a fast growing, upscale, upbeat, healthy and earth friendly pet products and services store. As our company grows, we are always looking to add new members to our team. We look for team members to grow with our company and are looking for individuals to stay with us long term.  In that sense, our recruiting efforts are stringent to find the unique individual. We realize our success is based on our team members.  Without the individual personalities and quirks that shine in and out of the Healthy Spot atmosphere, Healthy Spot would not exist.  As such, we love to promote from within and spend time and resources to foster each team members growth.  We are proud to see team members grow from entry level to manager positions and see them gain skills and confidence both professionally and personally! #URL_6404f38988d65ee3f3cf01b27a9566452cbe5952d18a4494a53deef8235d5b0c#",,,0,0,0,,,,,,0
12707,UI Designer,"US, , Remote",Design,,"Focus Lab, LLC is a boutique brand and interactive design company headquartered in the heart of Savannah, Ga. Our clients—ranging from innovative West Coast startups to established global firms—come to us for clean, intentional design work, customized content management builds and consulting, and a great overall customer experience. We have an integrated, talented team of designers and developers with a penchant for web nerdery, Superman t-shirts, and old school Gameboy. More at #URL_8cf0af10d3b6d6b96ca3cab7993e43c3b759467d96c24660e54ecdf60f92cc74#","The lowdown: We are growing and need a baller designer with skills in both UI and web design (mobile & desktop) to assist us with some awesome work.We are going to be extremely selective about your:Strength of work - web + UI (branding is a bonus)AvailabilityAbility to prove efficiency with working remotelyCompatibility within a team atmosphereYou can expect to be:Designing large scale websites with various level of complexitiesTackling UI work, including mobile and web appsPotentially kingpinning new UI projectsWe are looking to start you at 25 hours per week but, if were a match, our goal is to fast track this into a full time role. Working remote is allowed, you do not need to up and move here.","You must be able to say yes to:Do you consider yourself creative?Is Web Design / UI one of your biggest strengths?Are you passionate about what you do?Have you worked remotely before?Do you like other people?Have a sense of humor?You have:Extensive knowledge in Adobe Creative Suite, PS, AI (Duh)A portfolio that we can review (web format, por favor)The ability to work collaborativelyGreat communication skills",,1,1,1,,,,,Design,0
11283,English Teacher Abroad ,"US, CA, San Diego",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
3069,Operations Generalist,"US, NY, New York",,,"Weve built revolutionary technology that is changing the way people get around cities. Using Via, a luxury ride booked on your phone costs little more than the bus. Via is on-demand transportation on a mass scale; its smart transit thats friendly to our planet. Currently live in NYC, were backed by some of the worlds top investors and aggressively expanding.Were looking for entrepreneurs who are excited about getting in on the ground floor of a fast-growing start-up; imaginative thinkers who relish wearing multiple hats and never back down from a challenge. We want people who get things done.Were unusually selective in our hiring process. If you have a record of exceptional achievement, take intense pride in your work, and want to join a world-class team, wed love to talk to you.","As an Operations Generalist, you will engage with various aspects of Vias operations, applying a broad skillset to manage multiple key projects at once.Responsibilities: Flexibly move between operations, marketing, and business development, both in the office and on the groundTake ownership of cross-functional projects and tasks as neededAnalyze market data to identify new opportunities for expansionEvaluate and develop Vias business model as we grow in both size and scope  You: Are a strategist, tactician, and foot soldier combined into oneLove building businesses and arent afraid to roll up your sleevesQuickly master new situations and tasks by knowing what questions to askAnalytical and rigorous, with a thorough understanding of data modelingHave a bachelors degree from a top-tier university (MBA, JD, or other relevant degree preferred)Have at least 3 years of prior experience, ideally at a start-up*****Via offers highly competitive compensation packages and benefits, including equity, health insurance, a flexible vacation policy, and relocation assistance.To apply, please send a copy of your resume and cover letter to #EMAIL_a75d7a5293c9cedac048ed456b281bb1d324c6217a8eb4fa1b4e994b2b90ca41#.Via is an equal opportunity employer. ",,,0,1,0,Full-time,,,,,0
6946,Senior Ruby on Rails Developer,"GR, I, Athens",Engineering ,,,"Have you thought of moving to Japan just to write more Ruby? Do you like writing mean and clean Ruby code? Come join the rest of the Skroutz crew and help us build amazing things.What you'll do:Design and develop new featuresLead teams to develop new featuresFocus on performance and tune on millisecondsWork with AI algorithmsWork on any platform, IDE you like","Expertise in Ruby and RORGood knowledge of traditional SQL DatabasesBasic knowledge in NoSQLEngineering spirit, don't be afraid to get your hands dirtyTendency towards simple solutions and pragmatic codeGood communication skills and team spirit",A great environment!Weekly light talksJoin a talented team to solve interesting problemsLearn & state of the art technologiesShape the future of a service that thousands of users use every dayCompetitive salary,0,0,1,,,,,,0
13303,Teaching positions across Thailand,,,,"An agency that places native English speakers across Thailand to teach English, Maths, Science and Computer Studies to Thai children in Government schools. If you are looking for travel, teaching and a very Thai experience - MediaKids Academy can help.We place native English speakers with degrees in real Thai schools across central, Northern and North-Eastern Thailand. We offer a range of programs and levels, across different subjects, for four month paid placements! ","MediaKids is currently looking for teachers who are interested in teaching English in Thailand.   We will be able to offer this position to teachers from USA, UK, Canada, Ireland, Australia and New Zealand that hold university degree only. What is MediaKids Academy offering? - salary starting from 30,000 baht per month (depending on your qualifications) + housing allowance of 3,000 baht per month  - 1 semester contract  - free Work Permit  - necessary documents for Non B visa  - consultants assistance 24/7  - free accident insurance  - ATM card  - provided curriculum  - contract renewal bonus and salary increase every year BASIC JOB DESCRIPTION  • Teach basic English listening, speaking skills or/and reading, writing, grammar  • Monday-Friday  • 20-24 teaching hours/week  • Lesson planning and basic record keeping  How to apply 1. Resume  2. Recent photo  3. Scan of your photo page of passport  4. Scan of degree and official transcript and TEFL/TESOL certificate (the latter, if you are in possession of)  5. Updated criminal record check (can be submitted later)   ",,,0,1,0,Full-time,Not Applicable,Bachelor's Degree,,,0
2615,Director of Enrollment,"US, CO, Denver (metro)",,,"Electus believes in long-term relationships with our candidates. We provide a combination of recruiting expertise, data, and industry experience to help you find an ideal match for your current skills and future aspirations. Our close working relationships with our clients allow us to offer you opportunities not available to the general public.As a job seeker, when you are dealing with Electus Recruiting, you are dealing with a reputable recruitment firm that only hires intelligent, knowledgeable and dedicated recruiters whose job it is to assist you in furthering your career ambitions. Our recruiters take every measure possible to put job seeker concerns before profitability.  We are very careful about the clients whom we take on. We preach open communication and take every step available to make the interviewing, negotiation and job offer process fast, simple and lucrative.","This data-driven, technology-savvy, innovative leader will manage enrollment department team leads and staff to execute best practices of lead management, contact strategies, and goal-focused processes.Experience with traditional State Universities preferredOversee the success of the Enrollment Department in meeting its goals for each of the university's 12 annual termsOversee the regular evaluations of individual staff member performance metrics and mentoring/coaching for performanceCollaborate effectively with other department personnel to work in the best interests of the UniversityOversee the employment needs of the department: hiring process, performance planning and sustainable growth projectionsUse existing data and reports while identifying new tools that enhance individual and department performance","Bachelor's degree from regionally-accredited institutionMaster's degree preferredMinimum of 3 years of hands-on enrollment experience in higher education Minimum of 4 years of hand-on recruitment/service sales experience with heavy phone and email contact with customersMinimum of 7 years of management experience of departments of 20 to 50 membersAbility to work effectively in a fast-pace, highly dynamic organizationDemonstrated strong critical thinking skills",,0,1,0,Full-time,Director,Master's Degree,Education Management,Education,0
5128,Customer Service Associate ,"US, TX, Houston",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Houston, TX. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping & ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredValid Driver's License with good driving record requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening, criminal background check, and MVR checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
2260,Back-end Developer,"NL, NH, Amsterdam",IT,,"About the CompanyWe are ticketscript - the European market leaders in digital self-ticketing. Whether its massive dance events, festivals, gigs, outdoor cinemas, fairs, theater shows, exhibitions, comedy nights, or award ceremonies - we do them all! We believe in empowering our customers. Their success is our success. So far over 50,000 events have worked with us - and this is only the beginning. We have offices in London, Amsterdam, Antwerp, Berlin and Barcelona and are rapidly expanding across Europe.","About the CompanyWe are ticketscript - the European market leaders in digital self-ticketing. Whether its massive dance events, festivals, gigs, outdoor cinemas, fairs, theater shows, exhibitions, comedy nights, or award ceremonies - we do them all! We believe in empowering our customers. Their success is our success. So far over 50,000 events have worked with us - and this is only the beginning. We have offices in London, Amsterdam, Antwerp, Berlin and Barcelona and are rapidly expanding across Europe.The roleAs a back-end engineer you work on our ticketing application; extend it, refine it and actively think about the future of our system in a highly skilled and professional Scrum-team. You work in a young, positive, result-driven and inspiring environment where theres room to work with the latest technologies; Zend Framework, Git, Propel, JIRA, Bamboo, Ngnix, New Relic, Varnish, Unit testing, Redis, Github.Responsibilities- Technical realisation and implementation of (sub)projects- Identify and communicate best practices for back-end engineering- Write and maintain quality back-end code- Participate in the Scrum team and code reviews",Your profileThe basics- 5+ years of experience with building web applications- Experience with and profound knowledge of PHP5- Experience with and profound knowledge of MySQL- Experience with and profound knowledge of Zend Framework (or similar framework)- Experience with writing unit tests and TDD is an advantage- Experience with debugging and performance analysis / optimization is an advantage- Experience with high volume websites is an advantage- Experience with Scrum is an advantageSkills and competences- You have a positive attitude- You are effective- You take responsibility- You have integrity- You find solutions,"Our offerA competitive salary within an international and growing technology company that offers you the possibility to deepen your international career. You will join an energetic and results driven team based in Amsterdam.Job detailsDepartment: ITJob type: Full-time (40 hrs)Job location(s): Amsterdam, The Netherlands",0,1,0,Full-time,Associate,,Computer Software,Information Technology,0
17502,Lead iOS Developer,"US, DC, Washington",,,"Meet cove, a network of neighborhood productive spaces with a community defining how to be productive together. We are a DC-based startup that launched in September 2013. Members of the cove community come from different backgrounds and work environments. We know all too well about trying to work at the kitchen table, getting lost in a row of cubicles, or fighting to find an outlet in the coffee shop. We created cove with a simple objective: building places you want to be. We've got all the basics covered—fast wifi, color printers, spiffy conference rooms, free coffee. So ditch the coffee shop, boring cubicle, and lonely living room, and come be productive at cove! We have five locations: Dupont, 14th St (Logan Circle), Capitol Hill, Columbia Heights and Old Town, VA.","cove, an experience-based startup, is looking for a lead iOS developer to join us full time!Meet cove, a network of neighborhood productive spaces with a community defining how to be productive together. We are a DC-based startup that launched nine months ago. Members of the cove community come from different backgrounds and work environments. We know all too well about trying to work at the kitchen table, getting lost in a row of cubicles, or fighting to find an outlet in the coffee shop. We created cove with a simple objective: building places you want to be. We've got all the basics covered—fast wifi, color printers, spiffy conference rooms, free coffee. So ditch the coffee shop, boring cubicle, and lonely living room, and come be productive at cove! We have three locations: Dupont, Logan Circle, and Capitol Hill. We need your help to bring productive spaces to more neighborhoods in DC! Strong preference for a candidate living in DC or Boston, but must be willing to travel to DC.Primary Responsibilities:taking the lead on the development of a cove iOS app, as we expand to more locations and grow our communitydesigning interfaces for members to manage their cove experiencecontributing to the design of coves social experience, where members will be able to connect with each other onlinetaking feedback from members and cove teammates for continuous upgradesworking with the tech team to ensure all of coves technology is user-friendly, consistent, and in line with coves brandDescription:Want to see your technology in action, used by real people in real places? Then cove needs your support! The lead iOS developer will join a driven and exciting team of a quickly expanding, awesome startup. The member experience at cove is driven by technology: a web-and-mobile system that keeps everything running smoothly.You will be working alongside a growing tech team to ensure all of coves technology works together and is on brand.The primary responsibility of this position is to lead the development of a brand new cove iOS application, so we can make the member experience as seamless as possible. Currently, a mobile website exists to enable the member experience. We are moving to a native iOS app to make this experience as natural as possible for our members, enabling more fluid interactions between the technology and each cove location. As part of the tech team, you will own the iOS experience at cove, making it the point of interaction for cove members as we rapidly grow across DC. You will have control over the direction of this product, with oversight from coves head of technology, and you will build new features that will make cove fit seamlessly into our members day-to-day lives. It is imperative that you are able to take direct user feedback and continuously adjust and upgrade.This is a full time position. Be ready to take on a lot of responsibility in a short amount of time. We are looking for someone who is ready to work as hard and efficiently as we do.","Desired Skills and ExpertiseBachelor's degree in Computer Science is preferred, with 2+ years of software development experience and at least 1 year of iOS app development experience. Ideal candidates should have knowledge of the latest iOS design trends and be prepared to completely own a project and regularly iterate.Design and user experience skills a plus.Familiarity with Parse is a huge plus.Proven ability to build, launch, and iterate on apps, responding to customer and deployment issues under pressure. You have to be ready for anything, be excited, and bring a positive outlook to the cove table.To apply, please submit cover letter, resume, and portfolio of your work. No emails or phone calls, please.Deadline to apply is July 27, 2014.",This is a salaried position with health insurance and other benefits (such as a Capital Bikeshare membership!). ,1,1,0,Full-time,Associate,Bachelor's Degree,Consumer Services,Information Technology,0
6091,"Masseuse, Pilates, Qi Gong, Tai Chi and/or Yoga Instructor","US, MT, Helena",Client Care,,,"Studio 18A is looking for an individual interested in working with their clients in a one-to-one setting in a privately located studio near the base of Mount Helena, 5 blocks from Downtown.  This employment/profit share is perfect for professionals needing a beautiful setting for their practice.  80% of the session fee goes directly to you and the other 20% is paid to the studio for space and time – no rent payment required. ",,,0,0,0,,,,,,0
10067,"Dropoff Driver ""Agent"" - Austin","US, TX, Austin",Operations,,"Dropoff is modernizing courier and same-day delivery by providing our business customers a superior experience built on unmatched reliability, convenience and service, all powered by our proprietary technology platform.  Headquartered in Austin, Texas, Dropoff was launched in in May 2014.Join our growing team, where you can have a real impact on the way were shaping our business and reshaping the same-day delivery industry. Help us deliver a great experience locally, and expand our presence as we grow into markets around the country.Were an Equal Opportunity Employer that values diversity and inclusiveness in the workplace.","Dropoff is currently hiring drivers  or “Agents”, as we call them.  If you know your way around Austin, share our passion for service, seek a flexible work schedule and like using the latest mobile tech, weve got the job for you!Your mission, should you choose to accept it:  To deliver packages with exceptional attention to service, while earning great pay with a flexible schedule!EARN $20 AND UP PER HOUR - Earn $20 and up per hour every day consistently, not just during surges.DRIVE PACKAGES, NOT PEOPLE - You can feel safe delivering packages and never have to worry about who's getting into your car.DRIVE DURING THE DAYTIME - Work and earn $$ during the daytime, and leave your nights free for whatever you want.FLEXIBLE HOURS - Work full time or part time, the choice is yours.GET PAID WEEKLY - Get paid every week.WE WANT AGENT FEEDBACK - We know that you're on the front lines, so we listen to you and give you the tools you need to succeed.ARMED WITH THE LATEST MOBILE TECH - Simplify your daily grind by using your smartphone to easily accept jobs and route deliveries.OUR AGENTSYou are a people person, someone who makes friends easily and enjoys interacting with a wide variety of personalities.You understand the urgency of business delivery are willing to go the extra mile to provide great service.You are comfortable with mobile apps and using your phone to navigate the streets and highways of Austin.You are over 21 with a clean driving record and prepared for us to confirm via a background check.As independent contractor partners, our Dropoff Agents can consistently earn over $20 per hour.We're also interviewing for Full Time Employee (FTE) driver Agents who would qualify for healthcare benefits with opportunities to advance with the company as we grow. Qualified FTE's will drive company vehicles.","21 years of age or olderPositive, energetic attitudeStrong work ethicSolid knowledge of the cityA registered, insured and inspected car, SUV, pickup truck or van less than 10 years oldA current driving license and clean driving recordTech savvy -- youre comfortable using a smartphone and appsiPhone 5 or newer preferred",,0,1,1,Contract,Entry level,Unspecified,Consumer Services,Customer Service,0
1091,English Teacher Abroad,"US, AL, Tuskegee",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
7978,Administrator / EA,"GB, , Shoreditch",,,"Work at dxwdxw works exclusively with the public sector to create exemplary digital services, using agile, user-centred methodologies. Since we were founded in 2008, weve delivered lots of great projects, from informational and consultation sites to transactional customer services and collaborative intranets.For the right people we offer a 10am start, limitless coffee and SodaStream, a shiny new Mac to work on, and a pleasant team of geeks to work with. Weve got a nice office near the Hallowed Roundabout, with wooden floors and space invaders on the walls, a coffee machine that makes Inception noises and lots of tasty lunch thats minutes away. Weve all got our own interests and specialisms, but were brought together by a desire to build good stuff. The atmosphere in the office is relaxed but focused, with an irreverent sense of humour and a minor caffeine dependency.We think that the best projects need the best people, and good people are hard to come by. If youre interested in any of these roles, wed love to hear from you. Theres no closing date for applications: posts stay open for as long as it takes us to find the right person. If you think that might be you, get in touch quickly!","We work exclusively with clients in the public sector to create exemplary digital services, using agile, user-centred methodologies. Since we were founded in 2008, weve delivered lots of great projects, from informational and consultation sites to transactional customer services and collaborative intranets.We offer a 10am start, limitless coffee and sodastream, a shiny new Mac to work on, and a pleasant team of geeks to work with. We've got a nice office near Old Street Roundabout, with wooden floors and space invaders on the walls, a coffee machine that makes Inception noises and lots of tasty lunch thats minutes away. We've all got our own interests and specialisms, but were bought together by a desire to build good stuff. The atmosphere in the office is relaxed but focused, with an irreverent sense of humour and a minor caffeine dependency.We think that the best projects need the best people, and good people are hard to come by. If youre interested in this role, wed love to hear from you.Main dutiesThe Administrator/EA will be responsible for numerous vital activities: from bookkeeping and administration to research projects. In this role you will use your organisation, problem-solving, research and administration skills to ensure that the company operates smoothly. You will work with empathy, intelligence and energy, and will relish a good challenge.You will have the opportunity to shape the structure of your role within the organisation, and evolve and refine process where appropriate. You need to be eager to get stuck in to whatever needs doing.You will probably have been in an administrative or clerical role for several years. You may have worked for a small business before, or may have reached a point where the idea of a smaller company is appealing.Bookkeeping and AdministrationPaperwork is keep it to a minimum, but it needs to be kept in good order, and complies with legal obligations.You will ensure that the company can always understand its financial position, enabling it to plan and comply with its legal obligations, by:Maintaining management accountsMonitoring spending by staffMaintaining cashflow projectionEnsuring that tax and company returns and related filings are made and paid on timeMonitoring for and pursuing late paymentsOffice ManagementA lot of importance is placed on maintaining a productive and creative working space, where everyone feels comfortable. You will support this by ensuring that the office remains a delightful place to work, by:Selecting and managing office-related services (cleaning, utilities, etc)Purchasing office and kitchen suppliesBeing observant of everyones needs and thinking ahead, solving problems and going the extra mile when necessary to help everyone stay happy (aka: understands the power of good cake)Management projectsAs a successful growing company, our client is encountering new challenges, and discovering things that they never knew they needed.You will support the work by taking on management projects and other tasks as needed, at all times:Researching the relevant topics to the extent necessary to ensure that work is completed competentlyRegularly checking that what is being delivered is what is expected, and asking questions as necessaryCompleting work on time and to a high standard  ","SkillsThis role has numerous components which overlap. It is likely that different pieces of work will compete for attention. You must be able to:Remain organised and have a clear view on work to be completedPrioritise work effectively, choosing between competing options quickly and pragmatically, balancing risk and the need to actResolve blockers and problems as necessary by consulting with colleagues, being mindful of their needs and workloadWork effectively with modern cloud-based office software, especially spreadsheetsThink and work systematically with strong problem-solving and numeric skillsTake personal responsibility for ensuring that work is completed on time and to a high standardExcellent written and spoken English; good communicatorPersonal qualitiesArticulate and personableEmpathetic and mindful of peoples needsConfident and assertiveCompleter/finisher personalityGains genuine satisfaction from being useful and helping people to understand new conceptsGood attention to detail; well organised and able to manage time independentlyOptimistic problem-solver",,0,1,1,Full-time,Associate,,Information Technology and Services,Administrative,0
1774,Business Development Manager ,"US, NY, New York City",,,"We're a data and design focused startup based in New York City with a passionate and forward-thinking team. We enable people to do what they love better, through data. This means we are building a groundbreaking data product and need your help achieving our goals.Were a different kind of company. Every day we live out our core values of community, excellence, innovation, fulfillment, and transparency.","Based in New York City, SumAll is a connected data tool that helps 280,000 businesses make better decisions using data. As a core member of the Business Development team, you will work closely with our Head of Business Development to identify, pursue, and manage partnerships that will enable SumAlls next phase of growth.This role will be responsible for expanding our relationships with over 40 partners, as well as evaluating and pursuing new strategic partnership partners. We are looking for someone who is an exceptional relationship builder, mixed with the customary hustle needed to thrive in a BD role at an insanely fast-paced startup environment","Your responsibilities will include: Evaluate and negotiate original partnership opportunities to develop distribution channels, drive new product development, improve customer acquisition, and generate new revenue streams. Build relationships with over 40 current integration partners to identify ways to strengthen and expand our relationships.  Help develop a channel partner program from the ground up, including and negotiating reseller partnerships, working with product and engineering teams to building new partner management tools, and creating a partner marketing plans to scale the partnership channel. Work across the full spectrum of Business Development, from identifying strategic growth opportunities, sourcing potential partners, negotiating deals, and bringing new partnerships and products to market, and maintaining long-term partner relationships. This is a full time position.Who You AreRelationship-oriented:  You recognize the importance of giving before getting, and planting the seeds for long-term relationships with partners.Professional communicator: You have great written and oral communication skills and are a natural at being professional and persuasive without being pushy.Adaptable: You roll with the punches when priorities change and always stay upbeat.Team player: Youre productive alone, but will enjoy strategizing and collaborating with a teamCreative and Innovative: We want innovators, not just doers.  We expect you to always be looking for ways to improve our team and grow the companyMin. Experience: 3-5 years of experience in business development or partnerships. ","Founded in 2011, we are a group of experienced entrepreneurs, engineers, and designers. Between us we have started several companies, have a few commercial web products under our belt, and have a deep understanding of usability, engineering, analytics, and big data. Weve worked for big companies like Google, Gilt, American Express, Reuters, iStockPhoto and New York Times and smaller ones too. We are passionate, dedicated, and motivated to build a disruptive technology that will change how people use data and see the world around them.Want to learn more about our awesome team? Click here  The Good StuffWe are intensely focused on building a fun, diverse, and balanced culture. Catered lunch, snack bar, kegerator, Xbox, and happy hour Fridays are standard. Full-time benefits include stock options, 401k, health insurance.  ",0,1,0,Full-time,Not Applicable,,Internet,,0
3351,Bilingual Senior Project Manager ,"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Bilingual Senior Project Manager, fluent in English and French, to offer his/her services as an expert who will be based in Brussels. The work will be carried out either in the companys premises or on site at the customer premises. In the context of the first assignment, the successful candidate will be integrated in the Project Management team of the company that will closely cooperate with a major clients IT team on site. Your tasks:Review of business and functional analysis;Analysis of user needs, training and support;Budget & Planning management;Participate and lead multinational meetings;Risk analysis and Incidents management;Elaboration of documentation: vision document, business case, technical and user manuals.","Your skills:Minimum 9 years of University Studies and relevant professional experience;Minimum 5 years of professional experience with Project  Management;Experience in technical environments  such as: Java J2SE/J2EE, UML, SQL and  Web technologies (HTML, CSS, Javascript, JSON, JQuery);Hands on experience  with methodologies  such as:RUP, Agile, Business Process Modelling and  MS Project;Excellent command of English and French, both written and oral; Knowledge of another language is an asset.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (SPM/05/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#. We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential. You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Project Management,0
4263,QA Analyst ,"US, CA, Newport Beach",QA,,"CAKE is a marketing technology company providing the leading SaaS enterprise platform for real-time marketingintelligence. Our mission is to address the challenges and opportunities in tracking and analyzing the massive amounts ofclicks generated from mobile, affiliate, display, retail, lead generation campaigns and more. Our executive teampossesses decades of online marketing experience and a deep understanding of digital analytics across a breadth ofindustries, leading us to nearly 200% year-over-year growth the last two years.","THE COMPANY:CAKE is a marketing technology company providing the leading SaaS enterprise platform for real-time marketing intelligence. Our mission is to address the challenges and opportunities in tracking and analyzing the massive amounts of clicks generated from mobile, affiliate, display, retail, lead generation campaigns and more.  Our executive team possesses decades of online marketing experience and a deep understanding of digital analytics across a breadth of industries, leading us to nearly 200% year-over-year growth the last two years.  THE OPPORTUNITY:The QA Analyst works closely with the developers to help build, test and deploy new and existing features for CAKEs flagship SaaS marketing platform.Work closely with both development and QA teams to help create and execute test plans throughout the application development process.Perform new feature testing within specified product release cycles.Execute new feature testing and regression test cases within our product release cycles.Identify and document software defects, functional and application user interface inconsistencies.Reviewing code changes associated with defects.Maintain automated tests as appropriate.","QUALIFICATIONSBA/BS in Computer Science, Engineering, Information Technology, or equivalent experience.2+ years of experience with web-based application testing on Microsoft platform.Previous experience managing a support team is a plusProven experience writing test cases and documenting application defects.Familiarity with databases; ability to write SQL queries highly preferred.Previous experience with automation using frameworks such as: Selenium, WinRunner, QTP, etc. a plusHave a thorough understanding of SDLC and Change Management processes.Communication skills: ability to communicate technical issues or project details with technical team members and report to management.Experience testing client/server applications (functional, grey box, black box)Proven QA track record in a high volume environment.Ability to work both independently and as a productive and helpful team member.Able to consistently work efficiently while thoroughly documenting your work.Comfortable working against important deadlines.Strong analytical and computational skills.","CAKE offers competitive compensation, stock options, and benefits (medical, dental, vision, 401k). Headquartered in sunny Newport Beach, CA, CAKE has a dynamic culture and vibrant work environment.CAKE is an Equal Opportunity Employer.",0,1,0,Full-time,Mid-Senior level,Unspecified,Maritime,Quality Assurance,0
9414,HR Intern (paid - immediate start),"GB, LND, London",Human Resources,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"TransferWise is the clever new way to move money between countries. Co-founded by Skypes first employee and backed by some of planet's most experienced innovators, including Sir Richard Branson and PayPal founder Peter Thiel, we're disrupting the world of currency & international money transfer. That means flipping a gazillion dollar industry on its head and taking power away from banks and the establishment.Based in Old Street, the hub of Londons start up scene, were growing at an extraordinary rate and looking for exceptional talent to jump on board. Were currently just over 200 people strong and handling many millions of pounds daily.Were on the hunt for a Recruitment Coordinator, who loves the ins and outs of  Recruitment and Human Resources. Youll be supporting a small team by managing candidates experience, liaising with external vendors and own the functional elements which brings HR & recruitment together. Its a rare opportunity to wear multiple hats whilst learning the ropes of hiring within the fast-pace world of a leading start-up.","Were looking for someone who:Prides on making a candidates experience a memorable, positive oneHas a can-do attitude and is a lover of peopleForward-thinking in your approach to balancing workload to produce quick turnaroundsCan juggle multiple tasks seamlessly and still wear a smileGreat attention-to-detail and is comfortable working autonomouslyWhat youll be doing:Schedule interviews for our constantly, increasing roles & keep a tight reign on our pipelineManaging candidates within our Application Tracking System (ATS). Prior experience is a bonus!Advertise on various job boards and platforms to increase more awareness of what were hiring forResearch events & conferences across the UK and Europe to help source top-notch candidatesProvide excellent service to our external clients","You will join one of Europes most hotly tipped startups with plenty of opportunities to grow and the chance to be part of our little revolution. You are invited to join our company holidays (which are completely insane), 2 days holiday per month + free lunch every week. Oh. And you can use the office Sauna whenever you want.",0,1,0,,,,,Human Resources,0
1756,Customer Service Specialist,"US, TX, Austin",Customer Service,,"Dropoff is modernizing courier and same-day delivery by providing our business customers a superior experience built on unmatched reliability, convenience and service, all powered by our proprietary technology platform.  Headquartered in Austin, Texas, Dropoff was launched in in May 2014.Join our growing team, where you can have a real impact on the way were shaping our business and reshaping the same-day delivery industry. Help us deliver a great experience locally, and expand our presence as we grow into markets around the country.Were an Equal Opportunity Employer that values diversity and inclusiveness in the workplace.","1. The GigYou will help define exceptional standards for customer experience that will set our company apart from the competitionYou will be supporting the needs of our delivery clients from engagement, billing, order tracking, follow up and promotionsYou will use the latest technology to be a liaison between a fleet of couriers, our clients and the delivery of time sensitive shipments 2. Your StatsYou are genuinely a people person, someone who makes friends easily and enjoy interacting with Austinites of all sortsYou are sensitive to the urgency of business delivery and considerate of different needs for different types of clientsYou are a quick learner and can intuitively provide an amazing customer experience to a variety of personalities on the flyYou can multitask to juggle competing phone, email and chat conversations while keeping your cool as a cucumber demeanorYou are excited to work in a fast moving, rapidly growing and changing startup environment where your contributions have an impact the overall success of the company 3. Your TakeAs a full time employee with the company you will be paid $12-15 per hour based on experience and participate in the companys generous benefits plan.We offer a fun, friendly and innovative environment where we treat each other with respect and value the diversity of experience each of us brings.","Over 21 years of ageExperience with Microsoft Word, Excel and Internet BrowsersMust be able to pass a background check as a condition of employmentPrior call center or delivery company experience is a plus.",You will qualify to participate in the company's generous benefits program after 3 months of good standing with the company.,0,1,1,Full-time,Entry level,Associate Degree,Consumer Services,Customer Service,0
17733,Tele RN  ,"US, NV, ",,,,"Apply using below link directly#URL_e57c398ab49d4f4a508c6609c8a56647cf481b63ad66026f8daec857ce6fa9f1#Telemetry RN (per diem) -- Las Vegas, NVRequirements: 2 - year minimum acute experience in a hospital setting. We're eager to fill positions immediately, please apply ASAP. Active Nevada State RN license.12 hour day shifts available, 12 - 48 hours per week***Guaranteed HoursAdvantages of Per Diem Nursing:• Make extra money, depending on their commitment, which is the main advantage. • Pick your own schedules based on your commitment.• 24 hours a week, 36 hours a week - one weekend a month and one holiday a year - these are the nurses making the big bucks, while providing sorely needed coverage.• In most cases staff nurses must work every other weekend, two holidays and can't necessarily pick their schedules.• Nothing can match the flexibility that comes with per diem shifts. Higher PayPer Diem shifts are paid at a higher rate. The flexibility to pick up shifts as needed, combined with higher wages allows you to maximize your earning potential.Bachelor's Degree or Associates Degree in Nursing is requiredMust possess U.S. hospital experience; recent specialty experience within 1 year.Active American Heart Association BLS, ACLS cardWeekly pay rates will be determine based on experience. ***Please Note: If you are proactively looking for an opportunity, or would like to view our MANY openings, you may follow the link and instructions below to create your profile:To inquire, please contact:Mr. Sandy WatkinsRefer a Healthcare Professional and Receive up to $1000",,,0,0,0,Full-time,,,Hospital & Health Care,,1
4169,Production Engineer,"US, OK, Oklahoma City",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil & Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Our client, located in Oklahoma City, OK, is actively seeking an experienced Production Engineer that possesses strong project management skills. The ability to analyze data and solve problems is a must. The ideal candidate will also provide training to meet production goals.There are many opportunities for advancement in this growing company that offers strong compensation and benefits packages for qualified candidates who want to join the largest player in regional plays. Responsibilities:Perform engineering functions for production operations within a specified geographic area.Monitor production operations, costs, and profitability.Study areas for additional developmental drilling prospects.Design and implement facility and well workover plans and procedures.Generate and review AFE's for capital expenditures.Review all expenditures for properties within a specified area.Analyze production problems and direct corrective actions. Select equipment to be utilized.Assure compliance with governmental requirements and company policies.Provide training and resources to accomplish production goals.Provide expert testimony at regulatory hearings.","Required:A minimum of 5 yrs' related experience or equivalent combination of education and experience.First aid and CPR certification, H2S training, and valid state operator's license. Qualifications:Bachelors degree in Engineering.Company Overview:Our client is a growing company that is a leader in the Bakken Shale and Oklahoma Shale plays. The company is looking for outstanding employees, and offers strong compensation and benefits packages.",,0,1,0,,,,,,0
10553,Personal Assistant,"GB, , London",,,"We believe cities are complicated. And your mobile device should save you from the everyday challenges of living in them.We're a small dedicated team based somewhere in London with backgrounds in transport, Google, apps, design, investing and academia. We're venture capital backed by a number of fancy pants investors including Balderton Capital, Index Ventures, Greylock Partners, Connect Ventures as well as some angels.We're motivated by solving hard fundamental everyday problems that improve the quality of people's lives. We like simplifying complexity, reinventing user experience, working with data, shipping fast, and humanising a serious space.We're hiring now!","Citymapper is looking for a Personal Assistant to look after the administrative needs of our CEO and team. We are an early-stage startup, expanding quickly, so a successful candidate will have to be a fast, flexible, organized and motivated individual with a can-do attitude to allow to the CEO and team to focus on what matters the most. Part of the remit will also be to ensure the office runs smoothly and efficiently.Key responsibilities:-Provide administrative support to the CEO and team-Help with scheduling-Help with travel planning and booking-Organise events for the team and be in charge of team happiness-Answer and direct incoming calls, visitors and deliveries-Monitor and order office supplies and equipment-Keep track of operations expenditures and company assets-Your help might be needed on other tasks as the company keeps growing.",#NAME?,,0,1,1,,,,,,0
8697,IOS Lead Developer at MA,"US, MA, Needham",IT,0-0,,"Here  is the requirement mentioned below. Please respond with Resume, and Phone number Reply me ASAP…  Title: iOS DevelopersDuration: 6+ monthsJob Description-          excellent client-facing experience, good communication skills-          worked on Large teams-          strong development skills.-          Taking ownership for delivery within a high pressure environment and see tasks through to the end-          Working in a flexible cross functional team environment-          +3 years experience with mobile development and Apple IOS platforms (Objective C and IOS SDKs for iPhone 4, and 5)-          Experience with developing sophisticated mobile enterprise class applications-          Knowledge and experience of IOS 6 and 7 SDKs-          Experience of using appropriate software development tools and methods, e.g.  Git for source code controlIdeal - Experience and understanding of performance tuning IOS applications",,,0,1,1,Contract,Mid-Senior level,Associate Degree,Information Technology and Services,Information Technology,0
9236,Contact Center Representatives,"US, NV, Las Vegas",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company, located in Henderson, NV has full-time positions available for Contact Center Representatives.  We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork and an uncompromised level of customer service. The position requires the following qualifications: A minimum of 1 year in a Call Center environmentCollections experience requiredAuto or furniture collections a plusAbility to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights Monday  Friday as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish a plus Primary responsibilities include, but are not limited to the following: Make and receive calls through automated dialerTake payments on past due accountsHandle customer service related issuesProcess related paperworkAdherence to company policies and procedures in addition to compliance of state and federal regulations We offer a competitive salary based on experience and a comprehensive benefits package.  Please submit your resume via e-mail to #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117## or fax your resume to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#. Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex (including pregnancy), marital status, religion, disability, genetic information, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.",The position requires the following qualifications: A minimum of 1 year in a Call Center environmentCollections experience requiredAuto or furniture collections a plusAbility to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights Monday  Friday as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish a plus,"Our company offers a competitive salary plus BONUSES as well as a comprehensive benefits package to our full-time employees including:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.",0,1,0,Full-time,Entry level,Unspecified,Financial Services,Customer Service,0
6433,Environmental Project Manager,"US, PA, Malvern",,,,"Job Overview Apex is an environmental consulting firm that offers stable leadership and growth, views employees as valuable resources, and rewards success with competitive pay and incentive bonus plans We are seeking a self-motivated, multi-faceted Environmental Project Manager to join our team in Malvern, PA and become an integral part of our continued success story. The ideal candidate will be an accomplished environmental professional with an entrepreneurial edge to manage various environmental projects and supervise field staff. Responsibilities This position entails the technical oversight and execution of environmental projects; managing and mentoring field staff; and ensuring the safe execution of all phases of project work to meet our clients objectives as well as company goals. Leveraging existing client relationships and building new client relationships to develop projects is highly desired but not required. Qualifications Position requirements include 5-7 years of project management experience performing and managing the technical, financial, and logistical aspects of various environmental projects; BA/BS in Environmental Science, Engineering, Geology, or other related field, MS is strongly preferred; minimum of 5 years experience conducting Phase I & II ESAs and Remedial Investigations in New Jersey and Pennsylvania;. experience sampling soil, groundwater, and soil gas; and proficiency with NJDEP Field Sampling Procedures. OSHA 40 Hour HAZWOPER Certification is required.Want to join a firm where your voice is heard and you could have a genuine stake in the game? Submit your resume for consideration today! About Apex Apex is a customer-focused company that delivers environmental, health, safety and engineering services to over 700 clients across the United States and abroad. Driven by an entrepreneurial spirit and a dedication to providing responsive, cost-effective solutions, Apex has grown rapidly since our founding in 1988. Working in partnership with our public and private sector clients, our team of over experts provides services tailored to support each customers unique goals and objectives. By blending strong technical skills, business acumen, and superior customer service, we are able to deliver creative solutions that deliver high quality results at low cost. From commercial and industrial firms to construction, petroleum, and utility companies to financial institutions and government clients, Apex has extensive experience in a wide variety of industries. Our corporate professional resume includes proven capabilities in the areas of water resources, remediation and restoration, assessment and compliance, industrial hygiene, health & safety, utility infrastructure, land development and engineering, among others. Apex is an entrepreneurial firm, and ensuring that our senior managers are able to move unencumbered is our priority. We are a successful and growing mid-sized firm. Were small enough that our employees still have access to our leadership, and its easy for high-performers to be recognized for their contributions and advance without bureaucracy. With over 30 office locations, were big enough to provide comprehensive environmental consulting and engineering services to our diverse client base and to provide resources to our employees to help in their professional development. We offer incentive bonus plans and ownership opportunities for our successful managers. Apex Companies, LLC is an Affirmative Action/Equal Opportunity Employer",,,0,0,0,,,,,,0
3767,Marketing/Operations Intern,"US, NY, New York",,,,"LSM has been referred to as the “Harvard of Hustlers.”  Through our public workshops we have trained over 25,000 people on six continents.  Play an integral role in expanding our reach as well as engaging our current alumni. Work with operations team to insure successful launch new cities.This position will be about 20 hours per week in our offices (The Alley, the largest coworking space in Manhattan located on 37th and 7th avenue).●  Stay up to date on relevant content to share with alumni base and in doing so, become a master in social media: Twitter, Facebook, Email Newsletters, etc.●  Help maintain a consistent brand by working with our global coordinator team with marketing for their workshops●  Assist Operations team in streamlining processes as we scale our global workshops●  Research startup tech scene and be able to evaluate potential markets●  Be a brand ambassador for LSM - answer inquiries from attendees about LSM●  Assist in recruiting - learn about what the hiring process ","Deep understanding of the tech ecosystem and a passion for entrepreneurshipAbility to solve complex problems and strategize with operations teamAble to work independently, with minimal direction",Work with lean thought leaders and our global network of mentorsBe seen as a leader in the NYC tech startup community,0,0,1,Part-time,Internship,Some College Coursework Completed,Professional Training & Coaching,Marketing,0
1426,Lead Inside Sales Executive (Remote / Telecommute),"US, , ",Sales,,"InVision is a venture-backed startup working to create the world's best design communication and collaboration platform.We're passionate about finding ways to improve how companies think about their design process. That's why designers at so many of the worlds most beloved startups, agencies and corporations use InVision every day.","About InVision:InVision is a web-based project management and collaboration platform for design teams.  Our customers include some of the world's biggest design agencies, corportations, startups and beloved brands like Zappos, Evernote, AirBnB, Yammer, Salesforce, and more.Were looking for a growth oriented Inside Salesperson that wants to be part of a purpose driven software start-up team. You will help our business grow through speaking with customers, conducting product demos & closing deals all while working to build our sales machine from the ground up.  ","ResponsibilitiesOwn, manage, and drive the full sales cycle from first contact through closeBuild a strong vertical-focused sales pipeline with or without inbound leadsSuggest and/or create sales materials that will help close businessMaintain a complete, accurate, up-to-date sales pipeline and forecastConduct informative product demos and provide insightful answers to questionsHelp develop the criteria for identifying qualified sales leadsQualificationsAt least 2 years proven, successful sales experience in a B2B/SaaS sales environmentProven track record of meeting and exceeding your sales quotaDemonstrated ability to negotiate and cut through rejection to achieve sales goalsOutgoing personality and the ability to befriend strangers easilyAbility to articulate the product value proposition with any level of detail or brevity, and through a phone call or in emailAbility to conduct a software demo that is easy to follow and contains the appropriate amount of detailDemonstrated ability to develop sales communications materials (even if not pretty!)Bonus Points (indicate below)Knowledge of the design industry.You've heard of most of the companies on our customers page.You read TechCrunch, VentureBeat, etc regularly.Experience working in a startup environment a plus.DisqualificationsYou dont know what a SaaS business does.You're not fully comfortable with any of the following (GMail, Google Docs, IM, Skype).You are a recruiter trying to fill this position.","Compensation / PerksGenerous salary, commission, and medical benefits.Remote / work from home position with fixed hours.Ability to be part of an exciting new venture-backed startup already in use at some of the worlds most loved companies.We close at 3pm on Fridays all year long!*** THIS IS A REMOTE / TELECOMMUTE POSITION",0,1,1,,,,,,0
14144,Backend/Node.js Developer,"ES, VC, Valencia",Backend Developer,,"ehumanlife is a medical platform for live online consultations through HD video calls. You can call a doctor now from the comfort of your home. Its free to sign up and each doctor sets a fee for consultation.Connect through your symptoms, diagnosis or topic of interest with the best international specialists. Mild illnesses may be evaluated immediately from anywhere avoiding the hassle of urgent care.Doctors expand the scope of their practice, fix their own schedule and enhance their international reputation by caring patients and sharing knowledge through medical webinars and scientific articles.Our mission is to tear down the barriers between physicians and patients worldwide, improving access to healthcare, and enhancing communication within the medical community.","Lorem ipsum dolor sit amet, in has liber populo appellantur. Et vis amet oporteat. Pri an wisi indoctum, explicari sadipscing nam an. Liber interesset te quo, te melius appareat delicatissimi eos.","Lorem ipsum dolor sit amet, in has liber populo appellantur. Et vis amet oporteat. Pri an wisi indoctum, explicari sadipscing nam an. Liber interesset te quo, te melius appareat delicatissimi eos.","In vel sint semper atomorum, habeo blandit sapientem his in. Nullam deseruisse vix ut, te nominavi tincidunt temporibus per. No liber mucius oportere vel, his an hinc quaeque sensibus. Usu omnium audiam corpora ut. Usu an legere iisque nostrum.",0,1,0,Full-time,Entry level,Bachelor's Degree,"Health, Wellness and Fitness",Information Technology,0
14194,"Office Manager, Europe","GR, I, Athens (Iraklio)",Operations,,"Transifex is a SaaS continuous localization platform powering the process of launching products into multiple languages for companies with rapid development cycles. To date, Transifex has simplified the localization process for over 15,000 projects and more than 150,000 users. Customers include Coursera, Waze, Eventbrite, reddit, Disqus and Firefox.","Transifex, a Greek-born company internationally recognized as one of the leading companies in the Globalization industry, is seeking to hire a passionate and responsible person to lead its Office Management activities in its European office in Athens.Transifex builds a modern translation platform for tech companies going global such as Intel, Nokia, Atlassian, Coursera and Bitcoin. Transifex has offices in Athens and California. It has been recognized publicly by a former Greek Prime Minister, as one of the most innovative Greek companies.   This is a full time position in our office in Athens, Greece.ResponsibilitiesOur Internal Operations team is focused on creating a great environment for the people working at Transifex which, in turn, has an impact on how successful our product and company are. We celebrate communication, appreciation and excellence between our team members and strive to provide a great workplace. The Office Manager in Athens will be responsible for overseeing all efforts related to providing a work home which is open, supportive, creative and inspiring.Your job is to keep us organized, prioritized and productive while we continue to grow. This role requires superior attention to detail, the ability to meet deadlines, great organizational skills, and the ability to juggle multiple requests.As part of the team you will have the chance to work in a fast-paced and exciting environment and experience first-hand what it feels like to work in a start-up company. We are looking for a person that get things done and is not afraid to take initiatives.As a small team, we typically wear many hats.Accounting:Work with our Greece accounting office to assist with invoices, expense reports, payroll processing, setup of new employee paperwork.General, Administrative:Office management and administrative support including welcoming guests, answering the door/phone, managing mailHelp plan internal and external events such as arranging travel, meetings and appointments. Manage the office calendar and coordination of the day to day activities of the office. Coordinate Greece team events with the ones of our international team.Manage overall office supply budget. Payment of bills, suppliers etc.Team calendar managementGeneral HR:Compose a job description, post a job opening, prescreen candidates, schedule interviews, and on-board a new hire.Space and Culture: Be friendly, social and like the idea of planning outings and get togethers. Nurture and grow our local Transifex culture. Maintain a space that is inspiring and productive. Discuss problems with staff.Organize team and marketing events: team lunch, small hackathons at the office, open office, and so on. Take care of the office decor and equipment, food and all facets of employee experience. Source vendors & venues for events. Organize events materials & supplies inventory.Review and implement the company's health and safety policy.Useful notes: Tips on getting your resumé read.Resumés in English please.","The following are requirements (must-haves). If you feel your experience does not match one of them, we'd appreciate if you specified it on your application.Excellent knowledge of the English language (Proficiency degree).Proficient in using computers, especially Word, Excel, PowerPoint etc. Rich experience in working with complex Balance Sheets and P&L Statements (gr: ισολογισμοί, κλπ).Excellent planning and organisational skills.Ability to interact professionally with external partners and contacts.Ability to prioritise and be flexible, stay focused under pressure.Good communication and team working skills.Some accounting and/or Finance backround/experience.Human Resources & recruiting background/experience.Being able to thrive in a fast paced, challenging environment and pick things up quickly and effectively.An enthusiastic and confident nature.Trustworthiness, reliability","Competitive, stable salaryPaid time offStock optionsFlexible spending accounts and hoursApple equipmentHighly equipped and inspiring officesCompany sponsored conferences and happy hours",0,1,1,Full-time,Associate,Bachelor's Degree,Accounting,Administrative,0
10577,Designer (Mobile and Augmented Reality),"GB, LND, London",Creative Services,35000-50000,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","We are looking for amazing designers to join our award winning team of creatives and help us create the next generation of blippar campaigns. Your primary role will be conceptualising awesome campaign ideas for clients wishing to use Blippars industry leading augmented reality platform. You will work across all aspects of the process from ideation to delivery, hand in hand with development teams, account managers and giant brands. You will be involved in client meetings, pitches, team leadership and workflow management.This role has come about due to the huge volume of work that we continue to win and will offer huge career growth potential over the next couple of years. Don't hold back we are looking for an awesome designer with fresh ideas to get us excited about where we can take our technology in the next few years.","You will be inspired by the idea of creating exciting interactive experiences that allow the user to view and play with them from any angle! You will have seen what we have what we already do, be excited about it and believe that you have ideas that will blow us away.Ideally you will;Have Experience covering all processes, from concept and ideation stages to working with developers and maintaining your initial vision throughout development to delivery.  Understand how Augmented Reality presents new possibilities to open up design in the third dimensionHave client facing experienceHave a strong portfolio with examples of your commercial exposure to designing for household name brands.Have exceptional Photoshop and Illustrator skillsHave experience designing user interfaces for mobile devicesHave experience or a good understanding of how to utilise 3D space within your designsHave experience designing creative pitches to be presented to clientsHave team leadership experience, from working with wider teams (Development and Client Services) through to mentoring juniors creatives.Ideally you will also have;Understanding how to design uv meshes and texture mapsExperience working closely with developers to ensure that designs are delivered to your specsPrevious experience designing experiential and creative marketing campaignsExperience working with audio and videoBeing able to freehand sketch and storyboardingPrevious AR exposureExperience working with global teams","As a designer at blippar you will be offered creative freedom and invited in to all creative sessions. We actively encourage you to express your creative vision, we value your ideas and value your creative input.Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter & Gamble, Conde Nast, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesOur office dog (London)International travel opportunitiesChance to develop groundbreaking techWork with state-of-the-art hardware and softwareAccess to new wearables including Google GlassA challenge to change the worldChance to shape a new industryCreative freedom and controlExperience with all mobile operating systemsCollaborative opportunities with huge brandsPlease apply now for more details on this role or check out our careers page for more details on other opportunities to work with us.",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Design,0
14338,DevOps Engineer (m/f),"DE, NW, Dortmund",Research & Development,,"Pioneering advanced analytics vendor RapidMiner is redefining how business analysts use Big Data to predict the future. With an open source heritage, RapidMiner is one of todays most widely known and used predictive analytics platforms, providing powerful solutions for a wide variety of industries.","Pioneering advanced analytics vendor RapidMiner is redefining how business analysts use Big Data to predict the future. With an open source heritage, we are one of todays most widely known and used predictive analytics platforms, providing powerful solutions for a wide variety of industries.Your tasks include:Creation of a scalable, performant, highly available and stable Server-Infrastructure in the CloudMonitoring of availability, stability and performance of the infrastructure, as well as a continuous improvement on this basisActive collaboration with application developers for process optimizationAutomation of standard processes, e.g. updates","Master-Degree in computer science or related disciplineRelevant work experience is desirableExperience in working with the configuration of JBossExperience in working with Amazon Web Services or similar cloud servicesExperience with virtualization solutionsDatabase experienceAbility to solve even persistent problemsWillingness to acquire new skills, commitment and team spiritHighly developed communication skillsEnthusiasmSolution-oriented, autonomous, and precise working skillsGood verbal and written communication skills in German and EnglishAre you interested? We should get to know each other! By using the online form, you will apply via headquarters in Cambridge. You can also choose to apply directly in Dortmund by preferably using the following email-adress: #EMAIL_0c96690f8da4d0f0ceb731dbce8bd98fb70da0f9cd38056a0d9f2a30e3247dcc#.","In addition to an attractive salary, we offer challenging and diverse tasks, an enthusiastic team and a pleasant working atmosphere. You have the opportunity to work on projects across borders and exchange experiences with colleagues from other office locations. Joint lunches are a part of our corporate culture, as well as occasional trips, sporting events, world cup live streamings, summer festivals and Christmas parties.",0,1,1,Full-time,Associate,Master's Degree,Computer Software,Engineering,0
7598,Bilingual Digital Media Content Analyst/Archivist,"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Bilingual Digital Media Content Analyst/Archivist, fluent in English and French, to offer his/her services as an expert who will be based in Brussels. The work will be carried out either in the companys premises or on site at the customer premises. In the context of the first assignment, the successful candidate will be integrated in the Digital Media Management team of the company that will closely cooperate with a major clients IT team on site. Your tasks:Control of metadata generated during the production process;Creation and indexation of basic content related, administrative, legal and semantic metadata (thesaurus, event places and dictionary of personalities);Management of administrative dossiers with approval forms and rights releases;Assistance to archive and media management;Drafting summaries in English and French;On-line publication of the media, photos and writing photo captions;Assistance with the development of the tools and procedures for analysis and documentation;Assistance to the photo distribution including small quantity photo printing and burning of CDs;Training for internal users of the Media Asset Management System.","Your skills:Minimum 8 years of combined University Studies in literature and/or journalism or related field and relevant professional experience;Minimum 3 years of professional experience with archive and Media Content  Management;knowledge of the tools and procedures for conducting analysis and documentation;Experience in research and checking of contracts and legal documents;Excellent command of English and French, both written and oral; Knowledge of another language is an asset.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (DM/04/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#. We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential. You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
17248,Water Transfer - Field Laborer,"US, PA, ",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil & Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Our client is actively seeking a highly motivated, careeroriented Water Transfer Field Laborer to work under the direction of the company's Water Transfer Supervisors in field-water management, pumping, and filtration operations or general oil field services. A strong commitment to safety is a must. This company offers a competitive salary structure, great benefits, and opportunities for advancement. ","Maintain and check levels on tanks.Assist with general equipment maintenance and upkeep.Receive oral instructions from the supervisor on work assignments, materials, and equipment needs.Perform pre-operational checks on equipment, such as inspecting lines and equipment for possible leaks or damages. Use hand and power tools and other equipment per specifications.Participate in pre-job safety meetings.Follow safe-work and good-housekeeping practices.Complete and turn in paperwork as required.Understand and comply with corporate policies and procedures, health and safety policies and procedures, and other requirements and regulations.Maintain cleanliness and perform minor maintenance on equipment, as well as ensure equipment is mechanically sound and in good working order.Report deficiencies to maintenance staff. Operate and drive vehicles to and from work sites.Perform all duties as required.  Required: Will work in all weather conditions.Possibility of exposure to toxic and flammable substances, such as H2S, C02, and SO2.Work “on call” as required.Ability to withstand long periods of driving, standing, crouching, lifting, and bending.Able to lift 50 lbs repeatedly.Related experience in water transfer a plus.Valid Class-C license with good driving record. Valid Class-A drivers license with a clean driving record preferred. Standard first aid and H2S training certification preferred.Strong interpersonal skills and commitment to customer service.Ability to read, write, and comprehend English.Qualifications:Safety is critical in all field operations, and this position plays a key role in ensuring the safety of all staff, customers, the public, and the environment. To qualify for this position, employees must:Complete safety training. Have knowledge of safe-working practices and procedures.Act in accordance with health and safety legislation and practices.Act in accordance with company safety policies and practices.Company Overview:Our international client specializes in hi-tech transactional and technology services, consulting, systems integration, and managed services.",Salary: Starting at $11hrNO RELOCATION,0,1,1,Full-time,,,Oil & Energy,Other,0
11389,Customer Service Associate,"US, NY, New York",,,"We are making getting your home clean simple again. No more complicated quotes and cluttered websites. Just choose one of our flat rate services that fits your home, select a date that works, sit back and relax. Our professional team will take care of the rest, guaranteeing the very best service.","Cleaning Exec Cleaning Services is New York's top rated apartment and house cleaning service. We are making getting your home clean simple again. No more complicated quotes and cluttered websites. Just choose one of our flat rate services that fits your home, select a date that works, sit back and relax. Our professional team will take care of the rest, guaranteeing the very best service.Contact: #URL_14cfc5cf0b580980b525ca948dede0bb165d988d102ce703a5877927bfce6fd3# ; #PHONE_04757ded731b94b8ddbe5d32f9302177fcffb76f98f2e34014853c45dc6c27e3# ; #EMAIL_d13b628a4b0534a92756f62b131de1307786d6a02b9dc05b3b6588fad5c220c1#OverviewThe Customer Experience Manager will represent the Cleaning Exec brand by providing best-in-class customer experience and managing customer relationships throughout the booking process.  The Customer Experience Manager will report to the Director of Customer Experience.  Key responsibilitiesOn the front lines with customers, acting as the voice of Cleaning ExecProvide best-in-class customer experience through phones, email, LiveChat and social mediaEfficiently and effectively process bookings and manage customer relationships throughout booking processCapture and direct customer insights to all other departments within the company such as technology, marketing, design, and product development teamsContinuously think of ways to improve customer service and operations protocolsWork across functional teams to improve the customer experienceIdentify key metrics by which the Customer Experience team will measure its performanceUpdate and communicate weekly and monthly Customer Experience metricsPresent key findings and improvements to Director of Customer ExperienceHelp maintain company's industry top Net Promoter Score (NPS) ranking in customer happiness","2+ years experience in a customer experience, sales or community development role, preferably at a consumer-centric organization (bonus if it is a startup)Intuitive and possess a high processing speedEmpathetic and clear communicator through all types of communication channelsProblem-solver who understands how to work with all types of customer personalitiesCan prioritize and manage multiple tasks simultaneously. Feel there is no task too small.Detail-oriented and highly organizedAre adaptable and flexible in every situationFriendly personalityKnowledge of Salesforce is a plus",,0,1,0,Full-time,Associate,,Hospitality,Customer Service,0
11547,Community Development Intern,"GB, , London",Marketing,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"TransferWise, co-founded by Skypes first employee and backed by some of planet's most experienced innovators including Sir Richard Branson and PayPal founder Peter Thiel, is disrupting the world of currency & international money transfers. That means flipping a gazillion dollar industry on its head and taking power away from banks and the establishment. In just 3 years, TransferWise has processed over £1Bn in transfers and saved customers over £45M. Its our mission to bring transparency and fairness to the world of financial services.Want to join the revolution? Youre in luck  were looking for dynamic, hustling, and motivated Community Development Interns to help us spread the word and drive our movement forward.","You'll help us to grow our communities online & offline, developing strategic partnerships, engaging with existing communities, building brand awareness and making the whole TransferWise customer experience more and more social. We're looking for somebody who is: Social media savvy - creating & executing ideas for different target groups.A charismatic people person who can talk to anyone; flexible, fearless and excited to help people save money and drive awareness about TransferWise.Creative and can develop innovative and engaging activities to drive brand loyalty and execute it with perfection. A hustler to help grow and source strategic partnerships that fit well with TransferWises key service offering.Organised and experienced in event-planning/hosting for key customer groups and developing our business in this area.",,0,1,0,Temporary,,Bachelor's Degree,,Marketing,0
2193,Control Engineer jobs in Iowa,"US, IA, Cedar Rapids",Packaging/Publishing,,"Roland & Associates is a Corporate Recruitment Organization providing solutions to Global MNCs in US, Middle East & Asia Pacific for the past 11 years.Rated as one of the top recruitment companies in India by Social Talent, Ireland; operating from a state of the art office at Bangalore, located on HAL Airport Road, Team Roland' is supported by 100+ specially trained Searchologists.","Control Engineer jobs in Iowa- Job Role: Control Engineer- Job Experience: 2 to 15 Years- Job Location: Cedar Rapids, Iowa, USA- Industry: Packaging & Publishing- Eligibility to apply: US Citizen / Green Card Holder / H1B (with Validity)- Education: Bachelors Degree in Electrical/Mechanical/Chemical EngineeringContact Person:Name: Alisa TripathyPhone: #PHONE_06876565bdc6a6865eb9bdb08d1d53b2f5d340a3af9746f600a4963a7cea24b9#Email: #EMAIL_7336ac5a6c70a2c58ef4a7c30eaa0c0428b5dd9897184fe356bb46f1c0c67e33#","- Required Primary skills:   * Control System   * AllenBradley PLC   * PanelView Programming- Job Description:  * Must possess Professioanl Control System Experience.  * Should have hands-on expertise in AllenBradley PLCs & PanelView-Programming, Solid Works, Autocad etc.",- Salary: Excellent Package with Full Benefits!** Relocation Assistance Provided **** Interview Travel Reimbursement Available **,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Packaging and Containers,Engineering,0
9231,Product Manager,"US, CA, Manhattan Beach",,,"The Boston Consulting Group (#URL_45423e1e16703aa4d8ee444d3ad69bb51525f1dad0b3115713cf80dc496299e2#) has launched a new digital business, BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) - a digital innovation, product development, and commercialization firm.  This first-of-its-kind firm will bring unique capabilities to create and grow disruptive digital products and platforms.  BCG Digital Ventures goes beyond providing consulting, design, and technology services to form strategic venture teams with its clients in order to rapidly develop, launch and grow transformational digital products, platforms and businesses.The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy.  BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises.BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries.  Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a world-class professional services organization.","We are looking for a Product Manager to be a part of BCG's new, rapidly growing digital business, BCG Digital Ventures. Our purpose is to help companies imagine, bring-to-life and launch disruptive digital businesses and solutions. To do this, weve assembled a group of world-class business/strategy, product management, product design, and technology professionals with deep knowledge and experience in digital businesses and solutions  from mobile applications and ecommerce, to new and emerging digital solutions yet to be realized. We have also developed a cutting edge approach to that ensures our clients products and solutions are disruptive.As a Product Manager (PM), you will join a dedicated multi-disciplinary team developing a digital business for a client, working directly with their senior leadership team. Projects will have elements of digital innovation, product design, product development, and commercialization.BASIC JOB RESPONSIBILITIES:* Conceive of innovative digital products and businesses through building a deep understanding of the customer, market and disruptive forces at work* Represent the voice of the customer in defining the design attributes of a digital product or service, including the features, functionality, and user experience* Teaming with designers, developers and solution architects to create the information architecture and prototype the design* Drive all aspects of product commericalization  from branding to pricing to defining and buiding the right operating model/infrastructure* Supporting efforts to build BCG Digital Ventures  from creating proprietary knowledge and redefining our internal processes for scalability to cultivating our rapidly growing project pipeline","BASIC JOB REQUIREMENTS:Bachelors Degree form an accredited educational institutionMBA or other Advance degree preferred1-2 years of experience at a top-tier management consulting firm, investment bank, or leadership program at a Fortune 500 company2-5 years of experience in a product management function at a start-up or innovative corporationStrong exposure to digital technologiesIndustry expertise in consumer-facing industriesKEY COMPETENCIES* Passion for digital products and services* Entrepreneurial spirit to help us shape new products and our own company* Adept at lean and agile approach to product development and delivery* Ability to think strategically and creatively: analyze, synthesize, communicate and recommend actions* Strong track record of driving results within a complex organization* Interpersonal/ communication skills, including:• Self-motivated, takes initiative, possesses creative problem-solving skills and willingness to offer suggestions for improvement; builds relationships to leverage resources• Demonstrated diligence, attention to detail and technical intuition.• Desire to engage in collaborative problem solving across disciplines• Possesses organization skills and composure: ability to handle competing priorities and to work effectively in a challenging fast paced environment• Exercises judgment in managing confidential/sensitive information; trustworthy* Work management, organization and planning* Values and ethics* Must be able to perform successfully in a fast-paced, intellectually intense, service-oriented environment and to interpret rules and guidelines flexibly to enhance the business and in keeping with BCGs values and culture.The Boston Consulting Group is an Equal Opportunity Employer. All qualified applicants will receive consideration for employment without regard to race, color, age, religion, sex, national origin, disability, protected veteran status, or any other characteristic protected under federal, state or local law.","The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy. BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises.BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries. Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a world-class professional services organization.",0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Product Management,0
8198,English Teacher Abroad ,"US, KY, Berea",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
3248,Associate Business Development,"NO, , Work from home",,,,"Want to build a career in IT? Free training in exchange for your time on revenue share basisWe are an IT startup providing Global IT services to well known organizations and are very busy due to overwhelming response. We are looking to expand and want to create a network of associates who can focus on innovative products, business development and client management areas. In exchange we will provide you assistance and training to improve your career prospects, experience working with us and a launch pad to help you succeed in your career long term. Some of the potential areas where we can help you are1. Learning a new programming language2. Learning new open source platforms e.g. Wordpress, Joomla3. Exposure to building ecommerce solutions, SEO etc.4. Interacting with senior decision makers in IT5. IT sales and marketingWe are searching for peoples based in Finland, Norway, Sweden, France, Germany, Luxemburg, Switzerland, Netherlands and Belgium.So, if you are living there and you are able to communicate also in English, please send your CVLooking forward hearing from you.",,,0,0,0,Full-time,Entry level,,Information Technology and Services,Business Development,0
11475,Software Engineer,"US, IL, Chicago",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Job Title: Sr. Software Engineer - C HTML .NET PHP Java - Detroit, MIJob Location: Job is in Detroit, MIA Sr. Software Engineer is required having 5+ years of programming or related experience in one or more of the following: Cocoa, Objective C, HTML, .NET, PHP, Java, or Progress.Job Requirements:Experience leading small project teamsExperience mentoring othersUndergraduate degree in Computer Science or equivalent relevant experienceGraduate degree experience preferredJob Responsibilities:Effective in fostering a collaborative environmentEffective working with or without complete business requirements or specificationsExpert knowledge of software development lifecycle processes and concepts like Agile.Exceptional verbal and written communication skillsThis role will also require periodic on call duties Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Computer Software,,0
15873,Sales Manager,"US, MN, Minneapolis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)-He must handle a team of 9 sales exec who are direct employees of company.-He must recruit his team by his own references and also can take assistance from empanelled consultants if required.-He has to train them, motivate them, guide them to meet the monthly and yearly targets given by company. Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Market Research,,0
8344,Writing Job,"US, NY, New York City",,10000-100000,,"We are a company looking for qualified individuals to help out with writing tasks such as:- short stories- movie scripts- magazines- ebooks- articles- news feeds- blog posts- PR websites… and more. Job Duties Include:- Draft and create content for lifestyle and health related websites- Research new products and services with the relation to the consumers- Create projects for blogs and other new media- Brand messages with reaching a large online audienceYou will have the opportunity to work with big name companies and popular websites in several riches: news, geography, sports, entertainment, travel, and others.","Qualification- Highschool graduate or GED qualified with an interest in writing blogs, stories, and publications- Experience in Journalism, English, Communications or other related fields- Strong written communication skills with the ability to proofread effectively- Ability to manage multiple projects- Experience with Microsoft Office tools such as Word, Excel, PowerPoint, and Outlook- Internet access / online access (This is a must have)","This is a part-time and full-time freelance job, this means that hours will be very flexible.Travel is not required, most communication is done online. Pay is discussed via digital communication after the application process.Please email #EMAIL_7dc76e8949ea2335791f2fa5d3752f8dec737b26473146fc9a3bfe3704cf3585# with your full name and resume. We will contact you shortly within 12 hours.",0,0,0,Part-time,Entry level,High School or equivalent,Writing and Editing,Writing/Editing,0
5740,Brand Manager,"GR, I, Athens",Marcomm,,"inAccess InSolar is an integrated, vendor independent solution for the centralized management of geographically distributed utility-scale solar plants. It offers accurate fault recognition and real time performance in order to guarantee smooth plant operation and maximize yields. It provides real-time secure collection and recording of statistical data as well as reporting to the operators desktops or mobile devices. inAccess InSite enables telecom operators and broadcasters to manage remote sites' modern and legacy electromechanical systems through a common platform. InSite deployment results in significant operating costs reduction and increased network availability and reliability. inAccess was established in 2000, with the vision to constantly reinvent, develop and deliver the most advanced infrastructure management systems. inAccess is headquartered in London, UK, with US operations in San Jose, California, regional offices in Italy, India and Japan and its R&D center in Athens, Greece.SpecialtiesInfrastructure Monitoring & Control, Renewables & Environment, Utilities, Telecommunications","ROLETo propose and coordinate the realization of all marketing activities for successful launch and growth of inaccess in the various markets.KEY ACCOUNTABILITIES• Responsible for the development and efficient implementation and monitoring of the Integrated Marketing Plan• Responsible for the branding and identity of the company and products• Conducts market research in order to evaluate market conditions, competition, trends and accordingly adapts marketing plan• Determines, proposes and monitors marketing budget• Develops all collateral material and occasionally copywrites• Defines and is responsible for implementation of communications roadmap in the fields of:Specialized pressOn lineExhibitionsOther• Develops, proposes and realizes PR Plan towards: opinion leaders, influencers, journalists and relevant organisations• Develops and executes digital marketing plan, ie google adwords, social media, SEO, SEM, blogging etc. Tracks and reports on all site metrics and accordingly make improvements.• Manages online brand and product campaigns to raise brand awareness.• Organises web presence through webinars, white papers and blog. Coordinates regular content updates.• Responsible for lead generation and increasing sales by generating “intelligent” and qualified sales leads.• Creates e-mail campaigns, manages the CRM system and acts as a liaison between the development and sales department.",,Competitive salaryInternational orientation,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Renewables & Environment,Marketing,0
5288,Customer Service Team Lead,"US, TN, Franklin",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Franklin, TN . The right candidate will be an integral part of the Novitex team, supporting our continued growth.Responsibilities include but, are not limited to: production reprographics, print services, mail sorting, mail delivery, meter outgoing USPS mail, and S&R duties, conference room set-up, as well as assisting with receptionist coverage when required.We are looking for team players who are proactive and are willing to do “whatever it takes” to get the job doneIdeal candidate has a “can do” attitudeTake direction from supervisor, site manager, and clientLift large bundles of mail, and overnight packagesCourier Services to multiple USPS offices, bank or other sitesPick-up and deliver mail, parcels, and other items to customers within established timeframes and service standardsOperate postage meter and package delivery systemS&R dutiesHandle time-sensitive and confidential packagesParticipate in cross-training and be flexible to perform varying roles whenever necessaryMaintain the highest levels of customer care while demonstrating a friendly andcooperative attitudeDemonstrate flexibility in satisfying customer needs and ensure that any facility need is proactively addressed at all timesMaintain all logs and reporting documentation; attention to detailAdhere to business guidelines including safety and security proceduresProfessional attirePerform other tasks as assigned","Required Qualifications:High school diploma or equivalent (GED) requiredMinimum of one year customer service related experience requiredKeyboarding and windows environment PC skills requiredStrong financial acumen requiredAbility to excel in a fast-paced, multi-tasking, team environmentExcellent communication skills both verbal and writtenAbility to effectively work independently and in a team environmentCompetency in performing multiple functional tasksCreative thinkerOutstanding work ethicTarget-drivenEnthusiastic and passionateHeavy lifting up to 55 poundsStanding for long periods of timeSignificant walkingAbility to meet attendance policyAvailability to work additional hours if neededSuccessful completion of a pre-employment drug screening, employment history check, and criminal background check",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
5747,Operations Manager - San Diego,"US, CA, San Diego",Operations,,"Solar electricity is the way of the future!  We are focused on bringing solar to homeowners in a simple solution with no up-front cost to the customer.  We are looking for talented and driven people to join our team and take their careers to the next level while growing our business into a national leader in solar energy development.  Our culture is a fast paced, high growth, work hard, play hard environment that encourages collaboration.","Solar electricity is the way of the future!  We are focused on bringing solar to homeowners in a simple solution with no up-front cost to the customer.  We are looking for talented and driven people to join our team and take their careers to the next level while growing our business into a national leader in solar energy development.  Our culture is a fast paced, high growth, work hard, play hard environment that encourages collaboration.Your RoleAs an Operations Manager, you will be responsible for the coordination and successful completion of all solar installations in this office. This requires a great amount of organization and leadership to ensure that all aspects of the job have been completed; from permitting to installation to final inspections and close-out.ResponsibilitiesLead implementation of best practices in installation and operations to ensure consistency of services being delivered from site to site and project to projectMaintain high safety standards with all company personnel and customers at all timesUnderstand and track the performance of employees in the officeFacilitate peer reviews and technical/operational auditsConduct regularly scheduled meetings with Corporate Operations to monitor key performance indicatorsResponsible for the overall safety, quality and performance and customer experience from sale to installation","Proven leadership and management abilityHigh level of organizational skillsExperience with performance metrics, process improvement, and Lean techniquesExperience with the full staffing lifecycle, including interviewing, hiring, performance management, promotions and terminationsCandidates must be flexible to work a variety of hours as business demands, including weekends and some holidays",Compensation depends on skill & experienceCareer path opportunities for top performers,0,1,1,Full-time,Mid-Senior level,,Utilities,Management,0
1278,Junior Project Manager,"PL, , Poznań",,,"We are Netguru and we love to develop web application based on Ruby On Rails framework. We value quality, transparent communication and passion for work.","We are Netguru and we love to develop web and mobile applications. We value quality, transparent communication and passion for work. Our projects are waiting for a new Junior Project Manager! Are you ready to join netgurus journey? Don't hold yourself, solve the task until Novemver 17th.Joining Netguru means:Startup-type projects for clients all over the worldMacBook Air - we work on the best equipmentPrivate Health InsuranceVery quick and clear recruitment process (only fulfilled promises)","If you:feel that: planning, organizing and motivating others is something that you really want to do in your lifeare not afraid of managing IT projectscan convince us that effective communication is your strong sideare crazy about new technologyknow how to empower others, utilize negotiation skills to achieve results and nurture relationshipsknow what Agile and Scrum methodologies are all aboutcommunicate fluently in Englishcant wait the moment when you start working with our customers... that means youre the perfect person who should join our team!What are the junior project managers duties?creating compact and friendly project documentation [user stories]communicating with clients and coordinating the development of applicationsmotivating and supporting the teambeing ready to face unpredictable situationsSalary:3600 PLN brutto","Perks & benefits:co-financing international conferencesEnglish lessons once a weekhalf-price lunchesFIFA, Xbox & other gamesNetguru Dinner once a monthDont hesitate and apply right now! Want to see more? Ask us at #EMAIL_1b33163979a7fd44dfe5647ee17b03f4f4c91354e086c66ded7ea4f4879077b7#",0,1,1,,,,,,0
308,Product Manager Mobile Apps (m/w),"DE, BY, Munich",tech,,hello worldtalents23_ drives the change in digital recruitment and develops the best quality filters for the selection of top talents.,"We are a fast-growing venture-capital financed company with offices in Munich (Germany) and Zell am See (Austria).The team members come from around the world and bring a wide range of hospitality and SaaS experience. This knowledge is intrinsically incorporated in the architectural and functional uniqueness of our product. So its no wonder that we are said to have hotel business in our blood.How we workWe are a 4 product managers, 16 developers, and 2 designers challenging the traditional hotel management software industry with our cloud solution. We are organized in small, focused and independent agile teams with a product manager and a couple of developers each. Our process is SCRUM. Product managers and developers sit together and most of the conversation and alignment is done over the desk.Your roleThe product strategy is built around mobile access. Hotel staff carry around tablet devices in order to serve guests anywhere in the hotel. Now, we are extending the mobil access to guests: Checking-in without queuing, opening room doors and even booking the next stay can be done via the guests smartphones.We need your help in order to manage and implement our mobile roadmap. You identify mobile phone opportunities for hotel operations efficiency, guest experience and online marketing. You love to develop concepts, functional designs, wireframes and discuss ideas with customers and colleagues. Last but not least you make friends with our engineers and manage external agencies.",2 years + of hands-on product management experience (mobile apps)Travel / hospitality industry experience (desired)Fluent English (we are an international team)Strong team orientation and conceptual leadershipLean thinkingExacting attention to detail,"Launch product featuresBreak down product vision into product strategy and roadmapWrite user storiesCreate wireframes, work together with designersEngage closely with our software engineers and external partnersEnsure correctness and quality of systemsCommunicate and align",0,1,1,Full-time,Associate,,Hospitality,Product Management,0
10045,English Teacher Abroad ,"US, SC, Columbia",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
12965,English Teacher Abroad ,"US, TX, Denton",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
3913,Back Office Senior PHP Developer,"BG, 23, Sofia",IT,,"Our CompanyAdcash® is an international advertising network that delivers billions of ad units each month reaching several millions of people around the globe. With a strong focus on the entertainment vertical, the networks portfolio currently comprises over 90 000 websites that benefit from the companys successful partnerships with a number of leading brands. The strength of the Adcash® platform lays in its cutting edge ad delivery technology and advanced internal tools.50 billion advertisements served in 2013Work in one of the top 100 biggest website in the worldEnjoy building great software used by a team of 50 personsImprove your skills by working on an interesting projectThe companys headquarter is located in Tallinn (Estonia) with other branches in Queretaro (Mexico), Sofia (Bulgaria) and Paris (France).Our teamComing from very diverse cultural and geographical backgrounds, our team reflects perfectly the companys image and global outlook.With a total of 9 nationalities represented, our 55+ team members bring not only an invaluable level of experience to the table, but also a diversity of thought instrumental in shaping the networks identity.And so we can help you in: Bulgarian, Deutsch, English, Estonian, French, Italian, Russian, Spanish and Turkish!From hardcore techies to online advertising veterans and everything in between, our staff is comprised of committed professionals, who come from all corners of the industry, having worked with organizations such as Vodafone, Skype, Google, EA, Orange, Telcel and many others.","Our Back Office Senior PHP Developer should be a vivid, enthusiastic and very talented person with a true passion and excitement for programming and new technologies. He/She will be involved in back office development of our advertising platform and the introduction of new features for it. While breaking the boundaries and pushing the limits of the used software and technologies, this person will improve and build new tools for our back office.Everyday development is realized on Git","Required skillsExcellent knowledge in PHP and MySQLAt least 3 years of professional experience with PHPHigh level of curiosity and eagerness to test new technologiesPreferred skillsExperience with Memcache, Redis, HBase or MongoDB",Friendly atmosphereHighly competitive salarySolve concrete problems by creating tools that will be immediately usedOpportunity for self-improvement and growth,0,1,1,Full-time,Mid-Senior level,,Marketing and Advertising,Information Technology,0
6787,Admin Assistant/Operations Manager,"US, TX, Cleburne",Admin,,,"Growing Behavioral Health Practice seeks an Admin Assistant/Operations Manager to work closely with President/CEO to coordinate all aspects of multi-office practice. Ability to perform duties effectively in a fast paced environment whether working autonomously or under direction. Ideal candidate will be highly organized, driven, possess excellent customer service skills with an ability to multi-task, and a strong sense of responsibility. Experience in a healthcare environment with exposure to Managed Care, Work Comp, and Medicare/Medicaid billing a must.We are looking for a proactive individual with:Ability to prioritize and multi-taskStrong customer service skillsEffective leadership, planning and organizational skillsAttention to detail and accuracyPositive, upbeat personalityIf you are not driven and highly organized, this is not the job for you.We are a hard-working, small company with significant growth and advancement opportunities for the right candidate!","Experience with all aspects of effective coordination and management of  Medical Office/Practice including:Team leadership and organizational skillsVerification of insurance benefits, pre-authorizations, referralsMaintain and organize multiple schedules using online schedulerConverting Inquiry callsSchedule business meetings for President/CEOCorporate reports (collections, bad-debt write off, incorrect coding, billing, incorrect data, coding)Analyzing/researching all aspects of accounts payable and receivable","Medical/Healthcare Vacation/SickExciting, growth-oriented, team environment!",0,1,0,Full-time,,High School or equivalent,Medical Practice,Administrative,0
11655,Web Developer,"GR, B, Thessaloniki",,,"We provide a fresh perspective to HR and career solutions. Building on solid expertise, international experience, and a strong portfolio of psychometric tools, we provide our customers with effective human resources and career management solutions. Our services include: Integrated recruitment and selection solutions, Assessment Centres, CV writing services, Interview preparation, Career planning.Please visit our website for more information.","The companySwapdom is changing the way we exchange goods and services. A rapidly growing and well-funded Greek startup with offices in San Francisco and Thessaloniki, Swapdoms vision is to transform swapping from a fringe activity into an efficient trading method across industries. Swapdom is currently looking to expand its innovative and forward-thinking IT Team in Thessaloniki.The positionThe successful candidate will be responsible for the development of new and the improvement of existing web services. In particular, his or her main duties will include:Maintain a presence at the officeParticipate in weekly progress and project meetingsIdentify and resolve issues, as they ariseMonitor and assume responsibility of own progress, as well as ensure that deadlines are met in a fast-paced environmentProvide weekly progress reportsSupport effectively internal customers.","Your profileIn order for you to be able to successfully carry out the duties of the job, you should possess the following:Strong knowledge of and proven experience in HTML5, CSS3, DOM, Javascript and JQueryGood understanding of web performance and experience in delivering solutions to different resolutions / devicesBelief in optimized code and qualityProven track record of delivering scalable and complex web solutions and servicesUniversity degreeExcellent command of English (both verbal and written)Excellent communication skillsAnalytical mind and problem solving skillsCollaboration and teamwork skillsFlexibility and creativityAbility to plan & organize him or herselfA can-do attitudeAn eye for detail, as well as a strong focus on quality and results.The following will be considered a plus:PL/SQL programmingRelational database experience (preferably in Oracle)Web development / design skills.","Our Client offersIn case you are selected for the position of Web Developer, you will have access to the following:Competitive remuneration packageAll the necessary toolsTraining and continuous development opportunitiesExcellent opportunities for advancementA dynamic work environment.Are you interested?Do you take pride in your strong web design skills? Do you look forward to joining an informally-minded, close-knit team? Are you thrilled by the prospect of advancing your already successful career and developing professionally in an innovative and fast-growing company?If yes, submit your application, using the ""Apply for this job"" button, below.The selection processOur company applies a demanding selection process, in order to ensure its fairness. Shortlisted candidates will be assessed with the use of Competency Based Interviews and work sample tests.Our company treats each application with strict confidence",0,1,1,Full-time,Not Applicable,Bachelor's Degree,Information Technology and Services,Information Technology,0
6993, SENIOR CORPORATE RECCRUITER,"US, TX, Houston",Human Resources,,,"Tasks may include:Contribute to the continued growth and success of EXPRO by working to secure new team members who reflect the values of EXPRO and deliver high-quality results to our clientsProcess a high volume of applicants and facilitate a high volume of hires across a wide spectrum of functional roles and geographic locations within North AmericaPro-actively source junior, mid, and senior-level technical and business professionals up to and including Senior Director-level candidates using internet-based tools and “good old-fashioned interpersonal networking tools” including the telephone and the handshakeScreen and qualify applicants on a time-sensitive basisIn conjunction with support staff, schedule interviews, facilitate the interview evaluation process, and help the Business Teams come to the point of decision on candidatesFacilitate the use and interpretation of various pre-hire assessment tools including skills assessments and psychometric testsExtend offers, negotiate, and close candidates for positions across the regionAssist managers with resource planning, job description development, and interview approachPost jobs and manage applicant flow across a number of concurrent recruiting prioritiesMaintain accurate records within our iCIMS Applicant Tracking SystemMeet or exceed corporate KPIs for Recruiting, including time to hire, cost per hire, and quality of hireChallenge the team, contribute to the development of our processes and our people, help us to grow, to improve upon, and to expand our systems and our positive impact on our organizationSupport Recruitment colleagues; collaborate, share, back up, and communicate with teammates on a continual basisBuild relationships and trust with hiring managers so that you may add value to the hiring process beyond the typical “recruiting flow”- be a business partner, a strategic partner, to hiring teams, help them explore ideas and consider alternate perspectives when helpfulAbout Expro:Expro provides services and products that measure. We improve, control and process flow from high-value oil and gas wells, from exploration and appraisal through to mature field production optimisation and enhancement.With a specific focus on offshore, deepwater and other technically challenging environments, we provide a range of mission critical services across three key areas:Well Test & Appraisal ServicesSubsea, Completion & Intervention ServicesProduction ServicesOur vision is to be the market leader in well flow management, using the industrys best people, to deliver the highest standards of safety, quality and personalised customer service.We provide a range of solutions including:Exploration & Appraisal TestingSubsea Safety SystemsDrilling & CompletionFlowback & Clean-upProductionWell Integrity & InterventionExpros 40+ years of experience and innovation empowers the company to offer tailor-made solutions for customers across the energy sector. With over 5,400 employees in over 50 countries, Expro offers a truly global service solution.","Position QualificationsWhat we're askingBachelors degree required5+ years of relevant experience as a full-lifecycle recruiterDemonstrable experience recruiting senior-level professionals, including technical and non-technical staffRecruiting experience in one or more of the following industries is preferred:Energy/ UtilitiesTechnical Consulting ServicesEngineeringOil & GasMaritimeBusiness certificationExceptional written and verbal English communication skills requiredExperience recruiting for diverse locations and geographies within the U.S. requiredExperience recruiting in OFCCP- and EEOC-subject environments preferredExperience hiring/ placing client-facing technical consultants and business development professionals with successful mid to large service provider firms preferredDemonstrated ability to meet or exceed recruitment targets and client expectationsHigh energy and sense of urgencyProficiency with Microsoft Office 2007, particularly Outlook, Word, and Excel requiredDemonstrable experience with applicant tracking systems; iCIMS experience helpful but not requiredDemonstrable experience in fast-paced, high volume, mission-critical recruiting environmentsA commitment to professionalism at all times, including a positive and helpful customer service orientationDedication to uncompromising ethical behaviors; a high degree of honesty and professional integrityA drive to connect over the phone with a high volume of applicantsAn ability to develop meaningful, influential relationships with internal and external contacts at all levels of experienceWillingness to travel up to approximately 20% of the timeWillingness and ability to successfully pass a background investigation and drug test",,0,0,0,Full-time,,,Oil & Energy,Human Resources,1
6644,Head of Sales,"GB, GBN, London",Sales,50000-75000,"MarketInvoice is one of the most high-profile London based fin-tech companies. The Company is Europes leading P2P invoice finance platform that allows SMEs to quickly and flexibly sell their invoices, releasing critical working capital in the process.Our mission at MarketInvoice is to modernise the way in which SMEs finance their working capital and fund their growth. We are seeking to bring much-needed innovation to the banking industry in much the same way that online retailers disrupted the high street.You will be joining a diverse, multi-talented, fast-growing team that is passionate about using technology to help businesses access funding and change the world of business finance.","MarketInvoice is looking for an experienced leader for its sales team. You will oversee and manage a group of talented business development professionals deliver a hugely innovative and disruptive funding solution to the SME market, which is seeing explosive growth. You will be leveraging cutting edge in-house technology and analysis to make our sales process more effective across various channels, driving up top line revenue growth. This is a role for someone who wants to grow a sales team significantly, taking a lead on recruitment and man-to-man management.You will be:Formulating a direct sales strategy, as well as implementing a framework to work with intermediaries and referral networksResponsible for setting sales targets across all sales channels and ensuring delivery against these objectivesRecruiting, managing, and incentivising a sales team that will grow significantly over the next 6  18 monthsOverseeing relevant training and sales team infrastructure implementation. Assessing the analytical tools and resources available to the sales team and managementCollaborating with the marketing teams to focus on relevant industry verticals and deliver effective SME sales campaigns, monitoring their effectiveness and presenting monthly sales summaries to managementPresenting updates on our sales efforts and targets at quarterly board meetings","Significant experience in sales management (at least 10 years), clear track record of effective leadership of a sales team either in financial services, technology or a related industryExperience in growing a sales team, managing and incentivising sales personnel, strong background in recruiting best talent available","Base salary of £50-75,000Incentivised pay structure  OTE of £95,000+ (uncapped)Participation in company option scheme based on outperformance",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,Sales,0
840,Customer Service Rep for DirecTV ,"US, FL, fort lauderdale",,25000-35000,"NY Marketing Firm is family-owned and operated right here in New York, NY. Other companies may offer similar services, but our services are the best, and come with a personal touch. We are not your typical advertising agency. We employ a wider range of disciplines that approach the marketing challenge from many different fronts: Branding strategies, CSR , Direct Response, Promotions, Marketing Collateral, Public Relations, Internet Media, Event Marketing, Corporate Identity, and anything else that helps move the sales curve in the right direction. No longer is a marketing plan confined to radio, TV and print. The world is at our fingertip!  Most important, we approach every marketing challenge from a strategic point of view - we stay focused on the big picture, where success is always in sight. We embody the T.E.A.M atmosphere, where Together Everyone Achieves More!!! NY Marketing Firm was founded in June 2010. Since our inception, We have secured contracts with the largest telecommunications providers, cable providers, energy providers, and finance titans. Furthermore, we have expanded our operations and brand to various markets throughout the country. We are growing at a very rapid pace in a time when the economy is receding. Thanks to our phenomenal leadership and assertive marketing strategies we will be moving into new territories in the near future. ""Be a yardstick of quality. Some people aren't used to an environment where excellence is expected."" -Steve Jobs","NY Marketing Firm is currently hiring entry level individuals with a marketing and customer care background for the Customer Service Representative position for our office located in Fort Lauderdale, Florida. We market for large Fortune 500 companies. We specialize in dealing with customers on behalf of these companies to help them keep their existing customers happy and acquire new customers as well. Our marketing techniques cater to their needs by speaking directly to our clients and providing them with immediate results. This overall marketing approach enhances client brand loyalty, which translates into increased revenues and success, creating a positive long lasting impression. What we are looking for is: · Empathy and genuine concern in order to be able to take the appropriate action · Effective communication skills · Good negotiation skills; being able to carry out customers' requests and meet customer demands in the best possible way as to conduct profitable business · Self-control and being able to remain polite when under pressure · Strong work ethics, which is vital in this business · Great organizational, team player and leadership skills · Motivation and hunger to be successful!!!! Your Primary responsibilities include but are not limited to: -Working with customer service manager to ensure proper customer service is being delivered - Consulting with customers about their product or service needs or their wants- Analyze what the customer says they want and need then tailor it to what our firm offers - Investigate what products and services the customer will need even if they may not know what they need.- Probing as to what they want and present what they feel they may need dependent on their needs and wants- Middle liaison between the workers (technicians and whoever supplies the products or services) and the customers This is an Entry-Level position. The right candidate will be provided with the proper training. If you believe you are the one that we are looking for, please submit your resume.! WE WANT TO HEAR FROM YOU! ",,,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
16885,Client Support Engineer,"US, GA, Atlanta",,,"We are a small but ambitious software-as-a-service startup in Atlanta looking for talented individuals ready to tackle the challenge of creating impressive products, delighting customers, and building a company poised for long-term success.","What You'll DoOur Client Success team is responsible for helping our clients successfully implement and use our call tracking platform.  This includes using our web dashboard, Javascript integration, webhooks, and API.As an engineer on the client success team, you'll be responsible for solving customer implementation challenges, understanding analytics integrations, diagnosing product issues, and building software tools to help us scale to efficiently support thousands of new customers.This position is designed for a junior-level software developer who wants to gain experience with a startup and grow into a full product engineer.Our CompanyCallRail helps data-driven businesses understand which ads, campaigns, and keywords are delivering valuable phone calls. We want to provide business owners with the information they need to make smarter decisions about their marketing. We're a small, ambitious startup that serves more than 6,000 companies and tracks millions of phone calls.  CallRail was founded in 2011, and we're self-funded and profitable. We're currently a team of 7 people based in Atlanta.Our ValuesLife is more than work. Though we work hard, we value a healthy balance. Like you, we expect to spend our evenings and weekends with friends and family.You'll drive your own projects and continuously find new ways to help grow the business, so you'll need to be independent and self-motivated. We believe that happy people are the most effective people.","TechnicalYou should have education or experience with software development.  Some of the technologies we use include Ruby, Rails, Postgres, Javascript, AngularJS, Bootstrap, Twilio, and Pusher.  It's not required that you know any (or all) of these, but you should be prepared to demonstrate what you do know, and you should be eager to learn. You'll also diagnose issues on client websites, which will require familiarity with Javascript, HTML, & CSS.  Our product integrates tightly with Google Analytics, Google Adwords, and third party products via our Webhooks and REST API, so knowledge of those products is a plus.CommunicationYou'll communicate directly with customers via email and on the phone, so your written and verbal communication should be crisp, friendly, and confidence-inspiring. You'll explain complex topics to clients with varying levels of technical expertise.CultureWe're a small team focused on building the best product and backing it up with exceptional service.  We work independently -- sometimes in the office, sometimes remotely. You should be intrinsically motivated to do great work and have a drive to improve constantly.","Competitive SalaryHealth, Vision, & Dental BenefitsDisability InsuranceMembership at the Atlanta Tech VillageApple LaptopUnlimited, Untracked Vacation",0,1,1,Full-time,Entry level,Bachelor's Degree,Internet,Engineering,0
1640,Graduates: English Teacher Abroad (Conversational),"US, VA, Fredericksburg",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
5983,Junior Developer / Professional Services Agent,"GR, I, Athens",,,"Softomotive Ltd is a Software Development company located in Athens, Greece. We specialize in Process Automation software and our customers range from individual users to large Fortune500 companies all over the world.","We are looking to add a new member to our team in a full-time position, responsible for implementing custom solutions to match our customers need using our Process Automation software.Duties and responsibilities include:Communicating with customers through email/phone/remote connection software for specifying requirements.Implementing custom solutions for customers using our Process Automation software, WinAutomation.Striving to achieve exceptional customer service in satisfying customers needs and requests.","The candidate should possess adequate programming skills, an understanding of programming concepts and knowledge of Windows/web related technologies.Due to the fact that our customer base is distributed all over the globe, all communication with our users is conducted in English. This being the case, excellent English communication skills, both written and verbal are an absolute requirement. Being a native speaker is a big plus.Prior experience in a similar position is not required, however a self-motivated, positive attitude and ability to prioritize and manage workload in a multi-tasking environment is a must.",,0,0,1,Full-time,Not Applicable,,Computer Software,Consulting,0
13875,Business Intelligence Developer,"GR, I, Athens",Business Intelligence,,"#URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7# is a modern online travel agency that was founded in Athens in 2005. Today, our company is one of the leading online travel agencies in Greece with presence in over 25 countries in Europe, Asia and America; offices in Athens, Bucharest and Sao Paulo.","The right candidate will be responsible for aggregating data from multiple sources in an efficient data warehouse and designing enterprise-level solutions for very large multidimensional databases. He/She will build reports for business users using Business Intelligence tools, will develop custom analyses using SQL and business intelligence tools.Responsibilities:-       Implement, maintain or update business intelligence tools, databases, dashboards, systems, or methods.-       Data Warehouse design and maintenance (data preparation, data warehousing, reporting, analytics & data exploration and information delivery).-       Develop ETL scripts (Clover or other).-       Work with all levels of development from data gathering, data analysis to the end implementation, solution deployment and support.-       Generate standard or custom reports summarizing business, financial, or economic data for review by executives, managers, clients, and other stakeholders.-       Meet with internal clients to gather requirements and data from multiple sources.-       Resolve end user reporting problems through collaboration with IT and Management.-       Establish high level Reporting framework.-       Qlikview server and user administration.-       Identify key technology areas and ways to integrate existing and future platforms.-       Develop in other programming languages (Python, R, XML, Soap as needed).","-       3-5 years experience in relevant position (using a BI platform).-       Relevant university or College Degree (Computer Science related).-       Experience with Business Intelligence reporting tools such as QlikView and any other reporting tool will be considered a plus.-       Strong SQL knowledge, ETL and DWH knowledge, as well as Data manipulation and optimization knowledge will be considered a plus.-       Knowledge of Clover will be considered a plus.-       Knowledge of Python, R, XML will be considered a plus.-       Excellent use of MS Excel (using advance formulas, Visual Basic, etc).","-       Attractive Remuneration Package.-       Private medical insurance.-       Work in an international, dynamic and fun atmosphere among young and smart people.-       Huge learning experience in using best practices and cutting-edge technologies.",0,1,1,,,,,,0
7182,Indirect Lending Underwriter,"US, MA, Woburn",,,"Outstanding Member Service Starts With Outstanding PeopleIf you are committed to the concept of “above and beyond” and enjoy interacting with people either in person or on the telephone, then we want to talk to you.Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.","At USAlliance, we provide a challenging and exciting environment where people can bring their passion and personalities to work.  How do we do this?  The simple answer is, our people…we have a bunch of well trained, motivated and above all happy people doing what they enjoy doing.The Indirect Lending Loan Underwriter is primarily responsible for the timely and accurate review, evaluation and processing of loan applications and supporting information. Provide needed assistance to others within consumer lending areas as available.ESSENTIAL DUTIES:Receive and review all incoming loan application requests and supporting documentation.Build profitable, performing secured and unsecured loans.Conduct credit analysis on each loan application using automated system parameters.Ensure all appropriate information has been received from borrowers to best evaluate loan requests and ability to repay.Document loan decisions to accurately communicate lending decisions to external merchants/dealers, borrowers and/or other department team members.Document identified cross-sell opportunities and additional offers. Provide details to assist in teams future engagement of borrowers.Timely communicate decisions to external merchants/dealers. Answer and address any questions or concerns.Move applications between internal lending queues to maintain workflow.Follow disclosed call-back schedule for any loans approved and not yet funded.Provide needed assistance to and mentor other team members.Maintain expert level knowledge of all Credit Union products and services.","Minimum of 3 years of experience within an indirect or external lending program preferred.Adept at utilizing current technology to evaluate loan requestsPossess critical thinking skills and apply learned lending principles according to the needs of each borrower and risk profile.Display comfort in using underwriting guidelines.Strong commitment to providing excellent member service.Capable of quickly identifying errors and flaws in calculationsAble to construct counter-offers with creative options.Strong desire to make decisions with confidence to defend position taken.Display a tolerance for risk.Ability to work off-site and on varied shifts Monday through Sunday regularly or as needed.All positions must adhere to standard BSA/AML policies, procedures and processes.  Employees must demonstrate awareness of business functions and how business decisions affect financial and/or non-financial work results.","Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement programAnnual incentive bonus & moreEOE",0,1,1,Full-time,Associate,Associate Degree,Banking,Finance,0
15138,UI/UX Designer and Front-end Developer,"IN, DL, Delhi",,200000-600000,"Inc42 is a technology focused media portal with extensive focus on innovation and creating value. In the past 6 months Inc42 has extended its reach in the Indian startup ecosystem and established a good brand value by publishing quality content. We are now looking to expand rapidly, both content and technologically, and have a lot of interesting things coming up soon. ","This role would require candidates to explore the web UI/UX domain for a publishing website and develop and maintain the front-end of the website.UI/UX DesigningTurn ideas into elegant web interfacesCreate user-centered, fresh and clean designsWork closely with the team to understand the companys product offeringsDevelop mock-ups and prototypes to effectively communicate interaction and design ideasFront-end DevelopmentDevelop optimized and efficient client-side codes to represent the front-endWork with designing, development and product management team to understand and implement path-defining user experienceImprove the performance, speed and scalability of websiteRequirementsAttention to details and aesthetics is a mustStrong Adobe illustrator and/or Photoshop skillsStrong hand at HTML, CSS, JavaScript and jQueryBasic knowledge of PHPAjax and JSON preferredWhat do we offer?Exciting work  Do what you love: Code, design, market, haggle and contribute to other teams as wellStart-up aroma  Learn, grow and have an impact on an early stage teamThrilling culture  Fast paced, demanding role with a friendly team and a fun work cultureStock options  Yes! you will own a certain percentage of company in your nameWho are we looking for?We are looking for creative, action oriented, curious, innovative, workaholic and entrepreneurial teammates who can orchestrate, measure, analyse and strategize different aspects of the business to help us scale.",,"Extremely competitive salary.Equity in the company (ESOPs). We believe in empowering our employees and team. Experience working with a motivated team to build a hot start up.Milestone/Performance Based Bonuses.Flexible work hours: We're not big fans of fixed working hours - you can work according to your convenience, as long as you can stay productive and deliver results.We're a small, tight knit group and are also best friends. This means regular meetups, outings and other fun stuff. Access to sessions on technology, funding, marketing from industry stalwarts.The best possible exposure to the intricate details of the startup universe. (A number of our ex-interns have already started up)Chance to work with a highly passionate and young team in a fun environment. (Company culture is very important to us)",0,1,1,Full-time,Mid-Senior level,,Computer Software,Engineering,0
10545,Senior Ruby Developer,"GB, , London",,,"BorrowMyDoggy is an online community that connects dog owners with trusted local people who will help look after their dog, whether for extra walks, playdays or holidays. Our aim is to leave pawprints of happiness on the lives of doggies and humans.Were fun, happy, caring, and thankful - these are values that we instil in our team, and every communication with our community. We absowoofly love helping people and dogs!","Were looking for a Ruby Developer who is excited about creating a solid, reliable, highly available platform for our dog loving community, BorrowMyDoggy. Were growing at a fantastic rate, and need your help to make sure we provide the best experience pawsible.We would love to find someone who wants to be a key member of our growing pack, helping drive our technology efforts, working with the founders and our wagtastic developer to deliver our continually evolving platform.Youll be a great communicator, organised, with a real interest in using technology to help make a positive difference. You might not have start-up experience but youll understand the fast moving, exciting, fun place that they can be to work.We cover the important bits of our code with tests, but were more pragmatic rather than zealots about it. Github and git will be second nature to you, and happiness to pick up new technology (RubyMotion anyone?) is one of your strong points.If youre already getting tail-waggingly excited about joining our pack please reach out and let us know how you can help make a difference and contribute to the ongoing growth of BorrowMyDoggy.","Expert knowledge of Ruby, and RailsExperience of setting up, monitoring, and scaling infrastructure in the cloud (AWS, Rackspace etc)Ability to integrate with 3rd party APIsExperience working with PostgreSQL or similarDemonstrable experience in developing applications for thousands of usersAny experience or interest in Rubymotion, or interest and experience in other languages & frameworks would be beneficialYou'd need to be able to work with the team at our office in Borough, London, but we'd consider a couple of days remote working per week for the right candidate.","The salary for this role is in line with current market, and is based on your experience.We have a great holiday policy that we'd be happy to talk to you about.You can bring your doggy to work (and we have other doggies in the office)!",0,1,1,Full-time,,,,,0
6656,"Data Modeler - SQL Data Modeling Agile Scrum Erwin - Washington, DC","US, DC, Washington",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Data Modeler is required having Oracle PL/SQL experience as well as extensive background in data modeling, data warehousing.","5+ years of experience in Data modeling, business intelligence and data warehousing, Erwin etc.Candidate must have Oracle PL/SQL experience as well as extensive background in data modeling, data warehousing.Experience with a data modeling tool such as ErWIN required.Understanding of star/snowflake schemas, indexing, aggregate tables, dimension tables, constraints, keys, and fact tables required.Experience with Agile Scrum a plus.Must be able to communicate effectively with the client and be onsite in DC 100%.Business Intelligence, Data Warehousing, Oracle, Data modeling, communication,performance tuning, client management, Agile ScrumEducational Qualifications:Bachelors or higher level degreeWe Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,Information Technology and Services,,0
6845,Java developer,"FI, , Turku",,,,"We are currently looking for enthusiastic Java Developers to join our ranks in upcoming battles. Our flagship open source product, Java based Vaadin Framework, is currently experiencing a rapid worldwide adoption. Since its launch 3,5 years ago Vaadin has already conquered a the hearts of more than 100,000 developers worldwide, and we are hungry for more.Would you be interested in being part of the next success story and leaving your mark in history? Join the Vaadin team!What you can expect from usWe value technology and innovation over convention and status quo. We are all rich with ideas, ardent towards developing new and better solutions and love bending the limits of what is possible on the web. The organization is free from frivolous bureaucracy and assuredly developer-friendly. Do we sound like the community for you? At Vaadin, you get to work with cutting edge technologies and pick the tools you need to succeed. We encourage you to put 10% of your working time into your personal projects that help moving Vaadin forward. We are truly proud - not only of the fact that our open source technology is used by thousands of developers worldwide, but also of our brilliant team. We boost our team spirit with work as well as fun related activities. We challenge and support you to grow as a professional in our creative, startup-like environment with customers all over the globe. Oh, and there are LAN parties, a game room and BBQ's!What we hope you to bring usYour relevant degree is equipped with strong and provable programming skills. We believe your interest and experience in Java, open source and web technologies will work towards your advantage. Because you are a team player, we know you'll fit our compact team creating peerless user experiences. We trust you to be as confident in close interaction with our customers, as you are writing kick-ass Java. Our operating market is global and our customers located around the world. Therefore, readiness to travel and fluency in English are pretty vital for your survival. The post is located in Turku Finland, and we offer a comprehensive relocation package should you need one.",,,0,1,0,,,,,,0
4097,Hero Developer Evangelist,"US, CA, San Francisco",,,"Mashape is the largest, most trafficked API marketplace/hub in the world.Mashape is a revenue generating startup powering thousands of APIs (both private and public) and Applications - its used in almost 100 countries by thousands of developers and adopted in every major industry including finance, healthcare, military, agriculture, insurance, government, media, e-commerce, retail, aviation, manufacturing and telecom.Mashape is funded by tier 1 VC firms including Index Ventures, NEA, CRV, Stanford University, Jeff Bezos and Eric Schmidt.","You should know how to code. A specific programming language is not necessary in this case, but an overall understanding of machines, code and APIs is required.De facto, you will organize and attend hackathons - you should have strong communication skills. You will inspire developers, generate contents from blog posts to tweets. You should have a naturally affection in helping people.You will make our big community of developers happy through responsive and outstanding dev support.You decide which conferences/summits will need to be sponsored, put the base for monthly meet-ups around US. Also, you we'll decide the merchandise, the strategy to have a merchandise that people would love to wear - everyday. You will take care of the overall external communication of Mashape.You will also be in charge of discovering new viral mechanics to build into the products, to accelerate the users base. You will think and create unconventional marketing actions. You will also take care of the community and analyze the happiness of our community.You will identify and contact APIs that can list their services on Mashape; also you will think and activate strategic partnerships.",You're an API HustlerYou love to communicate with developers and you measure the success by the happiness of our communityYou have built web or mobile apps before and you feel yourself more a generalist developer.You always want to discover new paths and new technologiesYou have outstanding written and oral communication skillsYou are able to travel worldwide and adapt yourself in new countries and culturesYou should have built at least one community around something you cared," - As an early employee you will get a true stake in the company - Competitive salaries  - Poker nights  - Apple equipments  - Italian Food cooked by the founders - Free lunch 2 times a week. - Official mashaper  - 1 week/year in a spiritual retreat with the team somewhere in the world - A 2nd family  - whatever problem outside of the work, well be there - Vacation days & time off - Awesome medical, dental, & vision insurance - 401k - Company dinners & happy hour  - Much more…",0,1,1,Full-time,Not Applicable,,Internet,Information Technology,0
151,Web Developer,"US, AZ, Phoenix",,,"Yazamo is a rapidly growing digital lifecycle marketing firm focused on developing web marketing strategies for mid sized to enterprise companies. Optimizing web conversions, designing and developing websites, setting up analytics tracking to measure campaign success are all vital components of our success. We are a fast paced and constantly evolving firm where the right person can a have real impact. We are searching for a competent and creative web developer to jump in with both feet and join our growing company.","If you are you a talented Web Developer who wants to work on cutting edge digital marketing projects, we are the place for you. Our collaborative team of consultants delivers results across multiple verticals and technology platforms to mid-size and enterprise clients. At Yazamo you will experience a dynamic work environment, a talented team of A players and an innovative evolving culture. If you are the jump in and get stuff done type of person you will be a great fit. If you are looking to be part of company where your ideas are valued and your contributions are impactful, this is the place for you. If you are just looking to clock-in and clock-out while keeping your head down, this isnt the place for you. If you dont want to take ownership of your projects and pursue great results, we arent the right fit. If you are motivated and want to be a part of a company working on the cutting edge, a firm working ahead of 95% of the market, we want to work with you."," Strong front-end development skills: HTML5, CSS3, and JavaScript (jQuery) Strong experience with WordPress (custom theme, shortcode, function, etc)Some experience with PHPSome experience with front-end frameworks: Twitter Bootstrap, Foundation, etcSome experience with Adobe Suite skills: Photoshop and IllustratorFamiliar and experience with latest web design trends: responsive, parallax, jQuery / CSS animation, etc.Willingness to work with back-end frameworks: Laravel, JavaScript, MVC/MC*Excellent organization and communication skill, both verbal and writtenUI templates and wiring to back-end controllersDebugging and problem solving skillsSome experience with cross-browser, platform, and device manual/automation testingExcellent communication and documentation skillsAbility to work independently as well as collaboratively in a multidisciplinary team",Competitive salary at all experience levelsExpenses paid for conferences,0,1,0,Full-time,Associate,Unspecified,Marketing and Advertising,Information Technology,0
15454,Community Ambassador Polish-speaking (Remote),"PL, , Poland",Marketing,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"TransferWise, co-founded by Skypes first employee and backed by some of planet's most experienced innovators including Sir Richard Branson and PayPal founder Peter Thiel, is disrupting the world of currency & international money transfers. That means flipping a gazillion dollar industry on its head and taking power away from banks and the establishment.In just 3 years, TransferWise has processed over £1Bn in transfers and saved customers over £45M. Its our mission to bring transparency and fairness to the world of financial services.","Are you a dynamic, motivated, outgoing networker? Do you have an entrepreneurial spirit? Do you want to help your friends to save money and avoid the banks hidden fees? Would you like to make extra money? If yes, youre in luck  were looking for Community Ambassadors to help us spread the word and bring transparency to financial services.The application and more information can be found at #URL_bf2576bea1a6e9d0d71089e036ebe1a6ae3b71afbdbfad4cab1d49fb38ec84d9#Please note that Community Ambassadors are not official contracted employees of TransferWise. The only form of compensation will be conversion-based payment. There is no contract between TransferWise and the Ambassador.",,0,1,0,,,,,Marketing,0
8322,Senior Android Engineer,"US, , ",Engineering,0-0,"Why work for Mutual Mobile? We craft beautiful mobile interfaces and solve complex software challenges. Clients such as Google, #URL_699b900bfe4fc170a8a4e9c99ccfe3243461eb6625234e567ad654ad842c2243#, Citi, and Xerox come to us for our deep experience in mobile — from establishing a mobile strategy that provides impactful business value, to building those solutions from the ground up. We bring together the brightest minds in interactive & visual design, engineering, and business to deliver solutions that actually matter.","As a Senior Android Engineer at Mutual Mobile, youll be a technical lead and mentor. You will guide our project teams by creating technical designs, writing user stories, and grooming the backlog. You will promote and champion best technical practices with both our clients and your team. You will help to manage the customer relationship, perform code reviews, triage bugs, and manage releases.Dont have professional experience developing for Android? Thats okay, we welcome developers from different platform backgrounds. Perhaps you only have experience working with Android as a hobby — If you have the Technical Lead experience to join and lead our teams, wed love to talk.","You will excel in this position if you have many years of experience with writing Java code for enterprise systems. Youre an active coder with an eye for User Interface and Visual Design, and have the ability to code both back and front-end deliverables. You clearly understand Test Driven Development, and can write code that is wrapped with unit, integration, and system tests when applicable. Youre an industry expert: you clearly understand the SOLID principles, dependency injection, mocking, and domain modeling techniques. Most importantly, you have the ability to architect a software system that exceeds the expectations of the customer.Preferably, you have experience dealing with cross-functional teams across several countries, as youll work with everyone--clients, PMs, UX/UI designers, and mobile engineers--to coordinate successful project completions. Youre a kind, pragmatic communicator with a working knowledge of an Agile environment.  ","Why work for Mutual Mobile? We craft beautiful mobile interfaces and solve complex software challenges. Clients such as Google, #URL_699b900bfe4fc170a8a4e9c99ccfe3243461eb6625234e567ad654ad842c2243#, Citi, and Xerox come to us for our deep experience in mobile — from establishing a mobile strategy that provides impactful business value, to building those solutions from the ground up. We bring together the brightest minds in interactive & visual design, engineering, and business to deliver solutions that actually matter.We empower our team members to pursue cool ideas, think for themselves, and make mistakes. We wont subject you to our current systems and processes--well expect you to improve them. You wont get bored. We know youre smart, and that you love learning new technologies--so well put you to work on a variety of projects across several platforms, and with many different types of people.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Engineering,0
9350,Sales Ambassadors,"US, TX, Dallas ",,,"Millionaires In Training Recruiting Group specializes in placing qualified job seekers in the most successful direct sales and marketing firms of Fortune 500 companies. We are responsible for placing thousands of job candidates successfully in a position that allows them to manifest their career goals. Whether youre looking to take the next step, make a change, or pursue a different industry MIT Recruiting Group can assist you in taking your career to new unprecedented heights.  ","Do you have the smarts to get what you want professionally?  At Millionaires In Training Recruiting Group we have a system for helping individuals tap into their own genius or expertise.  Through a program of mentorship and training, MIT Recruiting Group provides you with the tools to help you change your thinking so that you can achieve your financial goals. No matter your education or work background, we believe anyone has the ability to get what they want. We are looking for individuals with an entrepreneurial spirit who appreciate working with others, and are willing to learn how to change their current habits and methods.Millionaires in Training Recruiting Group trains and places direct sales personalities with vendors who represent Fortune 500 companies.Position:Clearly present the companys services and benefits to customers, and sell them the appropriate service to meet their needsParticipate in daily sales training and meetingsContribute to enthusiastic team environmentCareer progression opportunity for full time positionsFull Time and Part-Time Summer Positions available",Skills Required:Comfortable with face to face interaction with customersExpertise in developing relationshipsAmbition to meet sales goalsGood ListenerStrong verbal communication skillsSkills Preferred (Not Required):Bilingual in English and SpanishPrevious leadership experiencePrevious customer service experiencePrevious public speaking experienceStrong influencer in social mediaPersonal vehicle preferred but not required,Benefits:Training in Sales and Customer Service providedWeekly pay with bonus potential based on performanceOngoing support from motivational staffSpecial leadership programs for highly motivated individuals wanting to advance to Team Leader or higher positionsFun Team EnvironmentTravel Opportunities,0,1,0,Full-time,Entry level,,Marketing and Advertising,Sales,0
1503,Accounting Product Support Representative,"US, MI, Ann Arbor",Support,30000-50000,"ParishSOFT LLC (formerly Parish Systems, Inc.) was founded in 1998 by Fr. David Rosenberg, a technologist, catechist, music minister, and former deacon. Bill Pressprich joined the company in 2002 as president and CEO, bringing many years of executive experience with software companies as well as service to the Church.Today, ParishSOFT's mobile church management and accounting systems are used by parishes in nearly every U.S. arch/diocese and in more than 84 arch/diocesan offices. ParishSOFT uses Agile development methods and releases enhanced software and web solutions updates throughout the year. New functionality, enhancements, and new products are driven largely by customer input.","Exciting opportunity to work with fast paced company that provides church management software and services to 14,000 churches and faith-based organizations across the country.We are seeking an energetic, service-oriented person to support our accounting software product.. We're looking for team players who never stop learning and thrive in a highly-energized, problem-solving environment.Company offers a business casual environment and a great Ann Arbor location.Job Summary: This position is in our Accounting Support team- we are a highly collaborative group, and our goal is to help our customers be successful using our accounting software. We don't do their books, we just make sure their software is working properly.  This is done primarily via phone and email support directly interacting with end users, and offers future opportunities to do web training, onsite training, and back-end data manipulation. ","Proficient computer skills with familiarity of operating system settings and power user of MS Office®.Exceptional listening and analytical skills, and great attention to detail .Strong interpersonal, written and oral communication skills. Good organizational, multi-tasking skills. Demonstrates a good understanding of quality customer service and the ability to provide efficient solutions to issues.Focus efforts on continuous improvement looking for ways to streamline processes and to improve customer satisfaction.Seeks to take the initiative while at the same time enjoys being a team player.EDUCATIONAssociates/Bachelors degree in Business, Accounting, Accounting Information Systems, Computer Science, or enrolled in related coursework/experience.No experience necessary but 1-3 years of related experience a plus.Must be eligible to work in the U.S. Permanent residents only (green card holders and US citizens)PLUSESMicrosoft SQL knowledge and experienceExperience doing books in a non-profit fund accounting environment.Basic programming knowledge",Profit Sharing401k MatchingHealth and Dental insuranceTuition Assitance,0,1,0,Full-time,Entry level,Associate Degree,Information Technology and Services,Customer Service,0
11766,Marketing & Sales Intern,"DE, , Berlin",,,We are on a mission: Making cities smarter.Cities are complex. And your smartphone should save you from the everyday challenges of living in them.How do we contribute? We are reinventing the best public transport app. (#1 Winner of the Mobile Tech Award)We're based in Berlin. Close to Hackescher Markt. We have a rooftop terrace & a plunge pool. We love it.We are hiring now!,"Join us conquering the mobile market. Now.This is a cutting edge job, since you'll be working with the latest tools, innovations & trends in mobile marketing & sales industry.Mobile Performance Marketing has started to develop in the last years. Acquiring new customers via mobile channels is becoming a big industry. Help us to improve our CPIs (cost-per-installation) and CPAs (cost-per-activation) for new users.Mobile Sales - simply making money with your app, especially on location-based-services, has recently started to kick-off. Help us to monetize the app, and find smart ways to make money based on our service.Your jobSupport in planning, implementing and optimizing our marketing campaignsWork on specific projects and have full ownership over these projects yourselfPrepare, develop & execute new mobile sales strategiesYou'll enjoy a culture of openness with strong values. And learn very much!Currently you are studying a business subject (e.g. media, economic or communication science) and have gained first experiences in (mobile) marketing or sales. You have project management experience, an analytical/data-driven mindset, as well as a pro-active and self driven attitude. Mobile and startup experience are a plus.Paid opportunity. Rates depends on the person.",,We have a phantastic work environment. See our benefits & perks: #URL_620c3751a70f14f8e05fa45219c418756c9979270b50c234b913b1ad04b3e84d#The greatest benefit: Become part of something amazing. With real impact. Now.,0,1,1,,,,,,0
2275,Customer Service/Order Entry,"US, SC, columbia",Customer Service,,"Itsa Southern Thing Apparel owns several clothing brands: Eat More Tees, Itsa Girl Thing, and Fripp & Folly.  We strive to provide excellent service and quality to our wholesale customers while reaching out to our end user through online media.  All of our brands build from t-hirts first.  Because our company began as a custom screenprinting enterprise, we will always print our own t-shirts to control the quality and availability of our shirts.We love where our brands have been able to take us.  We hope you visit our website or any of our retail partners and find something that helps define you.  #URL_7190b3b80bd2332aa57046df34c6443e9e8c7afa14d9a03b927fabb3e18e7432#-girl-#URL_da1fcc952a602fe360bce433310e06afc227c889039d656770d684b010d99751#    #URL_8615272cf9fe9b8aa613d176988c9a055d24d06325087d9af2c27c94fdf9c3cc#   #URL_3d944d2625879d4238c81f31e9555704be9e677528a93d6a4cb2b28800358f0b#   ","This job is a nice stable position within the company.  It requires strong attention to detail, efficient data entry and some customer interaction over the phone.  Hours 8:30 - 5:00 M-FPay $10.50 / hourPosition available now.",4-year college degree,Health Insurance availableRetirement matchingPaid holidays,0,0,0,,,,,,0
13808,Junior Sales Manager,"GB, LND, Shoreditch",,,"Adthena is the UKs leading competitive intelligence service for Google search advertisers. Adthena is loved by major brands and digital agencies alike and provides a great opportunity to work in the high growth adtech space. Our patent-pending technologies provide unparalleled accuracy for clients to understand their competitors keywords, budgets, spend, CPCs, Adcopy and more. We're profitable, fast growing and love what we do.","Do you want to work in the high growth digital marketing industry selling the UKs leading competitive intelligence solution? Be part of a small team in a very fast growing company with great colleagues and great prospects for future advancement? Work in funky, centrally located offices in Shoreditch? (Old Street Roundabout).We are looking for a smart and motivated sales star to sell our industry-leading technology to enterprise businesses in the UK. You need a 'get things done' attitude and desire for success. Youll have guidance and support from your team and plenty of opportunity for using your initiative. We are an emerging market leader so you will be given all the help to be successful. You will develop new business opportunities through inbound leads, prospecting, referrals, website leads, research and account management. Youll need to become knowledgeable on search engine marketing and specifically Google PPC advertising and competitive intelligence. You are no doubt driven to achieve monthly targets and are good at managing your time. Youll need to be able to provide online demos and sell via email and phone, have good networking skills, find and consult with decision makers in target companies to uncover opportunities and craft solutions with Adthenas technologies.","You'll need to be smart, passionate and demonstrated you can learn fast and are excited to do so. We're a software product company so we love technology - so should you. You should like a new challenge and be driven to achieve results and smash targets. Importantly, you should have strong written and verbal communication skills. You will already have 1-3 years sales experience and have a proven track record in a consultative sales enviroment. ","In return we'll pay you well, give you some ownership in the company, feed you and importantly give you opportunities for advancement based on merit. Oh, and well give you a new pair of Adidas trainers when you join.Adthena is the UKs leading competitive intelligence service for Google search advertisers. Adthena is loved by major brands and digital agencies alike and provides a great opportunity to work in the high growth adtech space. Our patent-pending technologies provide unparalleled accuracy for clients to understand their competitors keywords, budgets, spend, CPCs, Adcopy and more. We're profitable, fast growing and love what we do.",0,1,0,Full-time,Entry level,Bachelor's Degree,Marketing and Advertising,Sales,0
2311,General Manager,"HK, , Hong Kong",,,"At Hayes-Corp, we create the fun stuff.  With a focus on software development for mobile platforms, we are constantly looking for talented people that can think outside the box logically and creatively.  Whether you define yourself as a coder, a marketer, or a number cruncher, we give you the resources to excel at what you do best. If you dont see a position you like, but think you have what it takes - just send your resume to #EMAIL_eaa37b5bf6cd5f435db52ea17edfd1f61c685afdf336f31ca36d3514a139e79e#.","At Hayes-Corp, we like extraordinary. Whether you define yourself as a coder, avid gamer, a painter or even a ninja, we give you the respect and resources to excel and grow. We are currently seeking an experienced General Manager for our Chengdu office.As the General Manager of our Chengdu office, you will oversea all non-production related operations. The ideal candidate will have game studio/ software & technology experience in managing all departments from daily operations of Admin, IT, Human Resource teams to working with the Events Departments. The General Manager will assist Chairman and managers in standardizing the operation procedures in our Chengdu office. Responsibilities:Propose updates to current organizational structure for operations (Admin/IT/HR/Events departments) based on Company directions and resourcesRecognize employees exceptional performance for delivering and fostering a positive creative environment for teams to produce blockbuster gamesPartner with Chairman and Managers to identify key departmental milestones and implement changes to optimize efficiencyEvaluate trends and recommend milestones to justify potential business growth opportunities ",2-4 years operations management experience in mid-size game studio/ software companyMinimum of Bachelors required. Software & technology background preferredHighly organized and motivated general manager with a strong passion for gamingExcellent written and verbal skills in English and Mandarin,,0,1,1,Full-time,Director,Bachelor's Degree,Media Production,Management,0
13510,"Driven, Strategic Relationship Manager for SaaS Startup","US, IN, Fishers",Account Management,38000-52000,"Bluebridge was created by a team of people who are passionate about organizations and the ways that effective technology makes them stronger and more well connected. Technology moves quickly. Businesses tend to move more slowly, either because of their place in organizational charts or because they often face modest budgets. bluebridge empowers these organizations with straightforward, affordable tools that help connect them with their customers and prospects.Bluebridge is built on a revolutionary platform that allows an organization regardless of size, to create a mobile app.By meeting consumers where they are—and with gadgets that they carry in their pockets and bags every day—bluebridge provides an engaging direct marketing channel that connects individuals while encouraging them to interact with your brand in a fresh, new way.","Description:Bluebridge is a software as a service company that provides mobile tools to help organizations engage and communicate with their audience and communities. We work with organizations like Churches, Visitor & Travel Organizations and Colleges to help them connect their content with people's pockets. We love helping our customers create awesome, polished apps so they can better communicate.Our Values:Bluebridge is defined by continual improvement—not only of the products and services we deliver to our clients, but also of ourselves. Each member of our team is held to the same expectations: keep learning, experimenting, and iterating. Succeed or fail, but grow by it either way. Collaborate and ask questions. Be resourceful. Be restless. Have fun. We believe the joy you take in your work will be reflected in the outcome.Our Team:For a tech company, were deeply, unashamedly human. We make time for each other. We tell jokes, stay late together, eat meals together—sometimes over a tough project, sometimes at the bar down the street. Were a team of self-starting individuals with a knack for cooperation and collaboration. We work (really) hard every day, but we also know when its time to take a break. We place a high value on personal connections amongst ourselves, our clients, and the outside world.Ideal Candidates:Youre smart, savvy, and highly skilled, but youd rather show it than talk about it. Youre confident enough to know that you still have a lot to learn, and you listen first and speak second. When faced with an obstacle, you get excited to overcome it. You love taking new approaches and look for creative solutions for every challenge. You arent afraid to fail, but you refuse to be defeated. Youre always striving to be better, both professionally and personally. You dream big, then work hard to make it happen.The Job:Your mantra is: Driven, strategic, and resourceful.As a Relationship Manager it is your mission to deliver exceptional customer experience that helps customers achieve their strategic objectives. Your goal is to maximize the customers investment in our products and services.This role is key to client retention and account development of our rapidly growing customer base.You enjoy working with existing strategies, or creating new strategies on the fly to meet clients needs. You have high self-confidence and are a risk taker and problem solver.You are naturally a self-starter - others look to you for decisions and direction. You dont avoid the difficult phone call (and maybe secretly love it). Turning around a tough client situation and resolving it with your confident, resourceful way is a simple reminder of why you love your job.You will help coach customers and develop and uncover and document their business goals. You will understand what it takes to win the hearts of your customers. By connecting customers to our team of experts in support, training and strategy, you are driven to manage relationships with excellence and ensure that nothing falls through the cracks with our live clients needs.You are naturally organized and think on your feet. You are the backbone of Account management and ensuring the long term success of customers at Bluebridge.This position requires an extreme eye for detail to make sure nothing slips past you.Aside for high levels of organization, you will be communicating with customers at all levels of the customer organization, so you must be courteous and helpful at every turn. Happy, friendly communication should come naturally.","Your typical day may include:Managing email communication and Phone Calls for Account Management questions.Learning the ins and outs of App Marketing Strategies, so you can suggest best practices for customer app promotion.Opening and Tracking support requests to ensure customers connect with the right team members and customers are getting answers.Tracking requests and deadlines to make sure everyone knows the status of their Account.Creating renewal strategies for specific customers, to ensure they realize the value of their investment and have a plan for their app long term.Coaching customers, uncovering and documenting their business goals so you can focus on the right solutions for their needs.Suggesting professional services, advanced training, or other upsells that match your customer goals.Primary Responsibilities:Lead all post-close account planning and management for a portfolio of 100 clientsWork with customers to deeply understand their use case, align business goals and establish measurable KPIsProvide proactive day-to-day account management, establishing call cycles to share best practices, communicate product updates and identify growth opportunitiesManage the renewal process and take point for the annual renewal of subscription and professional service contractsProvide executive management with visibility to renewal metrics and upsell pipelineContinually seek ways to improve customer service and communicationHelp identify at-risk customers earlier, uncover roadblocks and ensure the company is taking the necessary steps in the resolution processServe as voice of the customer and liaison to executive leadership, product management, professional services and customer support teamsMonitor the customer lifecycle and implement programs to improve the customer journeyProvide best practices consulting on mobile app strategyImplement and measure customer satisfaction metricsTier1 support as needed",,0,1,1,Full-time,,Bachelor's Degree,Internet,Customer Service,0
15441,Accounting Clerk,"US, NC, ",,48000-58000,,"Qualified candidates will be responsible for basic daily clerical Accounting tasks within both the Accounts Payable and Accounts Receivable departments.Job Description may include:* Answer vendor payment inquiries via email and phone * Partner with other Accounting personnel to achieve daily tasks* Provide timely feedback to supervisor regarding from vendors and clients * Performs filing, copying, and other duties as assigned* Tag and approve vendor invoices and resolve any discrepancies both internally and with vendors * Process and apply AR payments* Process AR invoicing* Cut daily AP checks upon approval","Applicants must possess competency in ALL of the following abilities:* Basic PC skills including Microsoft Office products Word and Excel* Clerical Accounting experience (1+ year preferred) * Previous experience in a high-volume, fast paced environment a plus* Previous knowledge of Account payables and receivables is a plus, but not required.",,0,0,0,Full-time,Entry level,High School or equivalent,Defense & Space,Accounting/Auditing,1
4980,Customer Happiness Technician (cell phone & tablet repair) for growing Seattle startup,"US, WA, Seattle",,,,"Local start-up See Jane Fix is seeking experts in cell phone and tablet repair for our growing client base in the Greater Seattle area. Partnering with some of the largest employers in the Northwest, See Jane Fix addresses the need for device repair services not restricted by a retail environment. Our mobile Customer Happiness Technicians are dispatched to businesses throughout the Greater Seattle area and are responsible for bringing back the smiles to our customers faces once again!Customer service is at the heart of this business, so if you enjoy spending time around people and having hour-long conversations with strangers (that's how long some reapirs take) then this job could be for you. A love of customer service is essential for this position, and we are happy to train the right candidate even if they have no experience in technical repair. See Jane Fix is poised for accelerated growth and we are excited to share this newly created opportunity with you. As a Customer Happiness Technician, you will be repairing tablets, smartphones or other electronic devices and engaging one-on-one with customers at their place of business.There is room for growth within the company, so if a candidate has strong skills in addition to customer service and technical repair, they could potentially take on more responsibilies and help grow the company. Skills in design, bookkeeping, sales & marketing, and project management are the types of skills needed in any start-up.  You might start out as a Customer Happiness Technician, but you could end up as the head of sales. About See Jane FixIn 2013, Alex McConnehey cracked her iPhone screen and she took it to a friend to get it fixed. But instead of just fixing her phone, Alex was offered a job instead. With little more than curiosity and a will to learn, Alex rose to the challenge and learned how to fix not only cracked screens, but also every type of damage including water damage, typical in our damp Northwest environment.After many hundreds of repairs, Alex discovered a need for device repair services for people on the go and created See Jane Fix. Now a small yet growing company on the verge of explosive growth, the company is now in need of Repair Technicians AKA Customer Happiness Technicians. If joining a fast-growing startup in a high demand market excites you, please contact us for a brief interview!","ResponsibilitiesEngage with clients in a positive and professional manner befitting a Customer Happiness TechnicianDiagnose, troubleshoot and repair all types of cell phones and tablets to “like new” conditionPerform component repairs including screen repairs, function key replacements, housings and batteriesMaintain accurate customer recordsInstruct customers on proper use of cell phones and other deviceDesired Skills and ExperienceCustomer service experience in a retail, restaurant, or similar environment (Geek Squad, Apple, Radio Shack, Best Buy are ideal)Consultative, collaborative customer service styleExperience working on Apple, Samsung, HTC, and Motorola devices is preferredDesire to learn in-depth repair techniques, including micro-solderingExcellent technical troubleshooting skillsStrong attention to detailExcellent problem solving and analytical skillsStaying current on new device technologyEntrepreneurial, self-motivated and goal-oriented",TBD,0,0,0,,Entry level,,,,0
956,Consultant Advanced Analytics,"DE, NW, Dortmund",Professional Services,,"Pioneering advanced analytics vendor RapidMiner is redefining how business analysts use Big Data to predict the future. With an open source heritage, RapidMiner is one of todays most widely known and used predictive analytics platforms, providing powerful solutions for a wide variety of industries.","RapidMiner, with offices in Dortmund, Germany, and Boston, MA USA, is innovative provider of open source software and services for Business Analytics. The goal of Business Analytics is to drive business planning by focusing on developing new insights and understanding of business performance based on past data and statistical methods. RapidMiner offers all aspects of Business Analytics from building data warehouses (DWH) over ETL to the creation of reports and dashboards. Together with the statistical methods of RapidMiner (Predictive Analytics, Data Mining and Text Mining) we offer additional insights and factors influencing future development. Customers of RapidMiner include European leaders in pharmaceuticals and the chemical industry, retail, financial services, telecommunication and IT as well as manufacturing.Job content:Transformation and processing of customer needs as well as creating concepts, documentation, models and specification of DWHs and analytical solutionsRealizing project needsBusiness Intelligence projects, especially the generation of data warehouses, the creation and testing of ETL processes as well as displaying results with reports and dashboardsDevelopment of statistical predictive models for optimizing customer processesDescriptive and explorative analyses for detecting causal relationships","You should offer:A relevant degree above averageExcellent knowledge of SQL and databasesExperience with data modeling (databases and data warehouses), ETL, creation of reports / dashboards or in advanced analytics (data mining and text mining)Knowledge of process modeling, for example with UMLPractical knowledge of tools for ETL and reporting as well as for analytics (for example RapidMiner, R, Weka, SAS, or SPSS) is a plus We additionally expect:Willingness to acquire new skills, commitment, and team spiritAbility to simultaneously translate between technology and businessHighly developed communication skillsEnthusiasmCustomer focusSolution-oriented, autonomous, and precise working skillsEnjoyment of national and in individual cases also international jobsGood verbal and written communication skills in German and English",We offer fascinating tasks within a highly motivated team in a positive working atmosphere. We are young and sometimes even unconventional. We would like to drive your career together in our expanding enterprise in a highly dynamic and innovative environment. Are you interested? Then contact us!,0,1,1,Full-time,Entry level,,Information Technology and Services,Consulting,0
17230,Care Worker (Personal Assistant),"GB, EDH, Edinburgh South and South East",,,"Social Care Alba is the highest graded Care at Home Company in the country.  We hold awards in Investors in People, Healthy Working Lives and Positive about Disability.  We are proud of our unique team of professionals who deliver outstanding individual support.Our team bring so much to our business; it's only fair we bring the same energy and dedication to developing their careers in return. It's all part of our commitment to quality in everything we do. We are proud to lead the field in professional Care at Home provision. Whether you're a Personal Assistant, a Social Worker, Nurse or one of the many other roles we have on offer, we'll support your growth at every stage of your career","Social Care Alba is the highest quality Care at Home Provider in Scotland. We are an Investor in People and Healthy Working Lives award winner.Following significant success and growth, Social Care Alba is actively recruiting Care Workers (Personal Assistants).  You would be responsible for providing the highest quality care at home support, including monitoring service users health & well-being, and help in administering medication. You will work with and learn from our team of experienced Nurses, Social Workers, Occupational Therapists, Physiotherapist and Pharmacists.Be part of company who makes a real difference to people lives.  Your day to day tasks would include:Providing individual care and support to people in their own homes. This may include cleaning, washing and dressing, providing meals and maintaining community links.You may also assist people with continence promotion, catheter care, stoma care, peg feeding, administering medication, oral health and moving and assisting.You will be expected to maintain personal files and act as a key worker to service users.","Key Accountabilities would be:To provide individual care and support to people in their own homes. This may include cleaning, washing and dressing, providing meals and maintaining community links.To assist people with continence promotion, catheter care, stoma care, peg feeding, administering medication, oral health and moving and assisting.You will be expected to maintain personal files and act as a key worker to service users.Your knowledge, skills and experience: Hold an SVQ in Health and Social Care or equivalent. Proven track record in helping and supporting others in a professional environment. Evidence of acquired skills or experience working in a similar role.The ability  to work a minimum of 20 hours per week. (Full time available, shift patterns may include evenings and weekends)We are looking for people that are passionate about caring for others, and who want to work in an exciting environment with significant career opportunities.We are recruiting to our teams based in Edinburgh Centre, South and South East. You will be expected to travel to these locations on daily basis. And due to the intimate nature of the position, you are required to complete a PVG form. ","This is your chance to become part of our continuing success story.Social Care Alba is quickly emerging as the leading care at home provider in Scotland, with an enviable reputation for winning multiple accreditations - including the investor in people award and healthy working lives.We strongly believe in investing in our staff and we are currently support staffs education with e-learning, workshops, SVQ 2, 3, & 4 all the way up to MSc.TEN REASONS TO JOIN US: 1. Variety of hours available up to full-time 2. Full training given 3. Supervision and support. 4. Salary of up to £8.00 per hour depending on qualifications 5. 28 days Paid holiday pro rata 6. Support with SVQ 3 and 4 7. Support with your career development 8. Health plan 9. Guaranteed hours following probationary period 10. You will LOVE it.",0,1,1,,,,Hospital & Health Care,,0
17070,Title Closer,"US, FL, Tampa Bay",,,"Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Your satisfaction, as our client, is important.  We are here to assist you and ensure a efficient, cohesive transaction.  Our experienced settlement officers and attorneys will travel anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.  For resume review, email to #EMAIL_bbbab0052922a3a922939af2180ff4d9f034ba04634bd573870818da62a0d151# or call Office: #PHONE_113b1bd5d3e5e087c3480c458e2ef299fd3a272612224463899e65ab2fe73995# x7141 Cell: #PHONE_c3853c0e034b798989b048d3a55a28d03177bfde5db40af1c435f6fa5a867868#","Network Closing Services, Inc. is looking for Local Settlement Closers!  We are growing, come Join a winning team! Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Our Client satisfaction is very important.  We provide experienced settlement officers and attorneys anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.Close residential purchase/sale transactions, in accordance with closing instructions from lender and sellerPrepare and package all documents to ensure recordingsPrepare all closing documents to ensure Title issues are resolved and Title Insurance is issued at closingConduct closing with customer, realtor, lenders, and attorneysCollect and disburse funds in accordance with escrow instructionsPrepare settlement statements including HUD and GFESend HUD's to appropriate parties and wire transfer informationMaintain and establish new client relationshipsWe operate in a paperless environment - Faster, Secure#URL_1bdaa45fd1ec77ea7879abfceafd610ca4fa9b4eb30eb6fa5f39b9ffac8a8c5a#",3-5 years Closer experienceMust have a client following/Book of BusinessMust be detail oriented and have good organizational skillsPC Skills to include MS OfficeMust be able to work independently and proactivelyStrong verbal and written communication skillsKnowledge of RamQuest a plusNotary public or be bondable,"Network Closing Services offers competitive pay and benefits including:Vacation PaySick PayHoliday Pay401k PlanHealth, Dental, and Vision Insurance",0,1,1,Full-time,Mid-Senior level,High School or equivalent,Real Estate,,0
14189,Customer Service Technical Specialist,"US, AZ, Tempe",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Technical Specialist will be based in our Temple, AZ client location. The right candidate will be an integral part of our talented team, supporting our continued growth. Responsibilities:Identify objective data and enter (""key what you see"") at a high level of productivity and accuracyPerform data entry task from a paper and/or document imageUtilize system functions to perform data look-up and validationPerform entry of data presented in structured forms, correspondence text or presented out of context in character stringsPerform document image quality validation, including document type confirmation and verification of image quality and clarityIdentify, classify and sort documents by assigning document types within the data entry applicationPrep documents for scanning to include; separate document by type, separate multiple page documents from single page documents, ensure all paper clips, staples and other fasteners are removed, and sort individual document types by size of the document and make copies as necessaryScan/Image to include; scan documents, ensure each document is scanned, interact with scanning software to indicate when a batch is complete, perform quality assurance and review images, perform quality assurance of documents that have been flagged by the system, and complete Productivity Sheet to track project progress and provide numbers for billing purposesHandle time-sensitive informationHandle confidential informationPerform duties and special requests as assigned by team leader and managerEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careIdentify and refer sales-cues leading to potential add-on businessEnsure adherence to business guidelines, safety & security proceduresSupport financial results by minimizing site waste and reworkCross-train in other areas as required","Qualifications:Minimum of 6 months customer service related experience requiredExperience performing data entry, word processing, remittance processing or related functionsProficient Keyboarding skills required - 7,000 keystrokes per hour alphanumeric, 12,000 keystrokes per hour numeric, with high accuracy levelsExperience in scanning/imaging requiredExperience with Fujitsu and/or Kodak scanners requiredExperience with OracleCapture, MySup and/or Optika applications requiredStrong computer proficiency and work experience in email and Microsoft Windows environments is requiredAbility to communicate effectively both in verbal and written formAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyAbility to adapt to change in a fast-growing production environmentStrong organizational and administrative skillsDemonstrated ability to show initiative and accept ownership of projectsAbility to use problem-solving skills in order to resolve client issuesAbility to meet employer's attendance policyAbility to lift and/or move items weighing up to 50 pounds or the maximum allowed by current State Law with or without accommodationsAbility to sit, stand, and/or walk for long periods of time with or without accommodationsHighschool Diploma or Equivalent required",,0,1,0,Full-time,Entry level,High School or equivalent,Facilities Services,Customer Service,0
10423,Partnership Manager,,,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"FunctionThe Strategic Partnerships team focuses on:  Discovering, creating and building new revenue streams for Netlog (anything beyond advertising, as Netlog already has a 15+ member ad sales team);      Sourcing and licensing new products and services, to be integrated into the core Netlog service;     Working across all departments of the company to define a product strategy, and then execute commercial partnerships with 3rd parties to deliver upon that strategy.You will need a strong interest and an in-depth understanding of the (digital) media landscape, including emerging media, technology, and social networking. Dedication and the willingness to learn are a must. While this position is based in London, you will be willing to travel frequently to our Ghent HQ office, and anywhere else needed on a case-by-case basis.Initial areas of focus (for at least the next 2  4 quarters) will be to work in conjunction with the Director Strategic Partnerships to deliver upon Netlogs strategy of evolving into a Social Entertainment Community. This entails working closely with our Product team to create a strategy for Games, Videos, Music, and other entertainment sources, and then building partnerships with these companies (direct work experience in these areas is a strong plus).SkillsDemonstrable experience in financial modeling;     Proven track record in deal negotiation;     Role is client / partner facing;     Strong analytical experience necessary, including new media deal structure;     Fluency in English, and at least one other language (French, German, Turkish and/or Arabic a plus).AttributesMBA degree preferred;     You have a proven experience in a similar position;    You are result-driven, enthusiastic and highly demanding;     You are a true communicator;    You respect deadlines, and you are able to set the right priorities;    You are a team player and you enjoy working in various and dynamic teams.",,,0,1,1,,,,,,0
3795,System Administration,"GR, I, Athens",IT ,,"Atcom is a world - class software vendor of smart content management products for intelligent interactive media solutions. Based in Greece, with offices also in U.K, Atcom has successfully completed more than 1800 large scale productions, all powered by the multi-awarded Netvolution WCMS. Atcom employs more than 120 people.","Atcom’s rapid growth of projects in Greece and abroad has created the opportunity for a full time System Administrator to join a highly skilled team of proven successful professionals.The candidate will have the change to work along with a team of Network Administrators that operates one of Greece's largest Data Centers, delivering more than 1,5 Bilion Page Impressions and 30 Tb of Data per month.We are looking for an exceptional individual whose key responsibilities will be to:Troubleshoot and resolve networking issues.Collaborating with global IT, Customer Support, Operations, Development and Project Management teams on all needed technologies and processes.Monitor and maintain acceptable system/service availability and performance.Investigate bottlenecks and optimization solutions. Maintain system security policies.Build, deploy and maintain network and security infrastructure and various applications at both our hosted and office sites.Provide out of hours callout cover for the above services on a 2nd LevelThe responisbilities may also include the Set up, Configuration, and Maintainance:Internal and external networks and network topologies for security, scalability, performance and availability in accordance with business requirements, product strategies and budget limitations.All systems, applications, security, and network configurations in a global environment including network equipment (switches, routers, firewalls etc.).Implementation and migration plan of systems and applications to the new network architecture.Datacenter administration.Recommend upgrades, patches, and new applications and equipmentTaking the lead role on implementation projects from beginning to end","The candidate should be able to demonstrate at least 3 years of operational experience in the most of the below technical skills areas:IPSec VPNs (FreeS/WAN and Cisco)Cisco devicesLINUX OS / WINDOWS OSDATABASE ADMINISTRATION (MySQL/MS SQL)STORAGE ADMINISTRATION.Virtualization Technologies.She/he need to possess BSc, TEI, IEK or similar computer/engineering degree and current CCNA or Higher Cisco Certification.","Atcom provides a creative, challenging and dynamic environment which encourages team spirit, cooperation and continuous learning. Successful candidate will receive a competitive benefits package.",0,1,1,,,,,,0
6350,PPC Analyst,"US, FL, St. Petersburg",,,"Founded in 1999, PowerChord is a leader in Digital Brand Management, specializing in the development of online channel management software that allows organizations to manage their brand and retail sales through an independent sales channel. The PowerChord Dealer Network System provides the independent dealer with a world class online retail presence; the objective is to drive business to the dealers web presence and ensure every dealer represents the clients brand and products accurately, attractively and effectively.  As the success of our system grows we desire to retain our “small company” feel, valuing our employees, their families and our clients. We reward innovation, hard work and unconventional thought. Were a fast-paced, energetic group that strives to get the job done right. Our collaborative efforts and progressive thinking have landed us on Tampa Bays Fast 50 list in 2010 and again in 2012. If our expectations meet yours come join our team.","PowerChord is seeking a PPC Analyst who will be responsible for the day to day management of paid media (search, display, and video) campaigns for clients across Google, Yahoo, Bing and other ad networks.  This role will also be involved in designing and developing campaigns including but not limited to structure, keyword research, bid management, targeting and placement selection and management, and ad copywriting.The ideal candidate will hit the ground running and thrive in a fast paced, extremely detail oriented, go-getter environment and exudes a raw passion for paid media.  Absolutely must be the ultimate self-starter with experience managing several campaigns across multiple clients and be a team player with a keen ability to quickly identify areas of opportunity to improve campaign performance.","Responsibilities:Conduct competitive and keyword research for new campaign builds or modsAssist with traffic estimates for new campaigns and annual planningProvide technical creative feedback to Digital Marketing Coordinator (DMC) and creative teams to ensure campaigns launch effectively with goals and objectives in mindParticipate in weekly meetings with account and digital management teamsOwn campaign performance monitoring and utilize data to optimize campaignsOwn day-to-day optimization for bid management, A/B testing, extensions, settings (device, scheduling, locations, ad rotation, etc.), budgets, exclusions, keywords (SQR  negatives, additions)Build campaigns, ad groups, and keywordsPerform quality assurance checks on new buildsGenerate and implement URL tracking parameters as requiredOwn campaign reporting and analysis as set forth by client and account managementComplete budget tracking worksheetsBuild and format reports/data for analysisWork with account management on KPI, goal and objective reviews, optimization suggestions and campaign improvementsWork with Digital Marketing Coordinator (DMC) to ensure creative assets and campaign requirements are finalizedMaintain working relationships with all vendors / ad networks and utilize as extension of resourcesAssist account management with client facing meeting preparation, conference calls and education/trainingHard Skills:1+ year of experience in paid search, media buying or other paid media rolesExcellent oral and written communication skills, with an emphasis on grammar and copywritingExtreme attention to detail and strong organizational skills with experience prioritizing multiple deadlinesAbility to prioritize and manage multiple tasks simultaneously in a fast-paced working environmentStrong Excel skills including quantitative and qualitative analytical skillsGoogle Analytics a plusGoogle AdWords / Bing Ads CertifiedSoft Skills:Dedication to delivering a high level of serviceEfficient systematic approach, excellent time managementMust be able to work effectively as an individual as well as within a teamHigh level of initiative","Amidst all the hard work theres Benefits, Perks & FunWe hire talented people so you wont be micromanaged14 days of vacation your first year  woo hoo!Unlimited coffee, cold beverages & snacksFlexible work schedule 7 a.m. to 7 p.m. (8 consecutive hours of your choice)Health is important  $20 per month covers medical, dental, vision, life, AADD & disability insuranceMonthly catered company Lunch & Learns (informative yet comical & employee presented)Annual Summer Bash  Our CEO (and former rocker) knows how to throw a partyNo dry cleaning needed  shorts and flip flops will doWe help you save (co. matched IRA), encourage you to learn (Tuition Assistance Plan), and want you to grow with us (we love promoting from within)For more information about our company, products, services and clients please visit us at #URL_af4e42913fcc7707bf70fd30392ca2587bbf2fce8d585c2863c82b0b3e85f5b8#.PowerChord is an equal opportunity employer.Must be legally eligible to be employed in the United States without sponsorship/transfer.",0,1,1,Full-time,Associate,,Marketing and Advertising,,0
3773,Front End Software Engineer (Junior),"GR, I, Athens",Software Engineering,,,"Certoid is an identity, impersonation and reputation network. The area: Software Engineering Our engineers develop the next-generation identity technologies. Our projects include working on advanced behavioral and distribution algorithms, massive scalability and accessibility solutions, large-scale applications that enrich the user experience as well as complex transaction systems. The role: Front End Software Engineer Do you want to help Certoid build next-generation web applications? As a Front End Engineer at Certoid, you will specialize in building responsive and elegant web UIs with AJAX and similar technologies.Responsibilities:Develop UIs for Certoid’s website.Coding, optimization and ongoing QA.Build systems that scale to thousands of users.         Requirements:Can-do attitude.Excellent attention to detail.Knowledge of HTML/CSS and an interest in user interface design.Knowledge of jQuery and JavaScript a plus.Knowledge of AJAX a plus.Perks:Competitive salary.Stock options.Flexible working hours and vacation.Private health insurance.Certoid is an equal opportunity employer. ",,,0,1,1,,,,,,0
38,PROJECT MANAGER,"US, TX, HOUSTON",,,,"we are looking for a Project Manager. The Project Manager works within the Project Management Department, which is responsible for the management of offshore projects, executed for major oil and gas exploration companies worldwide. The Project Manager manages projects in compliance with the applicable management systems and in such a way that they are delivered on time and within budget, to the highest quality, operational and HSE standards and, most importantly, to the excellent satisfaction of our client. The Project Manager will establish and nurture long-standing relationships with our clients. Job requirements BSc or MSc degree in Civil Engineering, Mechanical Engineering, Offshore Engineering or equivalent; A minimum of 10 years offshore installation experience, preferably heavy lift or pipelay, and 3 years in a (project) management position; Extensive experience working in multi-disciplinary teams; Good communicator, building and developing relationship with clients and other external parties; Good command of the English language, both written and spoken; Excellent contractual, negotiation and people management skills; Self-motivated, with a proven track record of commercial success; Willingness to travel. Job tasks Act as the primary contact for the client, authorities and subcontractors; Prepare and manage the project execution plan; Co-ordinate all project activities (including those related to subcontracts) in order to achieve the best performance of the entire organisation; Establish a multi-discipline project organisation in co-operation with discipline leads; Ensure smooth transition between different project phases and functional handover; Ensure project safety performance; Review and approve all project documents and project purchases; Supervise project related internal and external cost reporting; Identify and approve concessions, variation order requests and changes to the contract and subcontracts; Supervise preparation of as-built documentation and prepare project close out reports; Develop and apply knowhow and experience for the purpose of improving customer service and delivering customer satisfaction; Promote and support continuous improvement activities within the Project",,,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Oil & Energy,Engineering,0
13120,Customer Success Intern,"US, NY, New York",,,"Fusemachines combines the power of Data Science, Artificial Intelligence and Natural Language Processing to build unique software robots that can automate customer interactions and provide deep real time insights into customer behaviour. Our Fuse Platform allows companies to add intelligence layers on all of their communication channels. Our clients include government, financial institution and e-commerce companies.  ","ABOUT THE COMPANYFusemachines combines the power of Data Science, Artificial Intelligence and Natural Language Processing to build unique software robots that can automate customer interactions and provide deep real time insights into customer behaviour. Our Fuse Platform allows companies to add intelligence layers on all of their communication channels. Our clients include government, financial institution and e-commerce companies.  JOB DESCRIPTIONTechnology companies started to grow their business in the SaaS area and they started to face with a challenge of how to maximize solution adoption as quickly as possible while sustaining a stable customer success. As a fast-growing and successful venture capital-backed technology startup, Fusemachines seeks a hard-working, smart and talented Customer Success Intern to contribute to the overall business development of the company. The Intern will be assigned to various tasks which include contributing to companys customer success strategy by generating new and useful ideas; following up with the existing customers via email and phone; researching, analyzing and reporting on best ways to communicate with our existing client base. Also, as an intern, you will have the chance to learn and practice the core skills of project management by managing both short-term and long-term projects for our customer success development. Intern will closely work with the CEO and CTO to ensure a perfect integrity and flow in the companys customer success operations.RESPONSIBILITIESGenerate  and implement new ideas to improve the existing customer success strategy of FusemachinesDo research on the best ways to communicate with our customer baseFollow up with the customers via email and phoneHelp with any and all daily needs of Fusemachines team to achieve a superior customer success","Bachelors or  Masters, preferably in Business, Communications, or other related fieldAn ambitious, hard-working and detail-oriented team player, who is results driven and has a natural competitivenessVery strong communication skills (both oral and written) and capable of working effectively within a teamDemonstrated success working in fast-paced, dynamic and deadline oriented environmentMust be entrepreneurial, bright, articulate and able to challenge management to think beyond conventional wisdom within the current business paradigm       Must have demonstrated ability to be a strategic thinkerAdvanced computer skills required (Word, Excel, Outlook, and PowerPoint)Experience in customer success or communication areas is a plusInterest in technology is a plusStartup experience is a plus",,0,1,0,Full-time,Internship,,,,0
9457,Software Engineer in Test,"US, NY, New York",,,"Shapeways is the leading 3D printing marketplace and community, empowering designers to bring amazing products to life. By giving anyone the ability to quickly and affordably turn ideas from digital designs into real products, Shapeways is fundamentally changing how products are made and by whom.Through Shapeways, designers gain access to the best industrial 3D printing technology, capable of manufacturing products with complex designs in a wide range of high-quality materials. 3D printing turns raw materials into original products, from wedding rings to rocketships, model trains to iPhone cases, and prototypes to industrial engineering parts. The Shapeways community can sell their products, share ideas, and get feedback from creative consumers and other designers around the world.Headquartered in New York, Shapeways has factories and offices in Eindhoven, Queens, and Seattle. Shapeways is a spin-out of the lifestyle incubator of Royal Philips Electronics, and our investors include Union Square Ventures, Index Ventures, Lux Capital, and Andreessen Horowitz.","Were looking for a Software Engineer in Test to join our growing engineering team and ensure the quality of our releases and production environment. We are driven technologists who possess a blend of business savvy, product intuition, and coding skills. We run a LAMP stack for our production web properties, and have Java, Python, MySQL, SOLR, and other technologies in our back-office and supply chain tools.What you know, or will learn with usDefining and deploying agile SDLCMoving from weekly to Continuous DeploymentEnabling App Devs to write testsDeploying and running proprietary and open source quality toolsDesign and operation of e-commerce and community featuresDesign and operation of complex back-office systems (ERP, SCM, MES, SCADA)Production monitoring and alertingStack: Python, Jenkins, Selenium, JIRA, Ubuntu, PHP, Apache, Mysql 5.5ResponsibilitiesApp QualityEnsure quality of Shapeways web propertiesCollaborate to build the best lean UX possibleSpec QualityIdentify use cases and test casesCollaborate to develop robust product requirementsContinuous IntegrationBuild and support internal development toolsBug Triage and PrioritizationManage bug tracking and reporting systemLead bug triage and prioritization across teamsWriting and Maintaining Automated Functional TestsCollaborate with customers and stakeholdersWork closely with product and development to release high-quality softwareItemization / Esitmation / ExecutionProvide visibility into scope and deliveryOwnership of Quality-Driven ProjectsChoose when to buy, open source, or architect from the ground up","Passion and drive to change the way that things are madeExperience coding in Python, Java, PHP, or other OO languageExcellent written and verbal communication skillsAbility to manage time in a startup cultureKnowledge of Continuous Integration best practicesFluency with JIRA or equivalent issue tracking system","Why join our team?Shapeways is breaking new ground in the field of 3D printing. With our website and marketplace for designers, our goal is to give everyone access to the revolution of additive manufacturing. This isnt your typical web business  we have a physical product at the back end. If youd like to work in a dynamic, collaborative, respectful environment where colleagues share ideas and encourage each other to think creatively, Shapeways is just what youre looking for. Fun is also part of our DNA  a sense of humor is a must! Youll also have the coolest desk toys anywhere.Stock options in the companyMedical Benefits (individual at 100%)Vision insurance at a cost to the employee.Dental insurance at a cost to the employee.Flexible Spending AccountCommuter Benefits401(k)Four weeks of PTO (20 days total/year) in addition to national holidaysFive sick days/yearFlexible schedule and work-from-home optionStocked fridge (free food, drinks, beer etc), free lunch at LICWorking with some of the most talented, passionate, creative innovators in NYC. Best culture out there.",0,1,0,Full-time,Associate,,Information Technology and Services,Engineering,0
14597,Flex Sr. Developer,"IN, TN, Chennai",R&D,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI & Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall & excellence in the field of Business Intelligence & Analytics by driving BI adoption with our innovative analytical solutions and applications.","We are looking for a strong Flex Developer who can leverage SAP's Flex 4.0 SDK to develop custom UI components for SAP's products. Visual BI already has a rich history of building 40+ add-on components that are SAP certified, and many of these controls are already being used by CXOs and Executives from Fortune 500 enterprises.Specifically you willBuild visualization and analytics controls (calendars, selectors, charts and utilities) using Flex SDK 4.0Delivery high-performance components that can handle huge volumes of data.Work with Javascript developers so that such controls can also be published onto mobile devices.","2-5 years of Flex Experience preferred. Specifically, you need to have the following:In-depth knowledge of Flex - Component Life Cycle.In-depth knowledge of Flex Components and their construction method.Creating styles, item renderers & components in Actionscript.Flex Event  Mechanism and Custom Event.Good Understanding of Flex  Data Visualization package",,0,1,1,Full-time,Associate,Unspecified,Information Technology and Services,Information Technology,0
8220,Software Engineer,"US, VA, Herndon",Software Engineering,,"Roka Security is a boutique security firm that specializes in full-scale network protection and defending against advanced, targeted attacks. Our staff members have background in governmental and intelligence fields as well as large-scale data center and network deployments.We leverage our in-depth experience to aid our customers in protecting their data, and their intellectual property, andtheir customer's data, We help our clients with the full breadth of services whether it's consulting on general security issues or performing security assessments or assisting them with their latest network design or datacenter build-out. We also provide the full breadth of managed services including managed security perimeters, Managed Infrastructure / private cloud, or 24x7 security monitoring in our state-of-the-art Security Operations Center.","Roka Security is looking for Software Engineers with a variety of talents.  The position is for a full time employee at our office in Herndon, Virginia.  We are looking for both hourly and salaried positions.There is a large security component to all of our software, so a background in computer security is a plus.  We are looking for people to help us build mobile, desktop, and server based application.  We are interesting in development languages ranging from scripting and C, to mobile development in iOS  and Android platforms.",,,0,1,0,Full-time,Not Applicable,Unspecified,Computer Software,Engineering,0
10235,Web Developer,"US, MI, Ann Arbor",,,"Vayu builds affordable unmanned aerial vehicles (UAVs) for healthcare supply chain management and post-disaster aid delivery.Currently, healthcare providers and disaster relief responders depend on costly, slow, and unreliable transportation by motorcycles, cars, and trucks to serve remote communities. One billion people live all or part of the year without access to all-weather roads. Vayu's UAVs will provide affordable, fast, and reliable delivery of vital goods to these isolated areas, both on a regular basis and in times of crisis.Our offices are in Ann Arbor, Michigan, USA and New Delhi, India.","The ideal web developer has a passion for Vayus mission. Candidates should be able to design within an established brand identity system, while pushing the direction forward. The web developer is responsible for designing, coding and modifying our existing website, from layout to function. The candidate will also strive to create visually appealing sites that feature user-friendly design and clear navigation.Other tasks includeCollaborate with management team to articulate and execute design decisionsCreate web graphics to enhance website designUpdate the website to include new products and services offered","Key qualitiesPassionate about design and layout: understand it is the face of the firm to clientsDetail oriented: margins align, font is consistent, and text is clearly communicatedStrong understanding of UI, cross-browser compatibility, general web functions and standards.Enjoy a fast-paced work environment that will prepare you for the real worldProficient in Bootstrap, HTML5, and CSS3",,0,1,1,Part-time,Entry level,,Aviation & Aerospace,,0
5563,Administrative Assistant,"US, MI, DEARBORN",Administrative,25000-40000,"With decades of experience and more than 5000 industry experts in 51 countries, MSX International serves as a perpetual pioneer, opening new roads in the retail network and human capital solutions spaces. Leveraging our expertise in the passionate pursuit of outstanding results, we are adept at bringing innovative solutions to our customers and their complex challenges.Through our industry experience, leading talent, cutting-edge technologies and best practices, were serving your business needs to help you achieve your goals. Our dedicated team is committed to making your business more efficient, cost-effective, profitable and successful. We have been an innovator in the automotive industry for more than half a century and have created a company that identifies and maximizes opportunities to effectively solve problems and deliver comprehensive business strategies that give you the competitive advantage.","MSX International is currently seeking an Administrative Assistant to support Vehicle Energy Management Chief Engineer in high-paced and high-profile office environment. Responsibilities including maintaining office filing system and record retention, managing incoming and outgoing mail, e-mail correspondence and daily schedule and meeting preparation. Resolve meeting requests and conflicts in a timely and efficient manner. Provide high quality administrative support on the development and preparation of organization charts, reports, communication and correspondence, spreadsheets, Power Point presentations etc. Coordinate and organize travel plans including scheduling, itineraries, travel expense reports submissions and reconciliations. Maintain and follow-up on assignments and requested information as required - Routinely interact with Vice Presidents, Presidents, CEO's, Board Members and their staffs - Interface with all union workers to ensure facilities issues are taken care of. Responsible for International Service Employee (ISE) duties including, taxes, personal bill payments, visas, house set up and move. Maintain the highest level of confidentiality and manage sensitive information with extreme care. Represent office in a professional manner on phone, e-mail, and in person and interface effectively with employees at all levels.","The ideal candidate will have a high school Degree, Bachelors degree preferred. Must have strong oral, written and interpersonal communications skills along with strong organizational skills and the ability to work independently with minimal supervision. Ability to plan, prioritize and support multiple assignments and projects simultaneously. Proactive in resolving issues/concerns; proficient in use of Company software (Outlook, PowerPoint, Word, and Excel and other programs as required) and internet.","401k Health benefits, Dental, Child care, Vacation, Bonuses, Company vehicle",0,1,0,Full-time,,High School or equivalent,Accounting,Administrative,1
14210,Customer Service Representative ,"CA, ON, London ",,,"HRdownloads was founded in 2008, and since that time has evolved to become the leader in delivering strategic Human Resources solutions across Canada. We have been recognized over multiple years for both our business achievements and workplace culture, receiving Canadas Best Workplace Award, Profits Hot 50 and the Thrive Award. ","HRdownloads, Canadas fastest growing Human Resources company is looking to add to our exceptional team of Customer Service Representatives! Recognized as one of Canadas best workplaces and noted for our unique and engaging culture, HRdownloads continues to grow and wants you to be a part of our success!The OpportunityWe are seeking professional, personable and high-energy Customer Service Representatives who will play a critical role in ensuring our organizations clients realize the maximum benefit of their investment in the companys products and services. Key requirements of this position include:Contacting new and established clients in order to engage in meaningful conversations that promote the organizations brand, services and products.Receiving inbound calls pertaining to client needs and technical assistance.Effectively overcoming objections to gain an audience and develop on-going rapport with the assigned client base.Providing well-informed and insightful solutions that address barriers to usage and engagement to ensure maximized account utility.Handling a high volume of inbound and outbound calls daily while adhering to an established process.","Our Ideal CandidateOur ideal candidate possesses the following:The ability to build immediate and on-going rapport in order to engage clients throughout the entire purchase/membership lifecycle.Passion for delivering high quality service focused on relationship maintenance and client retention.Persuasive communication skills with the ability to professionally overcome objections while promoting the companys products and services.Ability to actively listen to client needs in order to make specific recommendations.Post-secondary education or equivalent work experience.Previous business-to-business customer service and/or sales experience.Proven experience building relationships via the telephone and working with all levels of an organization.Strong working knowledge of Microsoft Office products including Outlook, Word and Excel.Well-developed oral and written communication skills.",Compensation is based on a competitive hourly rate of pay plus quarterly bonuses and full benefits following six months of employment. ,0,1,1,Full-time,,,,Customer Service,0
14422,Junior Java Engineer,"US, CA, Santa Monica",Engineering,,"Were always looking for highly motivated “founder-types” to join us as we grow. Heres what you need to know.Our Core Values:Every member of our team brings something unique to the table, but we share the same values:Use your intelligence without arroganceTake initiative, and execute tenaciouslyPut the teams needs above your ownRespect customers, partners, investors, and co-workersFocus on results, but never compromise on qualityLive to learn, and share what you discoverBe honest, be transparent, and be goodNever, ever give up!Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort.Culture and Benefits:Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:Full medical, dental, and chiropractic coverage  even acupuncture.Daily company lunches catered in  plus snacks and drinks for days!Frequent team bonding events and company outings (including the occasional beach day!)Unlimited paid vacation time  because we trust you to hold yourself accountable.Gym membership with access to facilities all over LA.Flexible work hours  we know 9am isnt for everyone.Stock options  if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.A referral bonus program.Last, but definitely not least  dogs in the office! Bring your own furry friend, or feel free to cuddle with one of the The Mobile Majority pups.","The Mobile Majority is a rapidly growing ad tech startup based in Santa Monica, CA, with offices in New York City, San Francisco, Chicago, Sao Paulo and Ho Chi Min City. We have been honored as the 'Best Creative Technology' by the American Association of Advertising Agencies and won 'Best Series A' By the Los Angeles Venture Association.We're hiring engineers to build an ad-serving, bidding, modeling and data infrastructure built using a mix of proprietary and open-source technologies. We're integrated with real time exchanges processing requests @ 200k QPS. We have over 1PB of data and growing fast. We're looking for those excited about working on tough problems related to scalable, reliable, available algorithms, machine learning & software systems that scale.Our engineering team works with the latest and greatest technology to build next-generation technologies as a programmatic media-buying platform at big data scale.As part of our team, you will work with Java 8, Spring 4, MongoDB & PostgreSQL, and Hadoop ecosystem (HDFS, Hive, Tez and Spark).The Mobile Majority has a culture of engineering focused, data-driven decision-making, and demands business intelligence that is timely, accurate, and actionable. As a key member of a small and versatile team, you will be responsible to design, test, deploy and maintain software solutions.","We're looking for an engineer with a BS/MS in Computer Science or an equivalent degree and between 0 to 3 years of Java experience. The ideal candidate should be a team player and have outstanding attitude and energy, excellent communication skills, and a desire to learn.We're also looking for an engineer with knowledge of:Algorithms, data structures, performance optimization techniques, and object-oriented programmingState-of-the-art techniques and trends in software development, data management and high performance systems. Structured thinking, effective communication, excellent programming and analytic skills","It's no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks to help keep our team healthy, productive, and happy:Full medical, dental, and chiropractic coverage - even acupuncture.Daily company lunches catered in - and snacks for days!Unlimited paid vacation time - because we trust you to hold yourself accountableGym membership with access to facilities all over LA (and the occasional company hike!)",0,1,1,Full-time,Entry level,,Internet,Engineering,0
17524,Receptionist/Secretary,"US, FL, MIAMI",,,,"Personable, friendly, dependable, articulate individual with knowledge of Office Suite, ability to multi-task and deal with customer needs, for office duties and assisting the Director.  Preferred hours are late afternoons to early evenings Monday through Friday.",,,0,0,0,Full-time,Entry level,,Accounting,Administrative,1
14359,Administration Support & PA to GM,"AU, VIC, Clayton",,,"To successfully deliver commercial strategy, the right people with the right capability are mandatory. Sagacity Search provides a thoughtful, cost effective, rigorous approach to finding, attracting and selecting the talent you need to drive Sales Optimisation.Developed from a client perspective, we promise you will find our approach different, more targeted, more extensive and more successful.","Our client operates a buying group of over 1,000 pubs and bottleshops. This role will provide administration support to the Victorian office and act as a personal assistant the Victorian GM.Key Responsibilities will include:Acting as the point of contact between manager and internal/external clientsHandling requests, managing diaries, scheduling meetings, making travel arrangements, creating agendas and taking minutesSourcing office and signage suppliesProducing reports, presentations and briefsMaintaining office filing systemMaintaining databases and salesforceOrganising functions and eventsHandling member or consumer complains or enquiriesAssisting marketingCompleting GM expenses and invoices Liaising with sign writersCommunicating with real estate and landlordOther clerical/administrative dutiesThis is a contract role for 12 months and will begin on December 15, 2014.",,,0,1,1,Contract,,,Retail,Administrative,0
13577,Software Developer / Technical lead,"AU, NSW, Sydney",,90-140000,Balanced Labs exists to provide accountants and small businesses everywhere with a better life through intelligent and elegant tools.,"Balanced Labs is a brand new, well-funded company operating in a large market with teams in San Francisco and Sydney. We are creating new tools for modern accountants and the customers they serve.We are looking for an experienced developer/technical lead looking for a challenge and opportunity to shape the future of a growing organisation. This is a rare opportunity to start on a product from the very beginning so you'll be able to significantly influence the direction and outcome.You will:Work closely with designers and product managers to deliver great products that delight our customers and that you can be proud ofHave managed people before or are ready to move into a leadership roleHave worked on product development for at least part of your careerPrefer to build new and innovative systems, rather than maintaining existing codeHave a passion for technologyBe able to bring new ideas to the tableEnjoy engaging in technical discussions and designing new featuresBe willing to go the extra mile to build elegant solutions our customers will loveEnjoy working in an agile environmentBe able to multi-taskEnjoy working with Microsoft and open source technologiesEnjoy working with a close knit team of designers, product managers, testers and engineers","Extensive experience with open source technologiesExcellent analytical, evaluative, and problem-solving abilitiesSkills with CSS, XHTML, one or more JavaScript frameworks, and AJAXKnowledge and experience with Agile development methodologiesKnowledge of Internet protocols and RFC standards, database management systems, and revision control systems","We offer great salaries, share options, and a flexible approach to work hours and environment",0,1,0,Full-time,Mid-Senior level,,Information Technology and Services,Engineering,0
3271,Apply to our Jobs,"AT, , ",,70000-300000,"The company BREADHUNTER e.U. was founded in 2012 to support companies and candidates to find common ground.            The company name emphasizes the moral aspect of headhunting & recruiting in the age of Web 2.0, combined with innovative IT-Tools.Thomas Zahlten, the founder, looks back to 14 years of experience in international recruitment and talent management. Since 1999 I am experienced in the Industry of Finance & Private Banking, IT & Telekommunikation, Engineering & Construction as well as in Pharma & Life Science and the Energy Market. I successfully worked on more than 389 projects in recruiting, talent management and headhunting. Our main operating field is in Austria, Germany, Switzerland, CEE, Russia and Middle East and we love to solve international recruiting problems. Explore the difference in headhunting and meet Mr. BREADHUNTER.","We offer Jobs in the following Industries:Energy / Oil and GasFinance & Private BankingPharma & Life ScienceIndustry & AutomotiveIT & TelecommunicationThe jobs are in Austria, Germany, Switzerland, CEE, Russia or Middle East only and cover salaries from 70.000,- € onwards as well as the Executive Level. Some jobs, we are working on, are discreet and made for Headhunters, which means, that they are not on our job-portal. Please send your CV, if you are looking for a new challange in the Industries mentioned above. We come back to you within 72 hours, if we have an open vacancy, which will correspond to your profile. Your CV and data is save in our database and will not send to anyone without your permission. By sending your CV to BREADHUNTER e.U. you agree with our terms of our privacy policy.","German or English Language as must have, other languages are very welcome.Experience in your Job Industry between 3-5 years for minimum","Will be discussed personally, depending on job, experience and position.",0,1,1,Full-time,Mid-Senior level,Professional,Banking,Management,0
4000,Staff Accountant,"US, NY, Farmingdale",,,"For over 20 years NAC Marketing Company, LLC d/b/a New Vitality has helped people be healthy and live better by marketing and selling vitamins, health supplements, and personal care products. Our customers learn about our products through direct marketing advertisements which use celebrities, athletes and doctors to promote on radio and television stations across North America.We offer a wide variety of career and entry-level positions as well as internships in a fast-paced and exciting industry. Our 100+ employees hold positions in Marketing, Creative, eCommerce, Media-Buying, Product Development, Accounting, Human Resources, Operations and Inbound & Outbound Sales in both our New York and California offices. WORK HEALTHYWe believe in the philosophy be healthy, live better  for our customers and especially for our employees. We encourage healthier lifestyles through affordable benefits, gym reimbursement programs (through medical insurance) and company events that promote physical activity and company morale.Affordable Medical, Dental & Vision InsuranceFlexible Spending Account & Dependent CareCompany-paid Life Insurance & Long-Term DisabilityEmployee Discounts on New Vitality products WORK BETTERWe believe growth and development are necessary parts of a rewarding work experience so we offer opportunities to gain industry knowledge, discover and utilize new skills and advance within the company. We also keep it fun with annual events like our Holiday Party, Thanksgiving Feast & Dessert Contest and team participation in Long Island's Marcum Workplace Challenge at Jones Beach.Paid Training for Call Center EmployeesLunch & Learn SeminarsSafety CommitteeCommunity Involvement (Toys for Tots, Island Harvest)Paid Time OffRoom for Advancement See below for our current opportunities. Follow the link to apply and if you are a qualified candidate, a member of our Human Resources department will reach out to you. NAC Marketing Company, LLC is an Equal Opportunity Employer encouraging diversity in the workplace. All qualified applicants will receive consideration for employment without regard to race, national origin, gender, age, religion, disability, sexual orientation, veteran status, marital status or any other characteristic protected by law.","Job Description:  General knowledge of US Generally Accepted Accounting Principles (GAAP), accounting policy and procedure consistent with someone in their first or second year of accounting experience.  The Accounts Payable Clerk reports to the Controller. Responsibilities:Payables review and entry into ERP system inclusive of verifying the attachment of the appropriate documentation prior to paymentW-9sApprovalsReceiptsPurchase ordersPacking SlipsFreight ReconciliationsProposes and processes cash disbursementsAccounts payable checksCredit card authorizationsElectronic disbursementsAnswers a majority of vendor inquiriesMedia reconciliation of select agencies from traffic entries to financial cash spend to affidavits.Assists in monthly closingsRecords select receivablesWork paper generation and entry  fixed assets / TV Commercial developmentPrepares weekly deposits and travels to bankPerforms other duties as assigned","Requirements: Critical thinking - ability to identify a problem, formulate and propose a solutionTime ManagementCommunicationAttention to detailAdaptability—the individual adapts to changes in the work environment, manages competing demands and is able to deal with frequent change, delays or unexpected eventsInitiativeMS Office programs: excel, word, PowerPointAssociates or Bachelors degree in AccountingMinimum of 2+ years of experienceAbility to sit for long periods of timeAbility to lift 5 pounds","Benefits: We believe in the philosophy be healthy, live better for our customers and especially for our employees. Therefore we provide an environment where our employees can grow and advanceprofessionally as well as in their personal lives. We reward and recognize hard work by promoting from within and we encourage healthier lifestyles through affordable benefits (gym reimbursements through medical insurance) and company activities that promote physical activity and company morale.• Paid Time Off (will begin to accrue on the 1st of the month after 90 days of service)• Medical, Dental & Vision (after 90 days of service)• Flexible Spending Account (1st of the month after 90 days of service)• 401k plan (automatic enrollment on first day of employment)• Company-paid Life Insurance & Long-Term Disability (1st of the month after 1 year of service)• Paid training• Employee discounts on New Vitality products• Casual work environment• Room for advancement as your department and the company growNAC Marketing Company, LLC is an Equal Opportunity Employer encouraging diversity in the workplace. All qualified applicants will receive consideration for employment without regard to race, national origin, gender, age, religion, disability, sexual orientation, veteran status, marital status or any other characteristic protected by law. Note to Recruiters and Placement Agencies: NAC Marketing Company, LLC does not accept unsolicited agency resumes. Please do not forward unsolicited agency resumes to our website or to any New Vitality employee. NAC Marketing Company, LLC will not pay fees to any third party agency or firm and will not be responsible for any agency fees associated with unsolicited resumes. Unsolicited resumes from Recruiters or Placement Agencies will not be accepted by NAC Marketing Company, LLC and will be processed accordingly",0,1,1,Full-time,Associate,Bachelor's Degree,Accounting,Accounting/Auditing,0
10978,Customer Service Associate ,"US, TX, El Paso",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in El Paso, TX. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform any and all duties as assigned by management to include: mail services, copy services, hospitality services, fax services, courier and messenger servicesWork varying shifts between 8:00am to 7:00pm based on operational needsMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesTake direction from supervisor or site managerParticipate in cross-training and perform other tasks as assignedMaintain all logs and reporting documentation; attention to detailAdhere to all safety procedures","Minimum Requirements:Valid Driver's License and good driving record requiredHigh school diploma or equivalent (GED) requiredMinimum of six months customer service related experienceKeyboarding and windows environment PC skillsExcellent communication skills both verbal and writtenAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyAvailability to work flexible schedule to meet changing business needsLifting up to 55 pounds or maximum allowed by state law with or without accommodationStanding for long periods of time and/or significant walking with or without accommodationWillingness to submit to a pre-employment drug screening, criminal background check, and MVR report",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
14440,Strategist,"DE, BE, ",,,"LHBS is an unconventional strategy firm with office in Berlin, Copenhagen and Vienna. We approach marketing and innovation challenges from an unconventional point of view: Not for the sake of being different but for the sake of delivering better opportunities, strategies and ideas for our clients.We have no departments. We mobilize the right teams at the right time for the right job. Our teams work in continuous collaboration from the beginning to the end. Challenging our assumptions and ourselves, pushing ourselves further to look beyond cookie cutter solutions. To deliver outcomes that genuinely surprise and excite our clients.We are a diverse group from many ethnic backgrounds, education and experience. We take on each project with enthusiasm, excitement and an uncompromising commitment to total quality. Explore our services and how we generate value for clients.","LHBS is a strategy firm that approaches marketing and innovation challenges from an unconventional point of view: Not for the sake of being different but for the sake of delivering better opportunities, strategies and ideas for our clients.We have no departments. We mobilize the right teams at the right time for the right job. Our teams work in continuous collaboration from the beginning to the end. Challenging our assumptions and ourselves, pushing ourselves further to look beyond cookie cutter solutions. To deliver outcomes that genuinely surprise and excite our clients.We are a diverse group from many ethnic backgrounds, education and experience. We take on each project with enthusiasm, excitement and an uncompromising commitment to total quality.We are looking for a Strategist to join our team in Berlin:Your role:You will advice clients on marketing, communication & innovation strategiesYou will lead your own projects across CEE markets and industriesYou will contribute with your experience and expertise to improve the quality of each LHBS projectYou will help us to improve our insights & inspiration platformYou will work with the management team to further enrich the LHBS tool kitIn addition to this we expect from you to be an inspiring personality that adds to our company culture. You communicate perfectly in english (a CEE language is an additional plus) and you are willing to travel a lot.","Your background:You are a team player that understands that sharing knowledge, experience and passion is the way to deliver outstanding resultsYou are relentlessly curious to learn more about people, markets & technologyYou can design and lead projects for blue chip clients in CEEYou can apply strategic models and creative techniques to provide a new perspective to common problemsYou can identify new business opportunities to create a shared value for our clients and us",What we offer:A competitive salaryInteresting cross category projects with amazing clientsAdditional free of choice education,0,1,1,Full-time,Mid-Senior level,Master's Degree,Management Consulting,Strategy/Planning,0
4689,Pedestrian Crane operator,"GB, LND, Twickenham",Construction,,,"Pedestrian Crane operators required for long term project in Twickenham, Very competetive rates of pay, working for a well known company in a location with plenty of transport links. ",Must have relevent training and experience along with correct license and documents.,,0,0,1,,,,,,0
17860,Immediate Requirement: iOS Developer for Qatar,"QA, DA, ",,,,"Greetings from VAM SYSTEMS…..VAM SYSTEMS is a Business Consulting, IT Solutions and Services company with operations in UAE, Qatar, Bahrain, USA, Australia, Singapore & India. We offers a comprehensive list of services in the field of IT infrastructure management, Cloud services, IT Consulting Services, Banking, Management Resources, Information Technology Development, Telecom, Aviation, Retail Management & Government offerings.VAM SYSTEMS is currently looking for iOS Developer for our Qatar operations:Experience Required: Minimum 4 Years.Terms and conditions: Joining time frame:   immediateThe selected candidates shall join VAM SYSTEMS  Qatar and shall be deputed to one of the leading Organizations in Qatar. "," iOS programming “Objective  C “, C++#URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#SQL/mySQLPHP, PhythonArabic speaking is Preferred",,0,0,1,,,,,,0
8527,Admin / Marketing Assistant,"US, MA, Westborough",,,"Consulting: Our efforts are to help clients throughout their business including product development, HR, marketing, other disciplines.Social Media: We take social channels and platforms to the front lines for our clients & their brands. Our services include training, management, strategy, monitoring, publishing, and lead generation/sales all around the ""Social Media"" sphere. The entire team is passionate about social engagements through results and creative thinking.Valued team members are important to us. We'd love to hear from you if you see an open opportunity that fits your experience and interest. If you meet and exceed the following values, you're in the right place.","We are looking for a junior/entry level admin and marketing assistant who can immediately contribute to the success of the Sigma7 Group, Inc. The right candidate will work closely with sales and marketing teams to accomplish basic data research of target prospect companies and enter the data in our CRM.  You will also be creating sales and marketing materials and helping with presentations and marketing events. This position is usually a good place to begin a career in marketing or related fields.This is a part-time position  approx. 20 hours per weekResponsibilitiesPerform online and in-person research on competitors and prospectsUpdate and maintain the marketing department's documentation and databasesAssist with the production of a wide range of marketing materials and literatureProvide support for marketing events and exhibitions as requiredPrepare and post interesting content for social mediaOther challenges and projects as they arise","Strong computer and MS Office literacyStrong research skillsCommitment to high quality, attention to detail, and ability to manage multiple tasksExcellent communication skillsAbility to interact with colleagues and visitors in a positive and responsive mannerExcellent time and project management skillsPositive, professional attitude and demeanorEnthusiasm for the work and for challenges as yet undefined","The Sigma7 Group is a growing, Westborough, MA based team of process and information professionals who provide our clients with high-value business solutions based on experience, best practices, and creativity.  We specialize in operations, marketing, and social community management (SoCo). In addition to our business expertise, we build relationships based on these core company values: Quality: We deliver high-quality services and do not settle for “good enough.”Integrity: We choose to do “the right thing” regardless of circumstances.Relationships: Relationships come first. Services and revenues follow.Communication: Quality services and relationships rely on honest, two-way communicationTeamwork: Great teams can achieve extraordinary resultsRespect: We respect ourselves, our colleagues, our clients and our work.Philanthropy: We believe in sharing what weve earned and learned with our community and society.",0,1,0,Part-time,Associate,,,Marketing,0
12076,PR8 Apprentice Administrator Under NAS 16-18 Year Olds Only,"GB, , Southport",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds only.Perfect role for school leavers.This is a fantastic role for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position. You will be working for a claim management company and the role will involve:-Working as part of a team-Working to specific deadlines-Banking payments-Getting references-Putting together monthly reports-Working on the receptionIdeal candidates will be focused and confidentIf you are motivated and career minded please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Administrative,0
776,Claims representatives ,"US, IL, Glendale Heights",Sales,60000-100000,"Advocate Construction is an up and coming leader in the disaster recovery industry, In early 2012, Advocate was founded by two individuals that decided that they wanted something better in life, today their idea, hard work and dedication has grown Advocate Construction into a team of over 25 sales professionals and 3 branches offices in 3 different states with more offices opening soon. Advocate believes in growth of its entire workforce and offers an outstanding management training program. Our Sales managers will provide the invaluable tools needed for you to be successful for many years to come. So if you have what it takes to be part of something great then we encourage you to apply today! The Advocate difference! We believe that hard work and dedication deserves to be rewarded, we provide the opportunity for every single team member to be successful by homing in on a working process and delivering a top notch product plus exceeding our commitment to service. This posture has taken us to the next level of sales and revenue.","Claims representatives for the greater Chicago AreaSomeone to service clients and secure me new clients, the more clients you get me, the more money YOU MAKE!  Someone that will foot canvass an area and not be scared to speak with potential clients. Someone that is looking for a full time, year round, long term permanent position.  Someone that enjoys making a great salary and understands the value of a solid work ethic.","What is the job about? Helping homeowners that have been affected by the recent severe weather secure new roofing, siding and gutters without any cost to the homeowner, Yes that's right the homeowner does not pay for the new roof, Now how hard is that to pitch and get at least 4 homeowners a week to say yes I want a new roo",Week 2 - Estimated $600 per week till week 13 Week 13 - Estimated $1600 per week afterwards based on a below average output from you.,0,1,0,Full-time,Not Applicable,Unspecified,Construction,Sales,0
13334,Manufacturing Engineer,"US, OH, Cleveland",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Manufacturing Engineer :To support production to ensure that Customer demands are fully metTo recommend and implement solutions to the day-to-day operational, quality and performance problemsTo recommend, plan, design and implement methods, tooling and equipment to improve operational performance and quality, whilst ensuring that products are produced at the lowest possible costEducation :BE MechanicalDesired ProfileExperience in a mechanical / electrical engineering positionExperience with CNC programming & operation using Fanuc/Mitsubishi systemsExperience in Product or Tooling designExperience with Word, Excel, AutoCAD and MRP SystemsExperience :10-15 years",,,0,0,0,Full-time,,,,,0
8006,Director of Client Engagement ,"US, CA, San Francisco",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","Summary of Position:The Director of Client Engagement position will provide the senior level interface, thought leadership and single point of contact between Novitex management and its customers.The position is designed to interact, on a regular basis, with company executives and C-Suite level contacts.This role is responsible for maintaining business continuity of core Novitex  contracts while facilitating growth opportunities within this existing client base.The client base includes major Technology, Energy, Consumer Services and Manufacturing Clients.The Director of Client Engagement is responsible for supporting the Vice President of the Technology, Energy, Consumer Services and Manufacturing vertical with the management of P&L of valued in excess of $100 million annually. This is accomplished through the development of meaningful relations with our clients, uncovering present and future business needs and requirements, understanding the viability of Novitex services, products and solutions and being the critical conduit between our Service Delivery, Business Development, Solutions and Consultant groups.As the Director of Client Engagement you will be responsible for leading, guiding and coaching Service Delivery management personnel on the planning for and the retention and revenue growth of the verticals core business.Major Accountabilities:Accountable for growth within the Vertical existing client base. Program enhancements, add-ons, new business, expansion or increase volume.Full accountability for contract renewals. Takes a leadership role and coordinates activities across the Vertical and Service Delivery entities. Makes the determination if sales resources are needed to support the renewal process.Responsible for contract negotiations and handling changes through the contract modifications process. Works with Business Development, Service Delivery, and Contract operations to initiate and approve contract modifications, expansions and extensions.Develop comprehensive growth plans for designated key accounts that include new engagements, on-going customer support and discovery of major new business opportunities.Implement tools and processes that capture and disseminate critical client information to Novitex personnel in Service Delivery, Sales, Product Development, Growth Management and Business Operations.Promotes increase accountability and solidifies the communication between the customer and Novitex Service Delivery, Solution and Consulting support teams.Capture, measure and report on customer engagement satisfaction.Focuses on strategies that impact and influence upper management & C-suite contacts. Increase Novitex visibility within the Federal Services client base.Responsible for the proliferation of Novitex products and services within their assigned accounts. Introduce and promotion of new products and services as they become available.Through account level and market reconnaissance the function increases Novitex ability to react to changes and threats within the vertical.Create a single point of contact for our customer. Provides an efficient way for existing customers to gain access to the Novitex depth of products and services. ",Desired Experience:Experience managing $20M + portfolio of businessStrategic Account Plan DevelopmentPreferred: Preexisting relationships with key C-suite leaders10-15 years relevant industry experience within Consumer Goods & Manufacturing or Technology IndustriesDemonstrated P&L management experienceExposure to product and services solutions in the Mail/Secure Mail Screening/DMS and Print markets,,0,1,0,Full-time,Director,Bachelor's Degree,Management Consulting,Management,0
16412,Manufacturing Engineering Manager,"US, OH, Cincinnati",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Establishing objectives, schedules and priorities in Manufacturing Engineering activities and reviewing staff based on their accomplishments toward those directions;Assisting manufacturing operations in solving technical tooling, quality, process and maintenance problems;Implementing the engineering change and release activity.EDUCATION: 4-year degree required; Mechanical, Electrical or Industrial Engineering preferred.REQUIRED SKILLS:5+ years of manufacturing experience;5+ years of supervisory experience preferred;Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,,,0
8366,Platform Architect JEE/SaaS,"DE, BE, Berlin",Development,65000-100000,"Market Logic is changing the way the world's largest companies run their market research and marketing functions. We are doing this by providing a unique SaaS-solution to capture all information about customers, markets, brands and competition and funelling the relevant knowledge to inform and inspire marketing decisions from innovation to activation. We believe that a systematic approach to integrate and use existing knowledge will dramatically improve the effectiveness of the hundreds of billions dollars spent on marketing each and every year across all industries, from consumer goods to pharmaceuticals.We are a venture-capital financed company with an experienced team of management executives who have a proven track record of delivering award-winning software solutions for enterprise customers. Seasoned operational executives, including Robert Hoog (former CEO of Ixos Software) and Arno Bohn (former CEO of Porsche), support us on the supervisory board. We advance our vision for a new way of doing marketing together with thought leaders from both the academic and professional side, including Prof. Dr. Dr. Meffert (Marketing Centrum Münster) and Prof. Dr. Schroiff (former Corporate VP of market research at Henkel).Market Logic offers a dynamic, fun and enjoyable work environment, located in central Berlin - just a block away from Kurfürstendamm. We are working with the latest and greatest technologies on engineering and UX challenges to deliver a truly innovative, scalable enterprise software that will alter the way an entire industry works.","Market Logic is looking for an experienced PLATFORM ARCHITECT with passion for their work and the ambition to shape the future evolution of an industry-changing SaaS software.We are looking for someone to join or core team of architects who own and shape the technical platform for our SaaS offering. We work in an open, collaborative environment and seek candidates who think in a highly analytical and structured way, enjoy hard technical challenges and strive for continuous improvement. We highly value fast thinking and proactively taking on responsibility to achieve outstanding results. Challenges that our team works on include performance and scalability, multi-platform mobile app development, continuous delivery, and cross-tenant services.Market Logic is a fast-growing, Berlin-based company where you will be part of an international super-talented team, led by experienced management with a track record of delivering award-winning, world-class products to enterprise customers. Join us working with the latest technologies to build a truly innovative, scalable enterprise solution that changes the way the world's largest corporations run their market research and marketing. Your responsibilitiesTaking on architectural responsibility for the core backbone of the applicationDriving modularization of the application to enhance continuous delivery and maintenanceDesign and implementation of data structures and backend servicesAnalysis of performance and scalability bottlenecksEvaluation of new technologiesWorking with development, operations and product management to evolve the technical platform to meet future needs","5+ years experience in a senior architect role of a commercial JEE web applicationMastery of the entire Java-stackDeep knowledge of relational databases (PostgreSQL, MS SQL Server)Knowledge of domain specific languages and code generation (OAW)Knowledge of Java web-frameworks, especially GWT, a plusExperience with agile development methodsVery good English - we are an international company (no German required!)",Earn a competitive salaryShape the architecture of an industry-changing SaaS solutionWork with premium gearTake advantage of flexible work hours and vacationsHave fun in regular team events,0,1,1,Full-time,Mid-Senior level,Master's Degree,Computer Software,Engineering,0
15852,Student Beans Campus Brand Manager 2014,"GB, , ",Student Beans iD,,"The Beans Group is a media company that exists to empower young people to thrive.  We have been on an exponential growth spurt since our inception as #URL_8d1ca4faf2d2518ce9ec21b3fbe5c63e4b47f383d6bb1f0a681ff6cc801b4550# in 2005 and in 2013 we won Digital Business of the Year. The Beans Group owns two brands: Student Beans, our youth media brand, strives to make life a little more awesome; and Voxburner, our youth insights consultancy, helps young people to be understood.We have created a business we are passionate about and really proud of. To continue to grow we need brilliant people who inspire and deliver change all around them - that's why we're looking for talented, ambitious and creative folks to come and join us.","Bag the job that will make your CV shineThe Role:We are giving you the opportunity to be the face of Student Beans on campus and to get discounts on all your favourite shops in your uni city.We have two streams of the programme; sales and marketing. During the sales programme youll be the face of Student Beans for local businesses, as well as helping to build up our new app: Student Beans ID. Youll be in charge of building up a database of businesses in your city using a range of sales techniques.Our marketing programme gives you the opportunity to carry out weekly challenges to increase awareness of our brands and signups to the site, using a range of marketing skills both online and offline, including on campus promotions and social media.Youll be rewarded with a potential placement at the end of the programme, as well as the chance to gain awards from the IDM (the UK's only government approved institute for the professional development of direct and digital marketers), the ISMM (the worldwide representative body for salespeople) and the CPD Certification Service. Youll receive invaluable sales training, plus develop essential and transferable skills to add sparkle to your CV. This opportunity will help you stand out to graduate employers in the tough market.  Are you sociable, results driven, confident and an effective communicator? If so, we want to hear from you!Key duties:Being the face of Student Beans on campus and creating awareness to get new sign ups to the site.Promoting Student Beans in a way that appeals to students.Working on promotional and research campaigns on behalf of The Beans Group and its clients.Building relationships with the best student businesses in your city.Being the face of Student Beans for local businessesFurther Details:The programme runs from September to December. Applications should consist of a cover letter and CV.The cover letter should include which stream you are applying for.","Strong communication skills, the ability to influence others and to be able to express yourself clearly and confidently.Innovative and flexibleAble to look for and develop new solutions to problems and challenges. Willing to adapt a plan when necessaryCommitted to resultsPersistent, able to prioritise and willing to go the extra mileStrong time management skills, and effective planningStrong team playerEnergy drive and enthusiasmYou must also be a current university student for the 2014/2015 academic year.","Rich and rewarding experience in marketing, sales and social media.The chance to represent a Multiple Award-Winning Company.Become part of an awesome team.Great CV Points!",0,1,1,Other,Internship,High School or equivalent,Marketing and Advertising,Marketing,0
10108,Retail Assistant / Experienced eBay Sales  Everyman stationery Store ,"GB, SOL, Solihull / Olton ",,,We are a stationery and art supplies company that has been running for over 100 years.  ,"Were looking for a part time Retail Assistant / eBay sales Person Everyman stationery store in Solihull. Sells stationery and unique art products. Our Solihull / Olton store is often full of excited customers of all ages discovering top brand arts crafts & stationery and enjoying a fun retail experience.You will have excellent customer service skills, excellent understanding of written and spoken English, and proven reliability and timekeeping. The store can get busy at times. must be very experienced eBay sales person with a strong understanding of its structure and working background. So internet background essential. ",Duties to include:- To assist in the day to day listing of products & general shop duties. To control inventory and stock levels within the eBay network. / Monitor pricing and evaluate moving prices in the market place to maximise sales. / Also maximise the output of product and its awareness on ebay. This is a part time job and must be fixable with hours. Please send you CV with cover letter.,,0,1,0,Part-time,,,Retail,,0
8882,Graduates: English Teacher Abroad (Conversational),"US, IL, Peoria",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Openings in China$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsPlease let us know when you would be looking to start when applying",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
15525,Opening for Business Development/ Market Research,"IN, , ",,,"HeaderLabs is one of the quickest increasing Technical organizations in Delhi-NCR area We create SAAS and Cellular items that are used by a large number of customers. We only do top-notch, top great quality perform. If you are looking for new difficulties, then you should definitely examine us out. Age, Certification, Encounter, no bar. If you have the interest to be the best, and if you like to regularly get over new difficulties, then you are entitled to a chair in our office! We are extremely pleased to be one of the best Technical groups in Delhi-NCR area. Our pay-package is one of the best in the market. But do not implement if you are looking for only cash. We are looking for individuals who like to have fun at perform. Those who really like to innovate and who flourish in fast-paced perform lifestyle are the ones who are fit for HeaderLabs.","B2B Lead generation and qualification for new prospects in North America region.Create, Manage potential Customer Database and follow-up on E-Mail.Following up new business opportunities and setting up meetingsWork with Business Development to provide pre-sales support.Email campaigning and gathering sales intelligence.Proficient in Email / Chat communication with potential clients.Qualifying leads from trade shows, events, conference.Generate Leads from Social Media, Marketing Research, Databases.Identify the most appropriate decision maker (Director, Manager, VP or C-Level).Manage company's digital assets: Website, facebook, Twitter, LinkedInManaging the line up to date",Strong in communication skills.3-6 years of Experience in Marketing.Experience in sourcing deals from North American market.Good IT proficiency and Internet exposure. Ability to quickly understand new software's or web applications.Should be a Self-starter.Should have the Willingness to work in fast-paced environment.,,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
8799,Process Safety Engineer - OSHA PSM ALOHA CAMEO PHAST - CA,"US, CA, Long Beach",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Process Safety Engineer is required having responsibility to overseeing compliance requirements for US-EPA RMP and US-OSHA PSM regulationsD - 183Job Responsibilities: Overseeing compliance requirements for US-EPA RMP and US-OSHA PSM regulationsLeading (facilitating, conducting, documenting) Process Hazard Analyses at plant sites (being a Guarantor for the risk assessment process)Auditing manufacturing and R&D facilities for compliance with regulatory and Solvay requirementsSupporting manufacturing sites undergoing regulatory auditsApplying risk-reduction strategies to mitigate process risk associated with PSMReviewing capital projects for HSE aspectsPreparing and submitting reports as required by the government, regulatory agencies, and industry associations Participating in investigations of process-related accidents/incidentsDeveloping and coordinate improvement activities relative to process risk issuesMentoring/coaching/training site staff on process safety-related issues","5-7 years chemical plant experienceMinimum of 3 years experience in Process Safety Mgmt(or equivalent) a plusKnowledge of Federal and State regulations relative to Process Safety (US-OSHA PSM 1910.119 and US-EPA RMP 40 CFR 68)Training in Process Safety Management principles (including courses) is a plusFamiliarity with industry standards and practices (API, ASME, NFPA, FM, etc)Knowledge of dispersion modeling techniques and software programs preferred (RMP*Comp, ALOHA, CAMEO, PHAST)Strong communication/inter-personal skills  able to inspire and encourage the use of best practices at plant sites, able to communicate with plant staff, GBU management, and regulatory agenciesAbility to work efficiently in a multi-disciplined, cross-functional (matrix) environmentAbility to plan/organize tasks and consistently produce results with minimal supervisionAbility to comprehend, analyze, and understand the legal aspects of legislation and develop compliance programs to decrease facility process safety liabilities and reduce process risksWillingness to travel Educational Qualifications: B.S. Degree in Chemical, Environmental, Mechanical Engineering or equivalent technical degreeWe Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,,,0
3305,.NET Software Engineers,"GB, , ",,,"Priocept helps businesses to create digital products and services. We design, build and run web technology platforms for some of Europe's leading companies.Companies choose Priocept because of our commercial focus and ability to create technology solutions that generate a return on investment.We provide project management, technical consulting, software development, training and application support services. Our areas of expertise include web content management, e-commerce platforms and bespoke application development.",Priocept is looking to recruit software engineers that can demonstrate expertise in a wide range of Microsoft development technologies. ,"Strong commercial .NET development experience on web-based projects is essential, including some or all of the following:C#, SQL Server, MVC, Web Forms, AJAX, Web Services, WCF, Workflow Foundation, HTML5, CSS3, JQueryCMS technologies (Sitecore, EPiServer, Ektron, Sharepoint)Exposure to non-Microsoft technologies (Java, Ruby, Grails, Python etc) will also be of benefit.Experience working in a consultancy or agency environment is desirable, combined with client-facing skills and experience of leading development projects, including requirements analysis, technical design and consultancy work.",,0,0,0,Full-time,,,Information Technology and Services,Engineering,0
2330,Communication and Web Marketing Assistant,"BE, BRU, Brussels",,,"Founded at the beginning of 2013, the startup #URL_1126a42ca4e3346cc3e1a08fbb8e4c3f867169c63a14fc3710ba1f37c6c0e928# is a platform dedicated to nighttime activities.Alongside this, the startup offers services to events professionals and advertisers.","Founded at the beginning of 2013, the startup #URL_1126a42ca4e3346cc3e1a08fbb8e4c3f867169c63a14fc3710ba1f37c6c0e928# is a platform dedicated to nighttime activities. Alongside this, the startup offers services to events professionals and advertisers.The location will be in the Brussels region (Ixelles).As part of its growth strategy and for its internet platform #URL_1126a42ca4e3346cc3e1a08fbb8e4c3f867169c63a14fc3710ba1f37c6c0e928#, the Agilis company is looking for:COMMUNICATION AND WEB MARKETING ASSISTANT- Working under your bosss supervision, you will take part in day to day management of the website and loyalty/monitoring of an international partner network.- You will also take part in the communication and social media strategyAvailability: From October 2014.Duration: CDI.Remuneration: Based on experience.Possibility of evolution within the company.To apply (and have a very unique experience), link a video presentation of yourself in the application form (maximum 30 seconds, be creative!).",Desired profile: Minimum 5 years of experience (agency or company) with a strong interest in the internet and/or the sector of entertainment events.Knowledge of English is essential. Dutch would be a real asset.The following qualities and abilities are desirable:Independence and a sense of initiativeProject managementCreativityEditorial abilities,,0,1,1,Full-time,Entry level,Unspecified,Internet,Marketing,0
13681,Freelance Digital Designer,"DE, BE, Berlin",,2500-2800,"Project J is an early stage startup that is reimagining eCommerce for women's accessories. Culturally, we're creating a working environment where people can really grow and develop together. We are hoping to find candidates that match our ambitions in both domains and help grow our ideas / ideals into an organisation that the larger developer community will hold up as an example of a great technology company. We're just closing our first round of funding, so this is a great time to join.","Freelance Digital Creative DesignerAre you a rising star in digital design? In this role, you will be creating user centered Web UI/UX designs and working together with an interdisciplinary team to gather requirements and convert those requirements into attractive, efficient, maintainable, and highly usable web designs and interactions.What is Project J?Project J is an early stage business that is reimagining eCommerce for Womens' accessories. We have come together because we think shopping online is nearly always a tedious, uninspiring experience, and we would like to change that.Who is behind Project J?We're a small team of experienced start-up veterans who've help build and grow brands including #URL_55fb30e96cf13443eef12c607b5ac18bdbd0a5cf83b4d9c8a909b88a55abce6f#, LLUSTRE, onefinestay, Streetcar and A-Go-Go and as well as help larger businesses such as Tesco, Boots, John Lewis and Sporting Bet.At the moment the team is eight strong - including the founders - so you'll be working directly with everyone across Project J.The position:Create web UI/UX design, iconography, elements/patterns.Collaborate with Product Team and Creative team to translate userflows, IA and creative vision. Ensure work meets both creative and technical requirementsCreation of Style guides and Implementation guidesMaintain current, relevant knowledge of user design trends, standards and techniquesStay within time constraints and deadlines","Required Skills:Extensive knowledge of Adobe Creative SuiteExtensive Responsive Web Design experienceCross platform app experienceSolid understanding of web technologiesKnowledge of CSS, Javascript, and HTML5Attention to detailFluent in written and spoken EnglishAgile skillsGood time management and organisational skillsExcellent collaborative and communication skillsPrototyping skills a plusYou learn fast and thrive in a fast-paced environment",Competitive salaryOpportunity to extend to a full time positionUnlimited holiday daysFreedom to work where and how you prefer bestA fun and flexible working environment,0,1,1,Contract,Mid-Senior level,Unspecified,Design,Design,0
7083,Integrations Engineer,"GB, LND, London",Engineering,,"As a growing and successful startup, Conversocial is a great place to work for ambitious individuals.We build a market leading social customer service solution, and we need even more great people to help us push that position even further. Youll get the opportunity to work in an exciting new market, where were helping companies to understand the solution to their problems and are changing the way they interact with consumers.We have a trusting, hands-off management style, which is suited for people that are self-motivated.Our employees have the opportunity for independence and responsibility over their own projects, but we provide all the support and training they need to get there and to develop their careers.At Conversocial we like to balance work and play.We eat lunch together everyday (a company perk) and all enjoy a Friday treat of cake and few drinks. Our close-knit team is very sociable, which makes the Conversocial office a relaxed, fun and supportive working environment.","Conversocial builds software that helps companies deliver customer service on social media platforms. If you tweet one of our customers we pull that in and provide tools to make it easy for one of their customer service agents to reply to you on Twitter. These tools range from workflow through to analytics through to machine learning to prioritise issues over general chatter.As our platform becomes more widely used within companies they find an increasing need to integrate it with their existing systems. As an integration engineer at Conversocial you will take on responsibility for both creating these integrations and helping customer-side engineers make integrations themselves.What We Care AboutAt Conversocial we care about doing things right without overengineering them. We have a huge bias towards incremental improvement - a 10% improvement this week is better than a 20% improvement in a month's time. We encourage learning at Conversocial. Everyone on the engineering team gets an annual conference allowance. There is a lunchtime presentation every week (alternating between technical and non-technical) - participation isn't mandatory but is encouraged!Conversocial relies on a lot of open source technologies, where possible we submit fixes and improvements back to the open source projects that we use. We also try to open source our own technologies when it makes sense to do so.Techniques, Tools and TechnologyConversocial's core platform is built using Python, MySQL and MongoDB. We try to use the best tools for the job without cutting ourselves too much on the bleeding edge. We strongly encourage best practices such as TDD.Our ChallengesWe have a lot of different challenges at Conversocial. We strive to move fast whilst maintaining uptime and availability. Our greatest challenge right now is to keep our software focussed. This is where integrations come in. By building the right APIs we can create focussed integrations that deliver real value to our customers without increasing the size of the core product.Upcoming ProjectsIf you join Conversocial these are some of the upcoming projects that you might get to work on:Adding additional functionality to our API to enable deeper integrationsBuilding Push APIs to allow other systems to get updates in real-time instead of daily extractsIntegrating with other systems (such as Salesforce) to help build a single view of the customer",An enthusiasm for APIs and joining systems together in useful and novel waysGood working knowledge of at least two programming languages (bonus points if one is Python)Ability to work with customers and understand their needs,Salary of £40-55k plus stock options£1k annual conference allowanceLunch bought by the company every dayRelaxed and enjoyable environment to work in,0,1,1,,,,,Engineering,0
2044,Strategic Account Director - New York,"US, NY, New York",Business:Sales,,"We build software for fashion retailers, to help them make the right commercial decisions about what to sell, and how to price it. Fashion is the 4th biggest industry in the world, so this is actually a huge problem economically, as well as environmentally for everyone in the world. The Guardian called us one of the 20 hottest London startups, and we're a quickly growing team, with a product used by some of the best retailers in the world, and we're backed by the smartest investors in Europe, the same ones who backed Etsy, Dropbox, ASOS and Net-a-Porter.","About EDITDWe build software for fashion retailers, to help them make the right commercial decisions about what to sell, and how to price it. Fashion is the 4th biggest industry in the world, so this is actually a huge problem economically, as well as environmentally for everyone in the world. Both Mashable and The Guardian called us one of the 20 hottest London startups, and we're a quickly growing team, with a product used by some of the best retailers in the world, and we're backed by the smartest investors in Europe, including Index Ventures, who backed Etsy, Dropbox, ASOS and Net-a-Porter.The jobWe're looking for talented sales professionals with a background in B2B SAAS sales. Our ideal candidate has 5+ years of SAAS sales experience selling to tier one retailers.You will be responsible for building and maintaining relationships with our customers, working with our marketing team to develop new opportunities and driving the opportunity through to close.Ambitious, self motivated, able to work both on your own and in a team; you will have a proven track record in the retail sector and be able to demonstrate how you would manage your own territory. Previous experience of working in a start up environment will be advantageous.We have a market-leading product in a hot sector, which will drive excellent rewards for top performing sales people.Positions are available in London and New York.",,,0,1,0,,,,,,0
2112,Ruby and C Developer,"US, CA, Sunnyvale",,,,"CloudWalk Inc. is hiring one embedded C developer/engineer. Join a growing company currently in one of the biggest business centers in Silicon Valley, which is surrounded by advisors and remarkable talent.Company Info:CloudWalk is a fintech company based on Silicon Valley, which provides a development platform as a service on the cloud for EFT POS terminals.CloudWalk is an open platform that serves major acquiring banks and their ISOs in the payment processing industry. Our vision is to bring to democratize the payment industry by making payment terminals more accessible and open to innovations.We have amazing traction and offer a ground floor opportunity, as you would be one of the first 20 people. CloudWalk has connections with Sao Paulo, Toronto & Silicon Valley tech companies, advisors & investors.Work in a challenging, creative, self-managed environment along side with top performers in the Ruby and Open Source community.Opportunity to work 99% remotely and only travel for meetings a few times a year.Your work mostly involves:Developing solutions for embedded devices, i.e. EFT POS (Point Of Sale) terminalsPort Mruby to hardware with limited few resources, some not posix, not like linux and limited set Syscall, with high security standards.","Minimum Required Skills:CRubyARM and MIPSMakeRakeGCCToolchainsGood communication skills (English)Desirable Skills:MRuby projectEncryption 3DES, RSA, AESASMGitPayment standards ISO8583, EMVExperience developing on multiple platformsExperience with development for EFT POS (Point Of Sale) Verifone, Ingenico and PAXExperience with development of languages, compilers and runtimesExperience with scripting languages (Ruby or Python)Knowledge of communication in layers of protocols, links, network, transport and applicationResponsibilities:Continuing development of ongoing projectsDesign a clean and scalable software architecturesManaging projects and timelines methodicallyMaintain, optimize and testing code","Ground floor opportunity - be in firstAmazing Open concept office in Sunnyvale (ping pong, Cafeteria, mini put) alongside some of Silicon Valleys top companies and talentPerformance bonusesFlexible HoursOpportunity to work remotelyParticipate in world class tech conferencesStock options available this yearSocial events & team bonusesLunch and refreshments are provided onsite",1,1,1,Full-time,Not Applicable,Unspecified,Computer Software,Engineering,0
8151,Sales Consultant Boston,"US, MA, Boston",,,"Sarbari is a high-growth, investment-backed software company. We provide the restaurant industry with exciting new solutions that help our customers to save money and work more efficiently. We are located in our newly remodeled headquarters in downtown Allentown.","SALES SUPERSTARS ONLY! EARN $50,000 to $200,000 PLUS BENEFITS Do not respond to this add unless you can prove that you are a sales superstar. A rare opportunity for a sales professional to upset a whole industry with a first of its kind software product that is revolutionizing the way the restaurant industry does business. Only apply if you can walk into any restaurant, find the decision maker and close the sale.  Candidates must have proven cold calling and closing skills. Existing contacts in the industry are a huge bonus.  We are a fast paced, high-energy growth company and we need like-minded sales professionals now. Hiring in the New York Metro area, Boston, Philadelphia and Washington DC areas.",,Sarbari offers a base salary and high earning potential including a complete benefits package. ,0,1,0,Full-time,,,,,0
1461,Inside Sales Representative,"US, , All Locations",Sales,,"Our HistoryFounded in 1929 by Earl Bertrand Bradley, the company began selling products for Knape and Vogt Co. of Grand Rapids, Michigan.With the opening of the Los Angeles branch in 1929, the company became a wholesale distributor specializing in store fixture and specialty hardware such as drawer slides, hinges, brackets and standards. In 1943 branch offices were opened in San Francisco followed by Seattle in 1956.The companys market position and business began to grow during the late 1950s and 1960s after adding Wilsonarts high-pressure laminate line to its product mix.During the 1970s under the leadership of E.B. Bradleys son Robert E. Bradley, Sr., two new branches were opened; San Diego in 1972 and Portland in 1976. Significant product additions were the Blum line of European hinges and drawer slides and Accuride precision ball bearing drawer slides. A greater emphasis as a supplier to the Cabinet and Furniture industries was taking hold.Since the opening of the Anaheim branch in 1995, the company has been consistently growing.In 1998 the company entered the cold press lamination business by opening 3 locations of its West Coast Laminating subsidiary in the Los Angeles, San Francisco and Pacific Northwest marketplaces.Our OwnershipUp until January 4, 2009, the company operated as a 100% family owned business.On January 5, 2009, Industrial Opportunity Partners (“IOP”), a private equity firm based in Evanston, IL. partnered with Robert Bradley, Jr. in aquiring the stock of E.B. Bradley Co. and its subsidiaries from its current shareholders.",Provide internal and external sales and customer service support within a call center working environment.,"Essential Job FunctionsResponsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Answer incoming calls;Input orders;Order processing;Providing customer quotes;Check stock and inventory levels;Track and monitor orders;Interact with customers, vendors, Operation Managers and Outside Sales Reps;Provide technical support to customers and Outside Sales Reps;Resolve product application issues;Provide support to Outside Sales Reps;Filing, faxing and e-mailing;Assist at Will Call Counter;Organize catalogs;Practice good housekeeping maintaining a clean and safe working environment;Attend and participate in product and skills training classes, events and seminars;Develop and maintain product and industry knowledge;Some independent decision making in the areas of pricing, product sourcing, prioritizing tasks and time management;Continue to be knowledgeable and well trained on the products that you sell;Promote products and provide add-on suggestions to customers;Develop and maintain good working relationships with vendor reps and participate in ridealongs and training events with them;Participate in trade shows and events:Participate in product knowledge training events from manufacturers and vendors;Participate in promotions and spiff programs;Promote next-day delivery and one-stop shopping;Build and maintain long lasting business relationships and partnerships with clients;Assist customers by resolving issues and complaints;Complete required paperwork and reports as required by the Operations Manager;Trouble shooting and problem solving;Resolve issues with internal and external customers;Take advantage of all sales tools that are provided by the Company;Assist in resolving delivery issues and making special deliveries;Participate in training and technical support provided by Outside Sales Reps;Monitor inventory and assist in stocking decisions;Maintain a high level of customer service, professionalism and integrity;Perform housekeeping duties within your work area maintaining a clean and safe working environment.Non-Essential Job FunctionsPulling orders;Must assist others and perform additional tasks as directed by the Regional Sales Manager.Skills/QualificationsMust have a basic understanding of the selling process;Product and industry knowledge is preferred;A general knowledge of woodworking tools and equipment;Must have excellent time management skills;Prioritization, Organization, and Execution — ability to multi-task in a stressful, fast paced working environment;Attention to Detail,;Must be highly organized;Excellent Verbal and Written Communication skills;Must have the ability to interact effectively with individuals throughout all levels of an organization;Proficient in standard business software, including Outlook, Excel, Word, Access and PowerPoint.QualificationsTo perform this job successfully, an individual must be able to perform each essential job function satisfactorily. The requirements listed in this job description are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with qualified disabilities to perform the essential functions of the job.RequirementsHigh School Diploma or GED;Bachelor degree in business administration, marketing or equivalent preferred;1-3 years of inside sales and/or call center experience.Building industry experience is a plus.Physical DemandsRequires the ability to walk, stand, carry materials, stoop, kneel, bend at the waist, reach overhead and climb stairs:May require sitting and/or standing for long periods of time;Will be required to lift up to 50 lbs occasionally;Working EnvironmentWork is performed within a diverse environment;Some work may be performed within a general office environment;Some work may be performed within a manufacturing and warehouse environment;Work will also include extensive operation of an automobile;Exposure to moving mechanical parts, machinery, fumes, airborne particles, dirt, dust and chemical fumes;The noise level in the work environment is usually moderate to loud and may require hearing protection.Safety shoes and eye protection may be required at times.Temperature environment range is approximately 30°F to 110°F.SummaryThis position description identifies the key responsibilities, expectations of performance, qualifications and work environment as outlined in the contents.  It is neither intended nor possible to list all tasks and variables the employee may be required to perform or conditions the employee may be subject to.  Employees are expected to follow any and all job related duties and responsibilities, as may be reasonable assigned by management.As an Equal Opportunity Employer, E.B. Bradley, Co. is committed to a diverse workforce.",,0,1,1,,,,,Sales,0
2439,Fall Internship,"US, DC, Washington",,,,"Maybe youve got an ear for rhythm, an eye for detail, a mind for research — and a stomach for revision, revision, revision.Maybe youve been mesmerized by a soaring keynote, or fidgeted through a dull one, and wondered about the process that makes speeches what they are.At West Wing Writers, we offer year-round, paid internships to a few good candidates. We dont expect interns to have written speeches before, but we do want people who love to write and are excellent researchers; people who are creative, curious, thoughtful, motivated, and dependable.As an intern, youll get an insiders view of the speechwriting profession and be an integral part of our team. Dates are flexible. Candidates who are available to work full-time are preferred. We will be hiring interns in both our Washington, D.C. and New York City office locations.We will accept applications for our fall internship (SeptemberDecember 2013) from June 1 to June 30, 2013.  Please note that rather than review applications on a rolling basis, we review all applications at the end of each application period.",Extensive research experienceExcellent written and oral communications skillsDemonstrated interest in writing and communicationsAuthorized to work and accept new employment in the United States,,0,1,1,Temporary,Internship,,Writing and Editing,,0
9378,Technical Project Manager,"US, TX, Irving",IT,,"WHO WE ARE?   MILLER & ASSOCIATES IS AN ENTERPRISE SOFTWARE SOLUTIONS COMPANY.We offer technical consulting, custom software development, and Business Intelligence expertise to help global businesses address their toughest technological and organizational challenges and present their best selves.SMART AND HEART.We understand the limitless potential of business technology and the immense frustration of making sense of it. We're a group of people passionate about helping our clients find imaginative solutions that make their lives easier.We are a strategic consulting, technology planning, custom software development, and design firm. Our unique group of seasoned technology experts each have years of experience understanding the goals and needs of customers and delivering solutions on time, in budget, and of tremendous quality.Innovation is at our core. We've staked our reputation on our ability to develop pioneering, forward-thinking solutions that other firms aren't capable of. In fact, nationally known consulting companies often partner with us for the creative solutions that elude them.Everything we do is guided by usability. We know that technology is worthless if no one wants to interact with it. We have an in-house team of designers and usability experts who work everyday to prove that clever design and utility are not mutually exclusive.OUR CULTUREFrom the very moment of our inception our distinct corporate culture has always stressed a strong partnership with people, both client and employee. We are a collegial group of men and women that always look forward to being of service in whatever capacity we are needed. We are rooted in our values and in the commitments we make to each other and to our clients. We come from all over; Italy, Morocco, Canada, United States, Korea, China, Nepal, India, Vietnam, but have all come here to share in the same common goal: your success.","We are looking to hire a full time Technical Project Manager. This employee will be working primarily onsite at our largest client, in Irving, TX. ",Must be a Scrum Master and have experience running an Agile methodology process for a project. ,,0,1,1,,,,,,0
10425,Community Manager,"GB, LND, London",,,"Launched in 2010, Lyst is an innovative way to shop for fashion online thats used by millions every month. Lyst partners with the worlds top fashion brands and stores - from Topshop, Balenciaga, Alexander Wang and Burberry to Saks, Net-A-Porter, Lane Crawford and Barneys - to provide people with a personalised way to discover the fashion they love. Lyst has raised over $20million from a range of all-star investors including Accel Partners (Facebook, Spotify), Balderton (Yoox, MySQL), DFJ (Skype, Hotmail) and the teams behind Michael Kors, Oscar de la Renta and Tory Burch.","The OpportunityLyst is looking for its first Community Manager to manage all Lyst communities - responsible for recognising, building and developing engaged and inspired communities on the Lyst site as well as across all social platforms. Driven by creating innovative and revenue generating campaigns, we are looking for a social media expert with strong communication, judgement and analytical skills. Reporting to the Editorial Director, this person will be skilled at creating dialogues and passionate about the fashion technology space.  Key Responsibilities:Lead, manage & implement Lysts global social media strategy across multiple markets and multiple platforms, measured on engagement and sales directly from social platforms.Responsible for building, engaging and delighting the Lyst onsite community.Create unifying story-arcs across multiple marketing initiatives, working alongside Editorial, PR and other marketing channels to keep platforms updated with relevant, and engaging tone and content.Lead all social media initiatives, managing campaigns from start to finish - briefing, creative development, tech development, post-campaign analysis.Oversee reporting across all social media activity, communicating to the wider business as relevant and aligned with weekly and monthly updates.Research and implement new platforms and tools to drive digital innovation, meet business needs, improve efficiencies, reach new audiences/markets and measure success.Provide ongoing analysis, key insights and recommendations to optimise performance of communities and their output.Identify new avenues to grow communities in focus markets to attract new customers and support stock sell-through.","Extensive experience in running Social Media campaigns and securing high levels of engagement in the Fashion and Lifestyle sectors.Strong, developed network and understanding of fashion and lifestyle communities - on and offline.Excellent  written and interpersonal skills, strong ability to build relationships. A deep understanding of the fashion landscape and consumer, with a keen understanding of ecommerce and the fashion tech space.A creative thinker and ideas generator.Entrepreneurial, proactive and tenacious spirit - highly self-motivated.Desire to work in a fast-paced, results-oriented, dynamic start-up environment.Should live and breathe social media. Be a visible ambassador for the Lyst brand.",,0,1,0,Full-time,,,Apparel & Fashion,,0
5748,Account Manager,"US, IL, Chicago",,,,"The RoleCDWs dedicated inside sales representatives help customers choose the right technology products and services to meet their needs. Inside Sales Account Managers create and build consultative, long-term relationships with their customers nationwide in order to create tailored, cost effective technology solutions that impact their bottom line.Responsibilities:Make outbound calls to potential and established customers on a daily basisEstablish and expand relationships with key executives and decision makers within each customer and territoryClose sales and overcome customer objectionPosition CDW's solutions as a strategic advantage to our customers' long term needsDevelop and maintain exceptional consultative relationships with existing customersMaintain CDW's values and Philosophies of Success","Strong interest in inside salesProficient with Microsoft Outlook, Word and Excel in addition to basic computer knowledgeStrong organization and time management skillsAbility to multitaskThrives in a fast-paced environmentEffective communication skills",Health InsuranceWellness ProgramsHealthcare Flexible Spending AccountDental InsuranceVision Insurance401(k) PlanTuition ReimbursementPaid VacationDiscounted Product PurchasesCharitable Gift MatchingAnnual Events,0,0,1,Full-time,Entry level,Bachelor's Degree,Computer Hardware,Sales,0
4419,Application Developer - Salesforce,"US, CA, Redlands",Esri,,"StartupTAP is not a conventional recruiting agency.  We're true Talent Acquisition Partners.We stand behind the belief that talent is the magical ingredient that fuels innovative ideas and builds successful startups. A great idea can only go so far without the right mix of talented, driven people working vigorously toward the same goals. We dont just provide resumes and candidates; well be your Talent Acquisition Partners in crime, doing all the dirty work to find those rare gems, the people that have that unique blend of talent, drive and passion that will bring fresh energy, ability and ideas to your team. So, while youre busy changing the world, let us be your Talent Acquisition Partners, its what we do best.","THE COMPANY: ESRI  Environmental Systems Research Institute (#URL_6b9c7dbc8de6a3e168e9c45ac1289630f08cb59eabb68aeaa926cdb16af18c48#)Our passion for improving quality of life through geography is at the heart of everything we do.  Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them.Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more.THE OPPORTUNITY: Application Developer - SalesforceJoin our growing team and use your Salesforce development skills to implement and maintain Salesforce solutions. This is a challenging opportunity to work with a team of analysts and other developers to solve key business systems-related problems and improve existing processes.Specifically…Design and develop Salesforce-based applications and enhancementsBuilding custom applications on the #URL_9c5f751b7ed85d808872f8eb3e558a747f92376a3c1005f6b91fe07438fe13f9# platformUnderstand and leverage the Salesforce provided APIsReview and understand business needs and requirements and create functional prototypes to address themFollow established Salesforce development standardsAssist in troubleshooting difficult technical issuesMaintain existing applications with an eye to continuous automation and improvementStay current on #URL_9c5f751b7ed85d808872f8eb3e558a747f92376a3c1005f6b91fe07438fe13f9# technology changes","THE IDEAL CANDIDATES: REQUIREMENTS/QUALIFICATIONS/ATTRIBUTESEDUCATION: A bachelors in information systems, computer science, or a related fieldEXPERIENCE: 2+ years of recent Visualforce and Apex development experienceProcess knowledge in Sales Cloud, Service Cloud, CommunitiesStrong communication skillsExcellent office and computer skillsSalesforce Developer CertificationExperience developing with JavaScript, HTML5, and CSS3Knowledge of JavaScript frameworks including jQuery and EnyoKnowledge of third party JavaScript tools such as KendoUI","Our culture is anything but corporate—we have a collaborative, creative environment; phone directories organized by first name; a relaxed dress code; and open-door policies.A Place to ThrivePassionate people who strive to make a differenceCasual dress codeFlexible work schedulesSupport for continuing educationCollege-Like CampusA network of buildings amid lush landscaping and numerous outdoor patio areasOn-site café including a Starbucks coffee bar and lounge areaFitness center available 24/7Comprehensive reference library and GIS bibliographyState-of-the-art conference center to host staff and guest speakersGreen InitiativesSolar rooftop panels reduce carbon emissionsElectric vehicles provide on-campus transportationHundreds of trees reduce the cost of cooling buildingsIncentives for employees who walk, bike, or carpool to workCalifornia-grown produce available for purchase in Esri Café ",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
12244,Mobile Software Engineer,"GR, I, Athens",,,"Avocarrot is a native mobile ad network with offices in Silicon Valley and Athens. We are building the next generation of mobile advertising technology. Backed by leading corporations like Unilever as well as institutional and angel investors from the Valley, our aim is to become the de facto standard in mobile app monetization. Our platform has served several billion ad requests and keeps growing at a fast pace.Our TeamWe are hackers and we love it! As a hacker team we solve most of our problems in code and our objective is to ""ship code fast and iterate faster"".  We are light on process in order to avoid lengthy meetings and unnecessary overheads. We work in small teams that own a specific metric or a part of the product. We want to empower our people to make a huge impact on the business.Your RoleAvocarrot is growing at a fast pace and we need exceptional developers and growth hackers  to help us keep up with the requirements of a product that needs to handle billions of requests each month. What we are looking for are people who are smart and get things done! #jointhehackers",If you are a hardcore mobile engineer who wants to work in a team of other hackers changing the face of mobile advertising once and for all keep reading!We are looking for an outstanding Software Engineer with broad experience in developing Android and iOS applications. If you know almost everything there is to know about the Android and iOS platforms then don't be shy and get in touch.What Youll Be DoingDesign and implement new user-facing features in Avocarrots iOS and Android SDKs with a focus on usability and performanceWork closely with the ad serving team to implement the next generation of our ad serving APIDesign and implement highly customizable mobile ad interfacesProvide first-line customer technical supportWork in a team of talented hackers learning as much as you can and having fun while doing it,"A Computer Science or related degree from a top university1+ year experience in building complex applications for the iPhone or iPad using Objective-C with Cocoa and other frameworks1+ year professional experience in building complex applications for the Android platform using the Android SDKExpert ability working and collaborating in small software teamsExperience with web technologies (Javascript, HTML/CSS) is a plus",Control your own time - We have flexible working hoursCompetitive salaryForget bureaucracy - We want to move fast and help you to make real impact on the businessWe ship code fast and iterate fasterWe work in small teams meaning that you'll own part of the productWe believe no idea is too crazy for a hacker teamWe provide free snacks and beverages,0,1,1,Full-time,Not Applicable,Master's Degree,Computer Software,,0
12014,Senior Network Engineer (Day Rate Contract),"IE, , ",Infrastructure Support Services,,"ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Barcelona, Dhaka and San Salvador. Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.","Senior Network Engineer (6 Month Day Rate Contract)Location: Dublin Our network handles tonnes of traffic while keeping mobile phones around the world topped up. To ensure our server platform is robust and reliable were looking for a talented Network Engineer to join us. We need someone who is excited by the words “maintainable, scalable and 24/7/365” to ensure ding*s operations runs smoothly and efficiently without interruption. Youll be involved in all aspects of running and scaling our platforms network infrastructure and services, while maintaining network reliability and performance. What experience do you need for the role? (Glad you asked!)Your brain is full of all things internet: Internet routing hierarchy, domain names and address resolution, protocol stacks, HTTP, HTTPS, SSL, SMTP, TCP network peering, public and private IPsYoure amazing at Web Security, Web Protocols, VPN managementYoure the best at networking technologies across various vendors including Cisco, Fortinet, Citrix, F5Youre awesome at Fortinet Firewalls, F5 & Citrix Load BalancersPrevious mentoring or team lead experience Attributes:You love to have a different day………….every dayYou get a kick out of a challenging projects….and if you also enjoy working with a fun dynamic team, thats great; Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super-fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to work here, youll get to work in a great office environment without a gimmicky pool table but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.ding*ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Dhaka and San Salvador. ",,,0,1,1,Contract,Mid-Senior level,,,,0
16717,Full Stack Ruby Engineer,"GB, GBN, London",,,,"Do you want to write mission critical code that enables thousands of people to launch businesses and help reshape the face of retail?At Appear Here, some of the projects our developers are currently working on include: tools to help our landlords rent & manage their spaces, payment processing for a high volume transactional marketplace and giving our tenants new ways to discover spaces through social recommendations and data analysis.We deploy daily for features big and small and encourage contribution to open source projects. Everyone has input on product vision & direction, so expect to work closely with people up and down the stack to deliver strong customer-focused experiences. We develop in Rails and JavaScript, host on Heroku & manage code in Git.A great example of the kind of high quality user experiences we love to ship is Destinations, an interactive guide that enables tenants to discover the perfect audience & space for their idea. The system also allows copy writers, photographers & spaces hunters from across the country to collaborate & bring cities to life.","Deep knowledge of Ruby, OOP & Rails.Experience with Postgresql.Good understanding of HTML, CSS and Javascript.Can rapidly build, well tested, full-stack web applications.",Competitive salariesYour pick of Apple hardwareA generous conference budgetStock options,0,0,1,Full-time,,,,,0
14274,Talent Acquisition Specialist USA,"US, NY, New York",People Operations,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Qubit: Cutting Edge Big Data EngineeringQubit provides an integrated personalization platform to Ecommerce businesses, helping them drive cutting edge customer experience for their online users. We were founded in London 4 years ago by 4 Ex-Googlers and we've just received $26m in funding to help drive our US growth - #URL_1cc3e13427ad27bf1a56819d4d86c30517ff0a720cbb0705cb66c9d717f48296# We are now looking for a Talent Acquisition Specialist to help grow our young US team. Youll be managing our US hiring process and helping us to proactively attract world class talent to our team.What you'll be doingManaging the end-to-end recruitment process for attracting, sourcing and hiring world class talent in the USLiaising with the UK team to ensure constant development, improvement and alignment of recruitment strategies Developing your own innovative talent acquisition plans to meet the long term staffing needs of the organization in the US - be these industry events, hackathons, university partnerships and much much moreContributing to the forecasting of recruitment costs, ensuring that all costs are accurately recorded and identifying areas where costs can be reducedManaging and liaising with a select network of third parties that assist with our recruitment effortsManaging and speaking at recruitment fairs, meetups and events, enabling us to reach talent from top universities and within the tech communityWorking closely with the hiring managers to ensure that all needs are met and all processes run smoothly","What youll needBy submitting your application you understand that Qubit will store your data in accordance with local lawsExperience in the sourcing, hiring and on-boarding world class employees at all levels, in a highly competitive market, particularly in the fields of consultancy and salesExperience in a high growth technology business, hiring at scaleExperience in the implementation of innovative sourcing strategies, from boolean search strings to twitter lead cards - we use it all and we want you to bring some new and innovative strategies of your own!Minimum of a BA/BS or higher from top universityStrong organisational skills - you'll be sourcing with one hand and on-boarding with the otherA good knowledge of the talent ecosystem in the tech and startup communities across the USAA passion for creating and maintaining an exceptional culture - driven by good attitude and proactivity","Plenty of perksAs well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Human Resources,0
6599,Technical Support Engineer,"GR, I, Athens",Operations,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity & TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","As a Technical Support Engineer you will be responsible for monitoring the entire Upstream infrastructure (hardware, software, applications, etc) that is utilized for the execution of the companys revenue generating campaigns and ensuring that it is operating without any problems. You will also be responsible for quickly detecting, resolving and/or escalating any issues that come up and affect the normal operation of the campaign. Finally, you will provide support to internal users, by executing operational tasks, producing reports and troubleshooting, as well as external users, by handling customer complaints and requests. Key AccountabilitiesContinuously monitor Upstreams infrastructure (hardware, software, applications, etc) following standard procedures and utilizing all available tools.Identify and investigate possible errors or problems with swift and accurate response on any errors reported by our systems.Follow standard procedures for proper escalation of all incidents to the relevant second level support teams.Ensure proper recording and closure of all incidents.Prepare accurate and timely standard reports and ensure the quality of the outcome.Complete all operational tasks and ad-hoc requests in a timely manner, ensuring the quality of the results.Provide customer support by properly handling and resolving customer/end-user complaints and requests through phone or email.Review and provide feedback on documentation and procedures. Formulate new ideas to improve procedures.","Knowledge, Skills and ExperienceUniversity degree in Engineering / Computer Science or similar disciplineVery good communication skillsVery good knowledge in oral and written EnglishBasic knowledge of Unix/Linux systems, utilities and scriptingBasic SQL knowledgeExperience in helpdesk support is a plusPersonal CharacteristicsSelf-driven with ability to take ownership of issues and follow through to resolutionTroubleshooting and problem solving skillsDependable, reliable, with attention to detailStructured and methodical work style","We offer a competitive salary and additional benefits. By joining the technical team, you will also be exposed to an international environment in a very dynamic and progressive group.",0,1,1,Full-time,Entry level,Bachelor's Degree,Telecommunications,Information Technology,0
13155,Configuration Management Engineer,"NZ, N, Auckland",Engineering,70000-85000,"Want to be part of a NZ success story thats going places?Want to have a hand in developing products that youll be proud of?We are a driven and ambitious technology business with a Vision to deliver revolutionary transactional and self service products that make people wonder how they ever lived without them.Designed in New Zealand and exported across the world, our products have an enviable history of delivering smart and robust technology solutions to the oil and gas retail sector. We have developed many first-in-world products and we are embarking on our next strategic horizon - so we have exciting and challenging times ahead!Types of roles we recruit for;Embedded and Application EngineersMechanical, Hardware and Production EngineersSoftware Developers (particularly Java Devs with payments experience)Product ArchitectsQA & Compliance (including Test Analysts / Test Engineers)Project Managers (software and hardware)Solutions Consultants (Business Analysts)Plus much much moreWe believe in the importance of living and breathing our Company Values; were Passionate, we do What We Say, were Straight Up, were Creative, were Team players, were all about Quality, we make it Win-Win and People Matter to us.","Configuration Management Engineer Our products are in demand, our business is growing and we need to scale our operation. Configuration specialist needed to ensure accuracy of BOMs and information manuals.  In demand productsComplex and interesting payments industryCompany in growth modeHere at Invenco we are working to deliver the fastest and most secure payment options for the retail fuel and unattended markets that the latest in technology allows.   With clients in Europe, South America, South East Asia, the Middle East and Australasia, we focus on enhancing user experience because being up-to-date with the latest in reliable and secure payment services, and having the tools to deliver an exceptional level of customer experience, give our clients the edge over their competition. Adaptive, modular, robust and supportable, our solutions are highly configurable, integrating with existing systems to ensure that our clients are able to leverage their investment in the technologies that drive their businesses. Our engineering team needs a talented configuration management expert to ensure that our Bill of Materials are accurate and reflect the current product versions and that all product information manuals are up to date.  This is a complex role and a key member of the hardware engineering team, with final responsibility for the management of our product configuration control processes and tools. As this is a newly created role, you will have significant opportunity to influence process.With your engineering background you will have an excellent understanding of the engineering product lifecycle along with the ability to understand how systems work and tie into each other.  You will feel comfortable preparing technical documentation, processes and procedures and be quick in picking up and managing systems.  It goes without saying that you have very good administrative skills and have exceptional communication skills.We are looking for someone who likes to roll up their sleeves, and using experience, knowledge, and contacts, can get involved in improving processes and help us reach a new level of performance."," 5 years of relevant experience in a relevant engineering role coupled with relevant tertiary qualification.Minimum of 2 years experience of configuration support and analysis experience.Proven ability to work on tasks with technical complexity.Strong customer service ethic and a keen eye for continuous improvement opportunities.Excellent communication skills both written and verbal.Good systems management experience e.g. document control systems, audit systems etc.No restrictions to travel. ","City fringe location - based in Ponsonby.Wellbeing Programme and active Social Club.Performance based pay, training and development opportunities, challenging work, flexible work hours, paid birthday leave, discounted medical insurance, Discounted Gym Membership, Coffee Club and access to EAP.",0,1,1,Full-time,Associate,Associate Degree,Information Technology and Services,Engineering,0
5763,Android Developer,"US, TX, Austin",,,"Not a ninja, rockstar, or guru? Perfect. Weaver's hiring!Weve just closed a round of funding and are looking to fill the following roles in Austin and San Francisco.Weavers like emoticons on steroids. Its an app that automatically illustrates your conversations as visual stories. Were developing technologies to give people more creative and fun ways to express themselves on mobile (and wearable) things. Well be pushing the limits of NLP, 3D visualization, and real-time interaction.Weavers looking for people passionate about storytelling and crafting expressive tools for others. We want to build a culture of fun, creative people who play well with others. If that spells out someone youve enjoyed working with, please do have them reach out or ping us with an intro.","Weaver Labs is looking for energetic and dedicated individuals with a keen interest in developing the future landscape of communication and storytelling. The Android Developer will be responsible for developing, writing, and debugging code as well as working closely with design staff and other engineers to develop a broad range of client based messaging such as networking, graphics, and interface. Responsibilities:            •           Java programming            •           Concurrency on Android Platform            •           Service oriented development            •           Android development            •           API design            •           Debugging","Requirements:            •           Strong knowledge of Java runtime, language and techniques (memory management, introspection, dynamic methods)            •           Must possess excellent architecture and API design instincts and skills            •           Must possess excellent profiling and debugging skills•           Strong understanding of concurrency and background tasks on the Android Platform (Service, IntentService, Executor, BroadcastReceiver)            •           Strong networking understanding (TCP/IP, HTTP, REST)            •           Familiarity with service oriented development            •           Must have modern Android development experience Preferred:            •           Understanding of user interface design concepts and best practices            •           Familiarity with programming language interface design and implementation a plus            •           Experience with compiler implementation and architecting integrated development environments  ",,1,1,1,Full-time,,,Computer Software,,0
2691,Sr. Design Engineer Mechanical - 3D CAD,"US, WI, Milwaukee",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Experienced Sr. Design Engineer - Mechanical is required having responsibility to Work with assembly and fabrication to provide hands-on support of design changes and production issues.(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#,,,0,0,0,Full-time,,,,,0
3620,"International Broadcaster, Thai Service","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.",The International Broadcaster shall properly complete all daily tasks. These daily tasks include but are not limited to: preparing for assignments (fails not more than once per week); revising video packages (fails not more than twice per week); correcting production errors in stories (fails not more than twice per week); properly creating line-ups and logs (fails not more than once per week).,"The International Broadcaster shall have at least five (5) years of current experience. The International Broadcaster shall perform a combination of services that will include researching, interviewing, writing and voicing original news stories and reports. The International Broadcaster shall have the ability to adapt material for radio, television and/or internet about events in the target region, the U.S. and other countries. The individual shall produce and edit reports using compatible digital technology and shall be able to record and process interviews by phone, live face-to-face or in the field. The individual shall have extensive knowledge of the target region, its people, culture, institutions and political situation, and the ability to adapt English material to the target language and adapt the target language to English. The International Broadcaster shall possess and employ basic multimedia skills as required in the completion of each assignment, including the ability to take still photographs and record video via smartphone during field reporting assignments, and to upload photos and videos as needed to agency-based file servers. He or she shall assess different information to validate the comprehensiveness, accuracy and balance of news products, and shall demonstrate high standards of journalism and news experience. He or she shall have the ability to function as news announcer on live or pre-recorded news bulletins or newscasts; for video assignments the International Broadcaster shall wear attire suitable for the assignment. The International Broadcaster shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies. Knowledge of Thai language required. ",,0,1,0,,,,,,0
17836,Sr.Business Intelligence Technical Architect,"US, CA, Silicon Valley/San Jose - Santa Clara",Information Technology,,"Roland & Associates is a Corporate Recruitment Organization providing solutions to Global MNCs in US, Middle East & Asia Pacific for the past 11 years.Rated as one of the top recruitment companies in India by Social Talent, Ireland; operating from a state of the art office at Bangalore, located on HAL Airport Road, Team Roland' is supported by 100+ specially trained Searchologists.","Experience : 10 - 20 YearsImplementation experience in one or more of Business Intelligence (BI), OBIEE, OBIA, Exalytics, Exadata / Exalogic etcLeading or delivering projects bringing OLTP and OLAP data to end users through reporting/dashboards using Hyperion and visualization tools.Ownership and strong contributions in architecting, designing and planning business intelligence solutions, configuring BI services.Performing architectural reviews of all BI & Analytics solutions for assigned projects.Possess strong business acumen and consistently demonstrates forward thinking.Expert knowledge of Data Warehousing and Business Intelligence design principles having working experience in BI tools such as Hyperion reporting tools, MDM, Essbase, OBIEE+.RegardsPrejith",,,0,1,1,,,,,,0
16773,Urgent Requirement : Dotnet Developer for UAE,"AE, , ",Information Technology,,"VAM SYSTEMS is a Business Consulting, IT Solutions and Services company with operations in UAE, Qatar, Bahrain, USA, Australia, Singapore & India. . We offers a comprehensive list of services in the field of IT infrastructure management, Cloud services, IT Consulting Services, Banking, Management Resources, Information Technology Development, Telecom, Aviation, Retail Management & Government offerings.","Greetings from VAM SYSTEMS…..VAM SYSTEMS is a Business Consulting, IT Solutions and Services company with operations in UAE, Qatar, Bahrain, USA, Australia, Singapore & India. . We offers a comprehensive list of services in the field of IT infrastructure management, Cloud services, IT Consulting Services, Banking, Management Resources, Information Technology Development, Telecom, Aviation, Retail Management & Government offerings.VAM SYSTEMS is currently looking for Dotnet Developer for our UAE operations .Skillset required:Strong development experience in C#.Net 3.5, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# , MVC3, Entity F/W, WCF services, LINQ,C#.Net 2.0, 1.1, XML, XSLT , SQL Server 2008, 2005, 2000, Oracle, Crystal Reports, Microsoft Visual Studio .Net IDEShould have experience in DTS and SSIS Packages.Experience Required: 6+Years.Terms and conditions: Joining time frame:   Immediate.The selected candidates shall join VAM SYSTEMS  UAE and shall be deputed to one of the leading Organizations in UAE.   ","Skillset required:Strong development experience in C#.Net 3.5, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# , MVC3, Entity F/W, WCF services, LINQ,C#.Net 2.0, 1.1, XML, XSLT , SQL Server 2008, 2005, 2000, Oracle, Crystal Reports, Microsoft Visual Studio .Net IDEShould have experience in DTS and SSIS Packages.",,0,1,1,,,,,,0
17211,Director of Finance,"GB, LND, London",Operations,,"Space Ape Games is an Award Winning Mobile/Social Gaming startup founded in 2012. Were a small, high-performing team with big aspirations to make the highest quality and most successful games on your smart phone and tablet.We believe that the trait nearly all of the most successful games on mobile and tablet have in common is that they were made by talented teams with lots of experience working together. There are tens of thousands of app developers, but only a handful of really good, really experienced, well gelled teams out there.Accordingly, everyone on our team is an “A-player” with deep gaming experience. We are some of the key guys and gals behind the biggest games on Facebook and on the internet. Our team is behind a #1 game on Facebook, two #2 games on facebook, and one of the biggest virtual worlds for kids on the planet. And now with Samurai Siege we have a US top 50 grossing app (top 10 in some countries) played by more than 7m people in its first few months since launch.We love great tech. Not for its own sake but because we make games for big audiences and that means its got to work all the time. Because we are in a competitive space and that means we need to be fast and efficient. We are serious about sprint management. Its good for our game, and keeps us focused.We are a creative company tempered by great analytics. Smart analytics can make a good game even better. But we think analytics alone makes soulless products. Why choose just one?","Space Ape is growing fast.  A little over 12 months ago we were 15 people and the finance role involved predicting our burn rate and runway and funding needs.  Now, 12 months later, we are over 60 people, profitable with over $20m in annual revenue and now need to create a finance function.   With $10m in venture funding, customers in 149 countries and forecast annual growth at over 400% you will be joining one of the next big break-out successes of the London tech scene at precisely the right time.As Space Apes FIRST full time finance person you will have a clean slate and an opportunity to shape the direction of the company.   Internally, youll need to ensure the day to day finance operations run smoothly but will also help spearhead our entry into new markets and oversee multi-million dollar marketing budgets to ensure that we deploy our significant capital wisely.  Externally youll help us negotiate licensing and distribution deals and liaise with some of the highest profile investors in gaming.Accounting Responsibilities:Responsible for day to day financial transactions, Accounts Payable, General Ledger and PayrollPreparation of monthly consolidated financial statements, including supporting general ledger account reconciliations and maintenance of Chart of accountsReview and distribute to management COGS and Dept. Operating Expense budget vs. actual results and follow-up on unfavorable variancesPrepare financial section of Board of Director presentationPrepare reports for debt covenants or other required 3rd party reportingPrepare UK and other tax returns, work with advisorsDevelopment and implementation accounting policies and procedures, including internal controlsManagement of staffFinance Responsibilities:Partner closely with Leadership to develop a comprehensive annual budget, including: detail revenue computations, employee hiring plans, capital expenditures, cash burn, etc.Develop and maintain financial model and update each month for actual finance results; changes in forecasted, hiring, direct costs and other elements that are included in the financial forecastReview new forecast with the founders each monthVarious financial analysis include key metrics, sales rep productivity, gross margin, etc.Other Responsibilities:Partner with the founders in developing the optimal capital structure of the company, including assisting with fund raisingDevelop and maintain employee compensation guidelines including base pay and stock option grantsReview and approve employee offer lettersMaintain legal files and electronic database of all contractsMaintain employee personal files and stock option filesMaintain capitalization tableAssist founders with various legal matters","Requirements:Qualified UK chartered Accountant or MBA / BS in Accounting or Finance required10+ years of total accounting/finance professional experience, 3+ years as a Controller and Manager of FP&ARecent technology company experience in a VC funded startupStrong technical knowledge of UK GAAP, including revenue recognition, tax and equity accounting.Extremely proficient in Microsoft ExcelCPA, Big 4 background and audit experience a plusExcellent organizational and analytical skills, initiative, and the ability to work in a dynamic and fast-paced environment.Excellent written and verbal communication skills, including the ability to explain complex accounting concepts to non-financial leaders.Proven leader who can work collaboratively, build, manage and motivate a team of accounting staff and build strong relationships across the Company.Strong interpersonal skills an absolute must",,0,1,0,Full-time,Director,Master's Degree,Computer Games,Accounting/Auditing,0
3579,Joomla Developer,"GR, I, ",,,,"Website development using Joomla 3 + jreviews + jomsocial, previous experience required",,,0,0,1,,,,,,0
5101,Web Developer,"GR, I, athens",,,,"Due to continued growth , we require a Front End Web Developer to join the marketing team based in our Central Offices.You will be responsible for ongoing additions and changes to the websites and will be fully involved in the process from beginning to end. Your role will concentrate on creating practical and intuitive designs to help promote new and existing products across our portfolio.You would ideally have a proven track record of working as a Front End Web Developer with a keen eye for design. Writing organized, fully standard-compliant code is a must as is the ability to design and code pixel-perfect layouts across multiple browsers.","What experience/qualities do you need?> A demonstrable ability to code using current front end technologies (XHTML, CSS, JavaScript)> The ability to create pixel perfect code> Basic knowledge of a graphics application (Photoshop, GIMP, Illustrator)> Excellent communication skills> Comfortable working as part of a teamWhat experience/qualities would be an advantage? > PHP & MySQL experience> Advanced/other web technologies (AJAX, JSON, JQuery, XML)> CMS Experience (Drupal, Wordpress) Furthermore you need to be : > Able to communicate well with colleagues from a non-technical background.> Able to work alone and unsupervised or as part of a team> Fast learner with ability to enhance skills through training> Able to work under pressure, managing time and prioritizing tasks across multiple projects.","We pride ourselves on our team of dynamic and successful people.If you think you could be one of these, and are looking for a company that will recognize your achievements and provide you with generous rewards, apply now.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Automotive,Marketing,0
11080,Entry Level Sales,"US, OH, Cincinnati",,55000-75000,,"General Summary: Achieves maximum sales profitability, growth and account penetration within an assigned territory and/or market segment by effectively selling the companys products and/or related services. Personally contacts and secures new business accounts/customers.CORE FUNCTIONS:•Promotes/sells/secures orders from existing and prospective customers through a relationship-based approach.•Demonstrates products and services to existing/potential customers and assists themin selecting those best suited to their needs.DETAILS OF FUNCTION:•Establishes, develops and maintains business relationships withcurrent customers and prospective customers in the assigned territory/market segment to generate new business for the organizations products/services.•Makes telephone calls and in-person visits and presentations to existing and prospective customers.•Researches sources for developing prospective customers and for information to determine their potential.•Develops clear and effective written proposals/quotations for current and prospective customers.•Expedites the resolution of customer problems and complaints.•Coordinates sales effort with marketing, sales management, accounting, logistics and technical servicegroups.•Analyzes the territory/markets potential and determines the value of existing and prospective customers value to the organization.•Creates and manages a customer value plan for existing customers highlighting profile, share and value opportunities.•Identifies advantages and compares organizations products/services.•Plans and organizes personal sales strategy by maximizing the Return on Time Investment for the territory/segment.•Supplies management with oral and written reports on customer needs, problems, interests, competitive activities, and potential for new products and services.•Keeps abreast of product applications, technical services, market conditions, competitive activities, advertising and promotional trends through the reading of pertinent literature and consulting with marketing and technical service areas.QUALIFICATIONS:Demonstrated aptitude for problem-solving; ability to determine solutions for customers (consultative sales approach). Must be results-orientated and able to work both independently and within a team environment. Must possess excellent verbal and written communication skills. Proficiency in using Microsoft Office Suite applications and contact management software. Valid drivers license.",,Great Health and DentalFast Advancement OpportunitiesGreat Income PotentialCompetitive Bonus ProgramCar Allowance,1,0,0,Full-time,Entry level,High School or equivalent,Financial Services,Sales,0
11959,3D Animator,"GB, LND, London",Art Studio,,"Space Ape Games is an Award Winning Mobile/Social Gaming startup founded in 2012. Were a small, high-performing team with big aspirations to make the highest quality and most successful games on your smart phone and tablet.We believe that the trait nearly all of the most successful games on mobile and tablet have in common is that they were made by talented teams with lots of experience working together. There are tens of thousands of app developers, but only a handful of really good, really experienced, well gelled teams out there.Accordingly, everyone on our team is an “A-player” with deep gaming experience. We are some of the key guys and gals behind the biggest games on Facebook and on the internet. Our team is behind a #1 game on Facebook, two #2 games on facebook, and one of the biggest virtual worlds for kids on the planet. And now with Samurai Siege we have a US top 50 grossing app (top 10 in some countries) played by more than 7m people in its first few months since launch.We love great tech. Not for its own sake but because we make games for big audiences and that means its got to work all the time. Because we are in a competitive space and that means we need to be fast and efficient. We are serious about sprint management. Its good for our game, and keeps us focused.We are a creative company tempered by great analytics. Smart analytics can make a good game even better. But we think analytics alone makes soulless products. Why choose just one?","Space Ape Games is a Mobile / Social Gaming start-up founded in 2012. Were a small, high-performing team with big aspirations to make the highest quality and most successful games on your smart phone and tablet. We are looking for the worlds best artists to help make the worlds best mobile games.At Space Ape we believe in making innovative, high quality games that are fun to play and even more fun with your friends. We believe in tempering those games with world class creativity and believe that making a whole lot of people happy through great games is good.We are committed to building a company of world class artists and world class game craftsmanship: A place where the best artists love to work and the best game designers and developers love to create the future of play. Our culture is centered around empowerment, passion, commitment, and fun. Were a small team because we believe small teams can change the world. And one day when we are bigger, well be a bigger company full of small teams. We believe that happiness is important. We strive to create a happy and productive working environment. Our studio is, well, kick ass.We are seeking t-shaped individuals possessing expertise in a single field as well as the ability to collaborate across disciplines with experts in other areas. In addition to being amazing artists they should also enjoy the other aspects of building a new company. Designing features, making decisions, recruiting, finding users; you name it. And foosball. But most of all, we are looking for extraordinarily talented artists who share our love of creativity and games.Space Ape is located in Soho, London, and has a number of available roles for artists to join our internal development team on exciting projects for iOS and Android. ","ResponsibilitiesThe timely creation of high-quality game-assets to the projects art-style and technical requirements.Build and maintain in game content using Unity.Keep abreast of the latest industry art production techniques.Mentor and assist members of the team.Liaise and work closely with other departments, solving problems on a win-win basis.The ability to work in different styles and genres.RequirementsArt degree or excellent game-focused portfolio.Extensive knowledge of industry applicable software.Technical expertise and familiarity with game-engines and their performance requirements.A professional, pro-active and collaborative work attitude, with excellent interpersonal and organizational skills.Passion for games and mobile gaming.A “raise the bar” attitude.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Games,Art/Creative,0
698,English Teacher Abroad ,"US, TN, Martin",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
8592,Med Surg RN ,"US, TX, San Antonio",,,,"Find more jobs at #URL_1efb08d6a6da1c56afb2d0c686e1ed0dc14d0fbcb22482369e8a69999aa0d278#Apply using below link#URL_3586d90a958235cd4a57ce399a13ed21354aa741641b45cc10241c523c54d963#Med Surg RN (per diem) -- San Antonio, TXRequirements: 2 - year minimum Med Surgical experience in an acute setting. We're eager to fill 2 positions immediately, please apply ASAP. Active Texas or Compact State RN license.12 hour day/night shifts available, 12 - 48 hours per week***Guaranteed HoursAdvantages of Per Diem Nursing:• Make extra money, depending on their commitment, which is the main advantage. • Pick your own schedules based on your commitment.• 24 hours a week, 36 hours a week - one weekend a month and one holiday a year - these are the nurses making the big bucks, while providing sorely needed coverage.• In most cases staff nurses must work every other weekend, two holidays and can't necessarily pick their schedules.• Nothing can match the flexibility that comes with per diem shifts. Higher PayPer Diem shifts are paid at a higher rate. The flexibility to pick up shifts as needed, combined with higher wages allows you to maximize your earning potential.Bachelor's Degree or Associates Degree in Nursing is requiredMust possess U.S. hospital experience; recent specialty experience within 1 year.Active American Heart Association BLS, ACLS cardWeekly pay rates will be discussed by Recruiter***Please Note: If you are proactively looking for an opportunity, or would like to view our MANY openings, you may follow the link and instructions below to create your profile:",,,0,0,0,Full-time,,,Hospital & Health Care,,1
6033,Head of Engineering / Lead developer,"GB, WSM, London",,,"Signkick have created Europes leading digital platform for ordering, booking and planning Outdoor Advertising campaigns across the UK. Working with leading media format owners, Signkicks novel platform is revolutionising and streamlining the way in which Outdoor Advertising campaigns are bought, sold and plannedOur mission is to democratise out-of-home advertising (billboards, bus stop, digital screens etc.) by enabling companies of all sizes to book hyper local OOH campaigns  currently focused on the UK with a global ambition.Youll join a team of experienced founders who have built businesses before and have proven their ability to execute in a highly demanding and uncertain environment. There is a very open culture without politics; whereby what is said is more important than who says it. Signkick is also highly involved in and connected with the London Tech community.No recruiters please.","Signkick is looking for a full time lead developer starting February 2014.Your main responsibility will be building and maintaining the complete Signkick platform  both the GUI and the back-end. Youll work directly with the founders on the product vision/roadmap and youll have full autonomy in terms of engineering. Youll help us on our mission to bring innovation to an industry that still handles bookings via phone and spreadsheets. We save our customers huge amounts of time when booking advertising through our platform. You will help us to keep delivering that timesaving benefit to our customers and to add more features that will make their lives easier. Development of the product will continue over the years to come and your role in this will be crucial. The Signkick platform is not your average web app and consists of two components:1. The GUI is an online self-service tool (think Google Adwords meets #URL_f7c3db02a9e96f06ba0d3ee82ae77828e0071e332d7de8dcdf23c68f8bb689d0#) where advertisers can search for advertising possibilities and instantly book & pay for them.2. The back-end allows for high volume trading of advertising space and gathers availability from diverse sources. To do this efficiently big amounts of data needs to be stored, precompiled etc efficiently. The stack we currently use:- PHP with Symfony2, HTML, CSS, jQuery, Mapbox, Less, AngularJS, Capistrano, Python (Django), Vagrant, Puppet- CentOS, MySQL (InnoDB), MongoDB, RabbitMQ, Graphite / Statsd, MemcachedWere not fixed on this stack - were open to alternative options if it is better for the business. Youll join a team with 3 highly motivated, skilled and experienced founders and a freelance UI/UX designer. They all bring a different skillset to the table. They have built businesses before and have proven their ability to execute in a highly demanding and uncertain environment. On top of that, all of them have just enough technical skills so that you can run things by them and gain a second opinion. There is a very open culture without politics; whereby what is said is more important than who says it. Signkick is also highly involved in and connected with the London Tech community. ","You must…- Be heavily experienced with PHP, MySQL, MongoDB, HTML/CSS/jQuery- Have profound knowledge of one or more PHP frameworks (Symfony2 is preferred)- Be able to perform basic DevOps tasks like releasing on and maintaining the DTAP environment- Love startup life, work in a lean & agile environment- Learn fast- Not suffer from the NIH syndrome- Last but definitely not least: you must be a nice person It helps if you…- Have worked in a start-up (environment) before- Have experience with most of our stack- Have a university degree in Computer Science or related discipline- Have a lets take over the world mentality- Enjoy Dutch traits  eating pancakes, humour and directness","- An opportunity to join a startup team in the early days - with salary!- A real challenge and interesting / diverse work- Valuable experience  since you work in a small team, youll get unique opportunities and learn a variety of skills- A place where creativity and innovation is highly valued- Market rate salary depending on skills and experience- Share options- Yearly trip to Amsterdam to see the beautiful canals, wooden shoes and tulips- Join us at the numerous parties and network events of the London tech scene- You can BYOD or we supply you with hardware- If you are the right person, you will have a significant role in shaping Signkick and youll have the chance over time to build a team around you & grow with the company",0,1,1,Full-time,,,,,0
12953,Senior UX and Digital Designer/Developer for a Venture Capital Company,"GB, LND, London",,45000-60000,"Based in Hoxton, London, Forward Partners are a “startup catalyst”, combining investment with practical hands-on expertise and insight.We're investors in very early stage ecommerce companies.  Our team have the experience to enable entrepreneurs to succeed.  We do more than advise and mentor. We help the best entrepreneurs quickly find a great product-market fit with our expertise in customer discovery, coding, design, customer acquisition, testing and analysis as well as offering office space.Companies invested in include: Hailo, Zopa, Wool and the Gang, Thread, Big Health, Stylect, Makers Academy, Blik Book, DriftRock, Top10, SnapTrip, Loyalty Bay and Appear Here","Forward Partners is an ecommerce investment studio.  We discover and  invest in new ecommerce brands. and offer them hands on know-how as they grow.  You might have heard of some of the companies weve already invested in like Appear Here, Makers Academy, #URL_a300ade53985506ab3bfc73e1a39c8044a0d6f97cfb1a52b373a47f56fc89635#, #URL_5e07a935c285960c1778838c4eb735aedb124df9b86a50949ced9254889f8446# and Driftrock.  Were looking for a talented designer to join the team and play a part in building the next generation of brands that people will love.  This is a great chance to join a massively experienced team and grow your skills as well as learning new ones. Youll work with a skilled creative team and help entrepreneurs bring their brands to life.  ","THE ROLETo work closely with the Head of Product to aid in the implementation of all aspects of design Youll help create branding materials for use both on and offlineYoull work with the early stage companies in our office, getting to know the entrepreneurs and being a part of their unique stories.Utilise your existing skills and have a thirst to acquire more.  We think deeply about design and interaction  we want you to love what you doThis isn't about working to existing style guides, we work directly with CEO's and founders to create amazing new consumer experiences.ABOUT YOU Youll have a strong portfolio of original work.  Please send a link when you apply!Youll be comfortable using Adobe Creative Suite, specifically Photoshop and have some experience of Illustrator and InDesign.Youll have a strong understanding of design concepts and methodologies.Youll have a keen interest in the history of design in contrast with a knowledge of current design trends.Youll enjoy working iteratively  we alter our designs regularly in the face of user data and experimentation.Experience with a variety of tools - e.g. Visio, Balsamiq, Axure - to create and communicate UX designs, both at a high-level (e.g. personas, customer journey mapping) and low-level (e.g. flows, wireframes, interactive prototypesDESIRABLE SKILLSExtensive knowledge and ability to hand code HTML5, CSS3, SCSSExtensive knowledge of Javascript, JQuery, and AJAXThorough knowledge of the differences between browsers and platformsAbility to take flat designs and turn them into a finished live siteThorough knowledge of accessible code and design standardsA passion for all things webA fresh approach, able to argue your case and ask insightful questions",,0,1,0,Full-time,Mid-Senior level,Unspecified,Internet,Art/Creative,0
16192,Middleweight Web Designer,"US, NY, New York",Marketing,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Qubit: Cutting Edge Big Data EngineeringQubit is looking for a web designer to join our growing marketing team in New York. We need a highly skilled person to help us rejuvenate our brand identity and take our website to the next level. You'll understand how to create web-friendly and responsive websites, and will be able to push back on tiresome copy or over-complicated ideas. Ideally you'll have a mixture of agency or in-house experience. Re-brands and websites should be in your blood, as well as understanding what it is innovate in design and generate ideas that create a 'smile in the mind'.Youll have to be experienced working in the digital sector and be looking for a new challenge working at one of New Yorks fastest-growing start-ups. We're looking for an efficient, self motivated individual with a great eye for detail, who is passionate about design and able to work in a fast turn-around environment.What Youll Be DoingRe-designing our website and other digital assetsWork on microsites, advertising and other marketing collateralA bonus would be helping produce film and animated contentEducating our team of strategists on design and UX, so that they can learn the fundamentals","What We Are Looking ForBy submitting your application you understand that Qubit will store your data in accordance with local lawsA creative or design degree from a respected universityStrong skills and experience with Adobe Creative Suite  Photoshop, Illustrator and InDesignCSS or HTML and other web design languages are a big plusExperience working in the online sectorUX experience and an eye for design with usability in mindAuthorization to work in the USA","Plenty of perksAs well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Marketing,0
3170,Product Engineer,"BE, VBR, ",,,"We are specialized in HR consulting, sourcing PhD, MSc & MEng professionals on permanent and contract level positions across the globe.","Our customer, based in Flemish Brabant, Belgium, is a worldwide supplier of solutions for industrial and automotive applicationsRESPONSIBILITIES-  Initiate and develop new and existing product designs, focused on the electrical components of the system.-  Responsibility for an assigned part of the Europe product portfolio for product specifications and manufacturing process.-  Steer, evaluate and release engineering changes to the existing product portfolio-  Work closely with CAD en manufacturing team-  Support sales by evaluating requests for specials, interact with other engineeringteams-  Steer product improvement projects",REQUIREMENTS-  Engineering degree (electro-mechanics or electrical engineering) or equivalent through experience-  3 to 5 years industrial experience in product development or product engineering-  Knowledge of manufacturing processes-  Understanding of customer needs and market requirements-  Fluency in English,,0,1,0,Full-time,,,Automotive,Engineering,0
4551,Websphere Architect,"US, MD, Woodlawn",Information Technology,,,"Hello,Warm Greetings from iORMYX Inc!This is an urgent requirement for . Please see the full job description below and let me know your interest to proceed further ASAP.Position: WebSphere Architect (Ref code: 062913-DONHAL-IO-JOH) Location: Woodlawn, MD  (21244) Duration: Long Term Description:The resource is expected to assist and have a working knowledge of  WebSphere 6 and 7and 8.5 installation, configuration and administration, Sun Solaris 9 and 10 administration, RedHat Linux 5+ administration, Portal experience and shell scripting, Solaris clustering, the ability to manage multiple tasks simultaneously while adhering to schedule constraints, the ability to work well in a team environment and excellent communication and time management skills. The Websphere administrator will document, research, identify and attempt to solve all technical and application related problems on initial call. Document and track support activity and clearly communicate technical solutions in a user friendly professional manner.Requirements· WebSphere 6 and 7 and 8.5  installation, configuration and administration· Sun Solaris 9 and 10 administration· RedHat Linux 5+ administration· Shell scripts· Solaris clustering· Ability to manage multiple tasks simultaneously while adhering to schedule constraints· Ability to work well in a team environment· Excellent communication and time management skillsDesired· ILOG/JRULE· WODM· Virtual Enterprise· PortalThanks,JOHN M. JOYiORMYX INC 1110 D Elden Street Herndon, VA- 20170 An ISO 9001:2000 Company T: #PHONE_7b333d03f873f6cc66d7d0dead4412c67a03b2b83a1aeeaf4a40780bae296db9# F: #PHONE_a9da9d70451220c6432ebf27bbc6658094c4416f6f6695f77f72539ca04e0213# #EMAIL_13caffe071f51cd3d787d42f5d1d72db9aebe8fc10e1512ececa22e20c93b4ce# #URL_05ae259a9322f8bdc2217ce40106dbb261aa91de077e2d6463b2f01ea9206773#iORMYX, Inc is a rapidly growing IT Solutions Company combining technology consulting, Internet solutions, software deployment, network services to deliver end-to-end solutions to Commercial Clients. We offer a competitive compensation + benefits.",,,0,0,0,,,,,,0
16150,Intermediate Technical Business Analyst,"US, PA, Pittsburgh",,60000-70000,"S2scout, is a Pittsburgh-based talent aquisition firm providing services to small, medium, and large organizations across several industries.S2scout is comprised of seasoned recruiters, A.K.A. “Scouts”, who are dedicated to helping clients AND candidates find the right talent or opportunity without wasting their time.Our dedication to providing clients AND candidates with fresh talent or unique opportunities is in the “S2scout” name. “S2” is the military term for intelligence. “Scout”, by definition, is a person sent out ahead of a main force so as to gather information about the target's position, strength and movementOur recruiters dont sit and wait for candidates to come to them, our Scouts are in front of the search utilizing tactics that are…well…CLASSIFIED.","We are seeking a technical Business Analyst with experience driving software development projects from conception to completion. Your peers likely consider you to be to an expert in gathering user requirements. You often leave them wondering, “How does s/he know to ask that questions?” Over the last few years youve mastered the art of diplomacy and how to keep the peace between groups with conflicting interests. Below are a few more details to help you get a better understanding of what this opportunity entails.Responsibilities-Write use cases; transform functional requirements -> technical requirements-Act as a liaison between the business and IT-Apply project management principles to drive projects to completion-Encourage cooperation between teams-Develop relationships with engineers and stakeholders","-Bachelor's Degree preferred-3+ years of experience working with agile teams creating web applications-3+ years of experience in a business analyst or product owner role-Experience performing user acceptance testing-Track record of demonstrated leadership skills-Above average Visio, Excel, and Project skillsInterested in learning more? Apply today and one of our Scouts will be in touch with you shortly. ",-100% Employer Paid Healthcare-PTO-401k-Free Parking ,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Business Analyst,0
17374,Beauty & Fragrance consultants needed,"GB, , Uxbridge",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury beauty & fragrance consultants needed!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary & permanent beauty, fragrance, fashion & retail staff. We are recruiting now for beauty & fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care & perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to #EMAIL_11046aef5219327c0db0b1c912c1ba032e7a569ddfc256050c7a98cd16ae9e57#",,,0,1,0,,,,,,0
6516,Salesforce Developer | Administrator for Rapidly Growing Start-Up,"US, CA, Beverly Hills",,,"Carlyle Financial is a mortgage bank based in Beverly Hills, CA offering a full range of home loan products. We concentrate on providing a high level of personal service with an emphasis on developing long-term relationships with our clients rather than treating them simply as a single transaction. As a mortgage bank and direct lender, we control all aspects of the home loan process, from origination to funding. This means that we operate more efficiently and have less paperwork than our competitors, and close most home loans in fewer than 30 days, which translates to superior service and pricing for our clients.We are a fast-paced, tech-focused company. We are looking for intelligent individuals with a strong work ethic as we are rapidly expanding into new regional markets.","We are seeking an experienced Salesforce Developer. We are looking for a responsible self-starter who possesses self-management skills and a deep knowledge of Salesforce to join our team. This position is perfect for a seasoned Salesforce user who preferably has administered 5 or more Salesforce accounts. This is a contract position for a project, but we are looking to develop a good working relationship with a Salesforce developer/admin for the long term, hoping to create a mutually beneficial partnership.Currently our Salesforce account utilizes the Sales Cloud enterprise account and the #URL_9c5f751b7ed85d808872f8eb3e558a747f92376a3c1005f6b91fe07438fe13f9# Platform, knowledge base, #URL_81417a6b234baefef0d38434037a0ea1689d93ba8e0e77c95b462a62205b9c97# cleaner and prospector, and partner portals. The ideal candidate will: 3+ Year Salesforce Experience Ability to design, write and debug server side applications in ApexAbility to write and integrate HTML5, CSS and JavaScript Ability to work with Salesforce development platform.Strong understanding and practice of software development process, architecture and design patterns specifically for Salesforce.Ability to communicate effectively both orally and in writing• Posses an in-depth working knowledge of Sales#URL_9c5f751b7ed85d808872f8eb3e558a747f92376a3c1005f6b91fe07438fe13f9# • Evaluate our business requirements to recommend customizations, extensions and/or interactions beyond standard functionality when appropriate.• Architect new solutions, not simply manage existing configurations. • Manage the data and workflows within Sales#URL_9c5f751b7ed85d808872f8eb3e558a747f92376a3c1005f6b91fe07438fe13f9#, ensure data quality, and monitor integrations to other systems. • Customize user administration including user setup, permissions, sharing rules, etc. • Customize new fields, objects, page layouts, workflow rules, list views, etc. • Have an understanding of fundamental data entry with detail section and related lists.• Be able to execute fundamental data migration for all systems. • Be responsible for data hygiene upkeep & management including deduplication, owner changes, address validation, etc. • Create and continually monitor assignment rules to streamline processes.• Create and maintain custom reports and dashboards per business requirements, working directly with stakeholders to elicit and confirm objectives. • Have an advanced knowledge of data flow and integration mechanics.• Identify new areas in which Sales#URL_9c5f751b7ed85d808872f8eb3e558a747f92376a3c1005f6b91fe07438fe13f9# implemenation will benefit our business, and assist the adoption and training for new end users. • Work with business stakeholders, business analysts, and project managers in documenting requirements for new functionality and give input on solution options.  • Serve as the lead in the development, testing, and deployment of new functionality. Work with enterprise systems and technologiesDevelop and maintain documentationProgramming utilizing web technologiesWork with Quality Assurance in debugging systems",,"Salary determined by experience.This position is immediately available; candidates please submit resumes via email. Please do not apply if you are not the principal candidate, absolutely no recruiters, no outsourcing, and no off shore developers.",0,1,0,Contract,,,Information Technology and Services,Information Technology,0
12418,Now Hiring Inbound Sales Representatives,"US, , Hampton",,0-0,"Delivering superior customer services for over 10 years, IBEX Global is a business process outsourcer offering an integrated suite of services that supports an expansive array of customer facing solutions.Headquartered in Washington, DC. IBEX Global delivers onshore, near shore and offshore solutions in 5 countries, across 18 call centers employing over 9000+ customer service professionals.  The recipient of several client and community awards such as the Goodwill Industries Employer of the Year, IBEX Global is one of the most forward thinking companies in the BPO industry.","Grow your career in an Entry Level Call Center Sales OpportunityAre you ready to start your sales career with a growing organization in a call center sales and customer service role, and then consider joining our growing inbound team at IBEX Global!IBEX Global is rapidly growing and were looking to quickly ramp up our Call Center Customer Sales & Service Representative positions that will be responsible for taking inbound calls from clients, selling telecommunications products and servicesAt IBEX Global weve been delivering superior customer services for over 10 years, as a business process outsourcer offering an integrated suite of services that supports an expansive array of client facing solutions. Representatives are responsible for generating sales of telecommunications products in an inbound sales center.In this role you will be responsible for fielding inbound calls from customers providing quality support , making sales offers on every transaction and suggestive selling telecommunication products and services based on customers needs","RequirementsAs a Customer Service Sales Representative you should be driven to succeed and exceed customer service and sales goals. In this role you should have strong interpersonal communication skills with a confident and outgoing personality.• Generating sales for our clients services and products and representing IBEX Global and its clients with theutmost integrity and professionalism• Providing exceptional service and maintaining established acceptance rating on quality monitoring tests• Resolving customer concerns immediately and effectively, displaying professionalism and courtesy at all times• Having full knowledge of features and benefits of products and services; quickly and correctly answering product questions seeking to stay informed of program changes• Attending and actively participating in all required training programs; showing eagerness and capacity to learn new products and programs.• 1 year of sales experience STRONGLY preferred• Proficient in computer skills• Excellent verbal and written communication skills• Ability to utilize basic math computation skills, preferred• Background and Drug screen required.","Benefits:We offer our employees the following comprehensive benefits plan:• Medical, Dental and Vision Insurance• 401(k) with company match• Paid Time Off• Paid professional training• Career AdvancementNote: Interested candidates must submit online application on the following link.#URL_e089fced0d4a47ba0cba718477e356d9aa2a1108dd0c66da14bba5314379d969#?__JobID=*EBEC6B#PHONE_9529d5852ff6f0a8d9fb4725a748f67d20c4f53b6fed4f8995ffe73ee30d1856#",1,1,0,Full-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
99,IC&E Technician,"US, , Stocton, CA",Oil & Energy,95000-115000,"                                                                                 Staffing & Recruiting done right for the Oil & Energy Industry!Represented candidates are automatically granted the following perks: Expert negotiations on your behalf, maximizing your compensation package and implimenting ongoing increases Significant signing bonus by Refined Resources (in addition to any potential signing bonuses our client companies offer)1 Year access to AnyPerk: significant corporate discounts on cell phones, event tickets, house cleaning and everything inbetween.  You'll save thousands on daily expenditures Professional Relocation Services for out of town candidates* All candidates are encouraged to participate in our Referral Bonus Program ranging anywhere from $500 - $1,000 for all successfully hired candidates... referred directly to the Refined Resources teamPlease submit referrals via online Referral FormThank you and we look forward to working with you soon!  [ Click to enlarge Image ]","IC&E Technician | Bakersfield, CA Mt. PosoPrincipal Duties and Responsibilities: Calibrates, tests, maintains, troubleshoots, and installs all power plant instrumentation, control systems and electrical equipment.Performs maintenance on motor control centers, motor operated valves, generators, excitation equipment and motors.Performs preventive, predictive and corrective maintenance on equipment, coordinating work with various team members.Designs and installs new equipment and/or system modifications.Troubleshoots and performs maintenance on DC backup power equipment, process controls, programmable logic controls (PLC), and emission monitoring equipment.Uses maintenance reporting system to record time and material use, problem identified and corrected, and further action required; provides complete history of maintenance on equipment.Schedule, coordinate, work with and monitor contractors on specific tasks, as required.Follows safe working practices at all times.Identifies safety hazards and recommends solutions.Follows environmental compliance work practices.Identifies environmental non-compliance problems and assist in implementing solutions.Assists other team members and works with all departments to support generating station in achieving their performance goals.Trains other team members in the areas of instrumentation, control, and electrical systems.Performs housekeeping assignments, as directed.Conduct equipment and system tagging according to company and plant rules and regulations.Perform equipment safety inspections, as required, and record results as appropriate. Participate in small construction projects.  Read and interpret drawings, sketches, prints, and specifications, as required.Orders parts as needed to affect maintenance and repair.Performs Operations tasks on an as-needed basis and other tasks as assigned.Available within a reasonable response time for emergency call-ins and overtime, plus provide acceptable off-hour contact by phone and company pager.          Excellent Verbal and Written Communications Skills:Ability to coordinate work activities with other team members on technical subjects across job families.Ability to work weekends, holidays, and rotating shifts, as required.","QualificationsKnowledge, Skills & Abilities: A high school diploma or GED is required. Must have a valid drivers license. Ability to read, write, and communicate effectively in English.  Good math skills. Four years of experience as an I&C Technician and/or Electrician in a power plant environment, preferably with a strong electrical background, up to and including, voltages to 15 KV to provide the following:Demonstrated knowledge of electrical equipment, electronics, schematics, basics of chemistry and physics and controls and instrumentation.Demonstrated knowledge of safe work practices associated with a power plant environment.Demonstrated ability to calibrate I&C systems and equipment, including analytic equipment.Demonstrated ability to configure and operate various test instruments and equipment, as necessary, to troubleshoot and repair plant equipment including, but not limited to, distributed control systems, programmable logic controllers, motor control centers, transformers, generators, and continuous emissions monitor (CEM) systems.Demonstrated ability to work with others in a team environment. ","BENEFITSWhat is offered:Competitive compensation package100% matched retirement fundAnnual vacations paid for by companySignificant bonus structureOpportunity for advancement Full benefits packageAnnual performance reviews and base salary increasesAnnual cost of living increases Sound, clean, safe and enjoyable working environment & Company CultureWorld renound management and executive team who promote from within, leverage careers and invest in employees for the long-term success of their careers and overall company/employee goalsQualified candidates contact: Darren Lawson | VP of Recruiting | #EMAIL_395225df8eed70288fc67310349d63d49d5f2ca6bc14dbb5dcbf9296069ad88c# | #PHONE_70128aad0c118273b0c2198a08d528591b932924e165b6a8d1272a6f9e2763d1#   ",0,1,1,Full-time,Mid-Senior level,High School or equivalent,Oil & Energy,Other,1
14298,Operations Support Representative,"US, MA, Woburn",,,"Outstanding Member Service Starts With Outstanding PeopleIf you are committed to the concept of “above and beyond” and enjoy interacting with people either in person or on the telephone, then we want to talk to you.Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.",Document imagingRetrieval of mortgage files (from other office locations)Records retentionAdditional duties as needed,Able to provide own transportationValid Drivers LicenseAble to lift up to 50 lbs,"Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGym membership reimbursement programMileage reimbursement",0,1,1,Full-time,,High School or equivalent,Banking,General Business,0
5359,R&D Proposal Consultant,"GR, B, Thessaloniki",PRESALES,20000-25000,"DOTSOFT SA is an SME, specializing in Software Development and Integrated ICT Solutions for the public and private sector. The company was founded on 2004 and initially its core business activity was purely in the area of web applications. Our major fields of expertise today are: Content & Data Management, Digital Assets, and Databases, workflow systems, web & mobile platforms.Our aim is to provide reliable, trustworthy, integrated ICT solutions and services, through technology innovation and successful project management to both public and private organizations. DOTSOFT's ""kind of projects"" are usually complex IT integration projects with a strong specialization in SW development in various, demanding environments.The last few years DOTSOFT is very active in R&D projects with significant success. From ICT for precision agriculture, wireless sensors and environmental monitoring to microelectronic platforms, energy decision support & workflow systems and data preservation we are using our experience and know how to provide innovative solutions in a wide range of sectors and applications. You can see our projects and services at our web site.",DOTSOFT is heavily involved in R&D projects (mainly under EU grants) as well as International Tenders. Pursuing new opportunities in Horizon2020 program is one of our top priorities. We are looking for an experience individual with a strong technical background that will join the Presales and proposal preparation team for both EU grants (mainly under H2020) and International Tenders.Job tasks include:Proposal Writing for R&D GrantsDesk Research on new and innovative technologies in areas where ICT could play a major roleHelp into the creation and development of new ideasDrafting and communicating the concept to other potential partnersNetworking activities in EuropeCommunication with potential partners in Europe and Greece to form a consortiumPreparation and writing of R&D funded proposalsAttendance at EU events to gain new ideas,"Good knowledge of the EU R&D grants framework and specifically FP7 and/or Horizon 2020Experience in proposal writing, proven by writing samples or proposal portfolioAbility to communicate in a multilingual, multicultural environmentA solid technical background (Informatics, Engineering, economics, management)A good knowledge of Project management principles (PMI, Agile methodologies etc.)A good knowledge of what is happening in innovation and the ICT world (startups ecosystem etc.)","Competitive Salary depending on experience and skillsA fantastic, friendly and productive environment in the center of ThessalonikiGreat opportunities for business development in niche markets and productsAn annual bonus package depending on your productivity and results",0,1,1,Full-time,Mid-Senior level,Master's Degree,Information Technology and Services,Consulting,0
4456,Contact Center Representatives,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company, located in Virginia Beach, VA has full and part-time positions available for Contact Center Representatives.  We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork and an uncompromised level of customer service. The position requires the following qualifications: A minimum of 1 year in a Call Center environment or equivalent  customer service experience Collections experience a plus!Ability to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights and Saturdays as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish is a plus! Primary responsibilities include, but are not limited to the following: Make and receive calls through automated dialerTake payments on past due accountsHandle customer service related issuesProcess related paperworkAdherence to company policies and procedures in addition to compliance of state and federal regulations We offer a competitive salary based on experience and a comprehensive benefits package. Interested candidates may apply in person at:6520 Indian River RoadVirginia Beach, VA 23464 If you prefer you may submit your resume via e-mail to #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117## or fax your resume to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#.Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex (including pregnancy), marital status, religion, disability, genetic information, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.",The position requires the following qualifications: A minimum of 1 year in a Call Center environment or equivalent  customer service experience Collections experience a plus!Ability to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights and Saturdays as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish is a plus!,"Our company offers a competitive salary plus BONUSES as well as a comprehensive benefits package to our full-time employees including:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.",0,1,0,Full-time,Entry level,Unspecified,Financial Services,Customer Service,0
7511,8th Grade Mathematics Teacher,"US, NY, Albany",,,"The Albany Charter School Network is a family of charter schools in the City of Albany that works to prepare children for meaningful future lives  as purposeful, productive, and participatory citizens of New York, the United States, and the world.Our strong and unified movement of charter schools collaborates with parents to provide for all students a vibrant academic environment and dynamic learning experiences that create what we call “Future Life Opportunity”  a pathway to success in college, career, and community life.The Albany Charter School Network and the schools that comprise our community are always looking for highly committed and highly skilled people to come and join us in the exciting and meaningful work of helping create Future Life Opportunity for this generation of students.Please take the time to look at the various open positions on our site. If there is a role for which you think you would be a great match, then we encourage you to learn more about it and submit an application.","Do you have what it takes to cultivate the next generation of “Scientific Artists”  students with both the disciplined expertise and creative imagination to contribute effectively to the betterment of the global society? If so, the Albany Charter School Network may be the place for you! We are looking for an 8th Grade Mathematics Teacher to join our team of professionals committed to helping our students write like Frederick Douglass and reason like Albert Einstein from May  July 2014, with the potential for long-term employment opportunities.POSITION SUMMARYAs an 8th Grade Mathematics Teacher, you will work collaboratively with other instructional staff and the Chief Instructional Leader to champion a high-quality education for each and every student while cultivating success for future life opportunities in college, career, and community. You will participate in both horizontal and vertical planning to ensure every students academic needs are met. You will also develop strategies for the design, delivery, modification, and extension of Common Core-aligned curriculum and instruction, allowing all students to engage in active academic challenge and growth. As you work collaboratively with your peers to foster a thirst for lifelong learning, you will be responsible for your students performance and your own personal and professional growth.ABOUT THE NETWORKThe Albany Charter School Network is a family of charter schools in the city of Albany that works to prepare students for meaningful future lives  as purposeful, productive, and participatory citizens of New York, the United States, and the world. Our strong and unified movement of charter schools collaborates with parents to provide all students a vibrant academic environment and dynamic learning experience that create what we call “Future Life Opportunity”  a pathway to success in college, career, and community life.  We create this environment and these experiences with a focus on Choice, Reliability, Quality, and Growth.Choice: Engaged in the challenging and fulfilling work of learning for ourselves, and teaching others how to make informed decisions.  Reliability: Achieving high levels of student performance with low variability in academic and operational delivery within and between schools.Quality: Delivering excellent teaching and learning, and general school operations, through superior execution of research-based practices.Growth: Ensuring personal, academic, and professional growth for the Network community stakeholders and the Albany area as a whole.SECONDARY MATHEMATICS TEACHER JOB DESCRIPTION DETAILSPLAN Works with the Chief Instructional Leader, school leadership team, and instructional staff to establish a Common Core-oriented curriculum that engages students in active academic challenge and growth.Cultivates a culture of high-expectations-great-outcomes learning in which students can achieve the highest standards of success.PREPAREDevelops collaborative relationships with colleagues and school leadership to ensure quality teaching and learning for all scholars.Through professional development, serves as the lead learner while building knowledge and expertise in the mathematics content area.PROCESSEngages in active classroom management to ensure students represent their peers and teachers with excellence.Develops and maintains open communication with parents and families.PREDICTUse predictive analysis to design, deliver and modify teaching practices to support student growth and learning.Analyzes various student performance assessments, identifies areas of growth, and implements appropriate curriculum and instructional modifications to support student development and advancement.","Valid New York State Certification in mathematics, grades 5-9 or 7-12.Demonstrated ability to work in a collaborative environment with key stakeholders, including faculty, students, and parents.Mission-driven with a genuine commitment to fostering future life opportunities for all students.Excellent communication skills, both verbal and written.",,0,1,1,,,Master's Degree,,Education,0
14022,Design Assistant,"GB, ESS, coggeshall",Design,,An expanding Interior Designer Practice with a young and energetic team!,"We are a successful and expanding multi-disciplinary practice and we require a Junior / mid-weight interior designer with all relevant CAD and design skills in high-end residential design. Interior design and architectural construction detailing experience advantageous but not a must. We are a small team working in and around London and Essex. We require a new member of the team to give support to the senior designers and to help with the day to day running of the business. Both positions are avaliable part-time - or full time. A portfolio of work / examples of experience / Cad knowledge would need to be presented at Interview stage.Salary: competitive, dependent on experience"," Junior / Design assistantThis is a rare and exciting opportunity to learn the foundational skills required to be an interior designer without the need for a degree qualification, we will be offering on the job training but some interior design experience / qualification would be necessary. Minimum skills and experience requirements:ability to apply creative design and competent administrative skills and technical abilityThe ability to think on your feet and adopt a ""Can do"" attitude.A qualification in interior Design at any level is a must.A basic level of the following programs would be an advantage, Autocad, Photoshop, Macintosh computers, Excel, A good sketching technique and ability to convey ideas.Excellent organisational skills, the ability to work under pressure.To provide administrative support to the senior designers.A Full driving license Mid weight Interior Designer / FF&E designerThe general job description is to provide support for the senior staff in concept and schematic stages and to be heavily involved through the following stages to completion. If the applicant is not Interior Design / architecture qualified a minimum of 2 years relevant design experience is a must.Specific role includes:Design development and tender production drawingsWorking knowledge of FF&E specificationLiaising directly with clients and taking briefs.Confidence working with sub-contractorsReview of sub-contractors information and samplesSite surveys and the ability to draw up surveys in Autocad to produce space plans.Fluency in AutoCAD, Excel and Photoshop is a mustA Full driving licenseAny administrative / accounting knowledge would be advantageous."," This is an exciting opportunity for the right candidate, we are a small and friendly team, we require a candidate that has a true passion and flare for interior design. We require a candidate that really wishes to pursue a long career in design and will flourish with our hands on guidance and training.",0,1,1,,,,,,0
13243,Junior Web Product Designer,"PL, DS, Wrocław",,,Hi!We are Monterail. A team of Ruby developers and designers who build meaningful software every day. We are looking for savvy people to join our team and help us build a better web.Learn more: #URL_074ed509d4e2d0cd70102126310076f09d8d71232d9d760bb7de93f50b88d7f6#,Hi!We are Monterail. A team of developers and designers who build meaningful software every day. We are looking for a Junior Web Product Designer to join our team and help us build a better web.Learn more: #URL_811ac45840f6295705579697965aea390be47fca17b5934d4026cb10ab66efa1#,"You are—ideallyInterested in designing meaningful products that answer real business problems.Self-organized. Youll work on multiple projects and have to easily switch product mindsets if need be.Able to understand and process complex action flows to find possible dependencies and conflicts between features.Confident enough to represent teams point of viewto the client—or the clients point of view to the team.Or you areNot experienced in some of the requirements but willing to learn, willing to learn, willing to learn.Big +Understanding of Cucumber as a tool to communicate between stakeholders.You will learn toAnalyze our clients businesses and develop design solutions to address their goals and needs.Work in a design team where the expertise of other UI, UX and web product designers will help you learn solving real problems.Advocate product vision—both in the short-term during daily development, as well as in the long-term by preparing user story maps with clients and project managers.Use Cucumber scenarios as a tool to make sure everyone (the client and the development team, as well as individuals within the team itself) is on the same page.Talk with clients and ask stakeholders the right questions to ensure meaningful design process.Collaborate with developers who will implement these solutions and point at edge cases.","What you gainA Mac machine (or you can bring your own hardware)We will co-finance your conference attendances.We have our own book library (and a budget for everyone to get new ones.)Every Friday, the lunch is on us. Yummy!English classes. Talk the talk. Walk the walk.Gym membershipsa Multisport card. Or free cinema tickets.",0,1,1,Full-time,,,,,0
2547,English Teacher Abroad ,"US, IA, Ames",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
16186,Buyer,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Responsible for all the procurement activities of the companyWill have to work with the CEO to source, evaluate and recommend potential suppliersWill be responsible for purchasing quality goods and negotiating with vendors and suppliers for purchasing goods at the best possible prices.Will have to check the quality of goods by place sample orders.Will have to work with the sales team to evaluate market trends, sale trends and placing order trends. Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Market Research,,0
9542,Systems Specialist - Bulgaria,"BG, , ",,10000-20000,,"Position overview:          Develop, maintain, support and optimise server and information systems that meets or exceeds business, data access and performance requirements Major Duties and Responsibilities: Maintain business IT systemsDesign and Review of backup and recovery policies.Provide IT technical expertise to applications developers & operations for systems development and production support.Provide expert technical consulting to IT staff in the design, development of infrastructure systems to ensure a robust and stable environment.Participate in formulating and implementing IT standards and policies to support the operational environment and business direction.Develop all supporting technical documentation to facilitate consistency and quality of installations, systems upgrades and recovery/ repair.Develop and implement processes to assist with problem management, change management, performance monitoring to support effective operational management.Ensure the application of best practice with regard to database management in the Midas environment to provide a stable and robust infrastructure.Drive and guide installation support of new and existing hardware platforms and their operating environments to support ongoing business change and strategy.Advise and assist in monitoring and tuning the systems to achieve optimum level of performance.Provide 24/7 on-call production support.  ","Qualifications and Experience Essential: At least 3 years experience working with Linux (RedHat/CentOS)At least 3 years experience working with VMware environments.Administration of Window Server 2008/2012 environments.Administration of SAN arrays (EMC/NetApp/HP)Administration of Apache, Nginx & IIS.Programming with Bash, PHP, Python & Perl.Tolerance to high workloads and multiple changing priorities.Ability to solve problems and issues at all levels.Documentation skillsInterest and awareness of current IT technology and business application.Excellent oral and written communication skills.Computer Science/IT related university degree. Desirable:Basic administration of Oracle, Postgresql & MySQL DB.Configuration & administration of Nagios(OMD/Check_MK) monitoring tool.Configuration & administration of RedHat Satellite/Spacewalk, Puppet & McollectiveAdministration of Amazon Cloud Services.Usage & administration of Git/SVN repositories.Exposure to project management methodologies and software.Competencies:Behavioral:Effectively operate both as a team member, and as an individual.Strong logic, analytical, and problem solving skills.Effective “plain English” communication using written, verbal, and presentations skills.Positive, innovative and constructive outlook with a high level of initiative and self motivation.Business oriented perspective to system support processes.Strong time management skills with the ability to work with minimal supervision in a team environment.Ability to work in flexible times outside normal working hours and during peak periods as required.Interpersonal skills including those to project a professional image of the team both internally and externally.Professional attitude towards work, staff and peers with the ability to build relationships and communicate with staff and stakeholders at all levels.Pragmatic, but committed to continuous improvementTechnical:Provide effective support, policy, and procedures documentation.Understand and drive initiatives to support change control and operational integrity.Conceive and develop effective systems to proactively support and manage business operations.Advanced working knowledge of word processor, pc database, and spreadsheet packages ",,0,0,0,Full-time,Not Applicable,Certification,Gambling & Casinos,Information Technology,0
2297,O.R.I.O.N. Project Analyst - Route Mapping ($21+/hr),"US, GA, Roswell",,,,"""The ORION (On Road Integrated Optimized and Navigation) Project Analyst""Candidate will be working with a team responsible for mapping delivery routes for maximum optimization.The contingent worker will use computer applications that will access and plot delivery information.The team will validate and edit the information by completing verification rides in a rental car with other team members verifying the mapping data and information is accurate.After the information is verified to be accurate the contingent worker will be riding with a delivery driver throughout their day to verify that the optimization algorithms and map data is accurate.Must demonstrate basic knowledge of design principles; identify users requirements and needs.Will receive and prioritize requests for new data reports to serve customer needs based on urgency and importance.Will Organize and present his or her perspective in a logical manner; demonstrate proper grammar and word use in verbal and written communication.***Must have effective project and schedule management skills***PayRate: $21-$23/hr **Final rate determined after interview **8AM-5PM Mon - FriApply online:  https://ch.#URL_f5a986f7f7b5c987ae5e56d201f8f88577e5f687711767eb33004419999e168e#?org=OMNINA&cws=37&rid=379",,,0,1,0,Full-time,,,Transportation/Trucking/Railroad,Project Management,0
9460,BH15 1BT Business Admin Apprenticeship government funding 16-18 year olds only!,"GB, , Poole",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",This is fantastic opportunity for someone wanting to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position. You will be working for a Recruitment company and the role will involve: -Answering-Chasing couriers-Booking deliveries-Resolving any delivery issues Ideal candidates will be computer literate and have excellent communication skills. If you are honest and hard working send your CV to #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee#,Government funding is only available for 16-18 year olds as this job is an apprenticeship. ,Future prospects,0,1,0,Full-time,,,,Sales,0
11157,Chinese Growth Manager,,,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"Massive Media runs online social discovery apps like Twoo, Stepout and Netlog and is growing on the Chinese market. We need a smart, driven, Chinese speaker to maximise this growth. This all-round digital marketing role includes : Competitor analysisTranslation / LocalisationDevelopment and promotion of pages and apps for local social media (QQ, Weibo, etc)E-mail and social media marketingCopy writingOnline public relationsTake impactful initiatives to increase, retain and monetize Chinese active user base Desired Skills & ExpertiseFluent in Chinese (speaking: Standard Chinese and writing: Simplified Chinese) and EnglishExperience of product marketing and/or social media skills, preferably with Chinese social networksStrong English to Chinese localisation and translation skillsStrong analytical skillsKnowledge of mobile & web technologies AttitudeHungry, with a ""can do"" attitudeRockstar in the makingLoves digital About our teamWe love what we doWe work hard bringing grateful people together around the world.Massive Media presents an exciting opportunity to accelerate your career in digitalOffices in Belgium & London",,,0,1,1,,,,,,0
1674,NodeJS Developer,"IN, TN, Chennai",R&D,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI & Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall & excellence in the field of Business Intelligence & Analytics by driving BI adoption with our innovative analytical solutions and applications.","In this role youll be developing product platforms using NodeJS and NOSQL databases. Depending on your experience & skills, your role may focus on backend or frontend development, or both.Experience: Not a bar. Expertise is key.Responsibilities:Implement Server-side backend applications using NodeJSDesign relational & NOSQL databases; Setup backend connectivity with these databasesExpose REST and WebSocket endpointsImplement distributed algorithms that can perform tasks over multiple nodes, incorporating failure and graceful degradationImplement RIA based Frontend Application/Single-Page Applications using platforms like Angular, Backbone implementing WebSocket/Ajax based communicationUse HTML5 libraries such as localStorage, WebSQL, IndexedDBBuild responsive or mobile specific frontends using UI frameworks or custom developmentDevelop complex UI interactions and controls using jQuery/Prototype.","Solid understanding of the Internet and its protocols. (HTTP, WebSockets)Understanding of space & time complexity in terms of Big-O notation; Ability to write efficient code.Used or have knowledge of CouchDB, MongoDB, Hadoop or any other NoSQL/Big Data platforms.Strong understanding of Big Data concepts; Work experience with Big Data a plusHighly efficient in HTML, CSS3, and Responsive Design using frameworks like Bootstrap or FoundationAbility to code Javascript using jQuery and implement custom plugins/components.Proper understanding of MVVMExperience in Frontend RIA, SPAs (Angular, Backbone), Knockout","A vibrant and dynamic culture with an accomplished peer group of professionalsEmphasis on learning & developmentTop pay for top performanceComprehensive health and group insurance coverOther benefits such as employee referrals, vacation policy, paternity/maternity leave, broadband reimbursements (subject to business requirements & approvals)And many more…",0,1,1,Full-time,,,Information Technology and Services,Information Technology,0
4698,Marketing Representative,"US, CA, Oakland",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Financial Services Company is seeking a full-time Marketing Representative to add to our sales team. Qualified candidates should possess a strong background in customer relations and business development, familiarity with the finance industry, automotive or other is a plus. Primary responsibilities include, but are not limited to the following:Maintaining and building automobile dealer relationships via contact through phone, email, direct visits and other correspondence.  Facilitate new dealer sign-up to include background investigation and provide ongoing training and coordination.Source current relationships and prospects for developing joint marketing initiativesFollow-up of pending credit applications and contracts.Prepare and analyze activity reports, monitor trends, increase sales market penetration and share strategies to maintain competitive advantages. This position requires the following qualifications:Previous finance, auto financing or auto dealer experience in the areas of sales/marketing, underwriting or customer service preferredStrong customer service skillsAbility to multi-task and work independentlyTime management and organization skillsProficient in Microsoft Office Suite a mustEffective communication skills; written, verbal and listeningThe standard hours for this position are:Monday through Friday with some adjustments required to accommodate dealer operating hours on Saturdays.Must be able to travel within assigned territory, monthly overnights approximately 15% of the time.Our company offers a competitive salary as well as a comprehensive benefits package to our full-time employees, including: paid vacation, holidays, sick time, health, dental, life and supplemental insurance and 401k.Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex (including pregnancy), marital status, religion, disability, genetic information, military status or any other characteristic or status protected by law.  ","This position requires the following qualifications:Previous finance, auto financing or auto dealer experience in the areas of sales/marketing, underwriting or customer service preferredStrong customer service skillsAbility to multi-task and work independentlyTime management and organization skillsProficient in Microsoft Office Suite a mustEffective communication skills; written, verbal and listening","Our company offers a competitive salary as well as a comprehensive benefits package to our full-time employees, including: paid vacation, holidays, sick time, health, dental, life and supplemental insurance and 401k.",0,1,1,Full-time,Associate,Unspecified,Financial Services,Sales,0
12623,IT Security Analyst,"US, MN, Minneapolis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)* CISSP, CISA, CISM, ISSAP, ISSMP (ideally some or all)* ISO27001* PCI/DSS* SOX* COBIT* Good understanding of threat analysis and intelligence gathering* Implementing policies and procedures* Data protection* Good understanding of Operating Systems and Networks Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Information Technology and Services,,0
13113,Developer,"US, CO, Denver",,,"Red Canary is a computer security startup that provides managed threat detection to alert our customers when their business is under cyber attack.  We are obsessed with reducing the time from incident to remediation and thus decreasing the damage done. Our elite team is comprised of malware experts, engineers, and security analysts that collaborate to implement innovative and scalable detection methods for the worlds most diabolical malware.We are passionate about creating customers for life and cultivating an unmatched employee lifestyle through remote work, top-notch benefits, and employee trust. We take great pride in providing an excellent service to our customers that depend on us to help defend their business.LocationsWe work remotely. Our offices are in Sterling, VA and Denver, CO. If you live near one of those locations or want to, thats great. Our offices are designed to work for you whether you like to drop in once a week to work with your team or work hacker hours through the wee morning hours.Our Sterling office is close enough to Washington D.C. that you can head downtown for the evening but far enough away that you do not have to fight awful traffic. Our Denver office is nestled along the Rocky Mountains between Denver and Boulder with some of the best hiking, skiing, tech startups, and craft breweries in the country nearby.","Red Canary is looking for a developer to take our Managed Threat Detection platform to the next level. We are a startup working at an exhilarating pace while maintaining a focus on employee quality of life. We need a developer who:Works fastLoves writing testable codeDesigns for an optimum user experienceCan convert hand-wavy, half-baked requirements into something completely usefulUnderstands when less design is the right designTakes feedback well but is not afraid to argue points they feel passionate aboutPicks up and utilizes new technology in hoursIs or wants to be a Ruby on Rails expertUnderstands the challenges of scaling web applications",,"We want our people to worry about solving hard problems, not their benefits. We put the mission first, but we think about our people  and their families  always.A great medical, dental, and vision plan for which you pay $0 in premiums.We pay your gym membership, internet, and cell phone bills.We give you an annual personal budget you can use for tech, gear, business meals, etc.We give you an annual training budget that can be used for technical training, tuition, or to attend conferences.Flexible work schedule and locations.Our benefits plans has gotten better every year because we continually survey our employees to ensure we are offering benefits people actually care about and will use.LocationsWe work remotely. Our offices are in Sterling, VA and Denver, CO. If you live near one of those locations or want to, thats great. Our offices are designed to work for you whether you like to drop in once a week to work with your team or work hacker hours through the wee morning hours.Our Sterling office is close enough to Washington D.C. that you can head downtown for the evening but far enough away that you do not have to fight awful traffic. Our Denver office is nestled along the Rocky Mountains between Denver and Boulder with some of the best hiking, skiing, tech startups, and craft breweries in the country nearby.",1,1,0,Full-time,,,Computer & Network Security,Engineering,0
594,English Teacher Abroad ,"US, TN, Memphis",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
14225,Software Developer - High Growth Specialty Finance Company,"US, VA, Reston",Technology,,"Fundation is a technology-empowered direct lender that delivers small balance commercial loans nationwide. The firm provides fixed rate loans up to $500,000 using its own capital. Fundation fills a void in the small balance commercial loan market by offering loans to businesses that banks are unwilling or unable to lend to, and those that desire a simplified process, with capital on terms that will enable them to grow.","Fundation is a a fast growing private equity backed commercial lender dedicated to enhancing the borrowing process for small business owners across the United States.  As a technology-empowered lender, we can make faster and smarter lending decisions. As a non-bank lender, we can deliver capital to more borrowers with varying credit profiles.We are seeking to add a passionate, hard working professional to join our Technology Development Team.  This is an opportunity to work for a company with a high-energy, collaborative culture where your opinion matters on all functional areas within the business and to interact with the senior management team. You will be exposed to how data and analytics are changing the landscape of lending to small and medium sized businesses nationwide.  This position has outstanding career development potential.The RoleMaintain and add features to our existing web applicationsEnsure prompt and accurate delivery of nuanced functionality to both test and production environmentsGreat communication and collaboration skills and a strong teamwork ethic Commitment to delivering great software and releasing it quickly, efficiently and with increasing qualityEnthusiasm for unit testing Other duties as assignedLocationReston, VA","B.S. in computer science or related fieldExperience with or enthusiasm for using object-relational mapping technologies such as Entity Framework. Experience with Microsoft SQL Server Advanced JavaScript experience, including client side MVVM technologies like #URL_b8879c8580fa29b33828af76ec8bd25af73351a46c1ae6e828c54e1ca6db2082# Strong C# coding skills, and strong #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# 4.0 experience (minimum 3 years and .NET 4.0 is a must) Experience with Git source code management Hands on programming experience with HTML / CSS, JavaScript, .Net, C#, MS SQLExperience working in an Agile/Scrum development environment (highly preferred) A minimum of 5 years experience developing custom software applications Experience dealing with browser idiosyncrasies and compatibility issues Ability to drive and maintain product UI and usability standards Strong analytical, interpersonal, and presentation skillsTeam player with ability to challenge existing processes with newer/better ideasMust be eligible to work in the U.S. without visa sponsorship",Salary and bonus commensurate with experience and capabilitiesComprehensive benefits packageWorking with an incredible team,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,,0
12083,Advanced Network Administrator,"US, TX, Temple",IT Services,40000-70000,"At McLane Intel, we believe that everyone should love where they work! We understand that our ongoing success is attributed to our remarkable staff. We are a company that promotes career growth, ongoing learning, and professional development for all of our employees.We have a wide range of positions throughout the company and accept and support a broad array of applicants—from recent graduates to seasoned professionals. If you are willing to follow our company values, enjoy learning new skills, and plan to succeed in your career—we want you to apply.Here are a few things we offer that others often dont:Autonomy  We offer a management team that treats their employees with respect and values their input, along with a team of skilled technicians that put their teammates above themselves.Mastery & Growth  We promote from within and work each one of our technicians to help them get certifications and rise in the tiers experience.Purpose  We have a mission and a culture that every employee is strongly invested in working towards because we hand-picked people that love what they do. Its the difference between people in a row boat rowing as they see fit, and people rowing the same direction.Benefits  Our benefits are some of the best around. We have awesome health insurance and a great PTO policy. We have an Awesome Teammate Bonus, where employees nominate other employees weekly. Each full-time employee has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.A bit more about what we do:McLane Intelligent Solutions (MIS) is fast-growing and dynamic managed services provider located in Bell county in Central Texas. We provide a broad range of outsourced IT including design, installation, support, maintenance and consulting services to the SMB market. We have a rising need for smart, talented, hard-working, and capable team members who love challenges, want to know they are making a difference every day, and want to join a fast-paced, demanding and fun team of professionals.","At McLane Intelligent Solutions, we attribute our success to our remarkable staff.  We promote career growth, ongoing learning and professional development for all of our employees.  We also offer a level of dedication to our employees that is second-to-none. Ask yourself this:Are you passionate about working with computers?  Do you enjoy helping your family and friends with their technical problems?  Do you love learning and want to expand your IT skills?  Do you have experience with networks, workstations and servers? Then look no further, we want you on our team!  This is your opportunity to work with other people who are passionate about technology in an atmosphere that promotes challenge and teamwork, yet honors your life outside of work.What Do We Do?McLane Intelligent Solutions is a fast-growing managed services provider (MSP) located in Central Texas. We provide a broad range of outsourced IT services including design, installation, support, maintenance and consulting to the Small/Medium Business market. Why do you want to join us?Benefits - We have great health insurance and paid time off. We provide a weekly Awesome Teammate Bonus, where employees nominate other employees for exceptional work. Each full-time employee also has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.Growth - We work with each technician to help them become certified and advance in their knowledge of the field. We also promote from within because we believe in building our employees to be our future leaders.Extraordinary People and Culture - We pride ourselves on having great communication within our company. Each employee meets with their supervisor regularly to discuss their individual development and we have a company-wide weekly meeting to go over our companys progress.Values  Our company has five values that drive how we do business: Integrity, Service above Self, Work with Rigor at Work, Authentic Conversation, and Enjoy and be a Joy.Due to growth, we have the following positions on our Professional Services Engineering Team in Temple, TX:Advanced Network Specialist - $40K-$70K DOE - Entry Level to ExperiencedOur Systems Engineers work as a team to design and implement solutions to complex business problems for our clients.  We are looking for the following skills:","Experience in providing customer service on-site and remote on a technical level to an end user clientExperience with LAN/WAN network technologies (i.e. installation of routers, switches, and network client software)Hands on technical PC support and service experience, desktop and laptop hardware and software troubleshooting, installation, configuration and upgradesExperience with desktop operating systems and application software products in a Windows environment.Excellent oral/written communication skills (emphasis on technical communication) in a business environment.  Must be fluent in the English language.Valid drivers license/good driving record, and reliable transportationCandidate must have ability to pass an extensive background check and drug screen.PREFERRED (but not required):Associates Degree in Computer Science, MIS, or similar discipline and a minimum of 2+ years experience.Certifications such as A+, Network +, MTA, etc., are a plus but not required.TCP/IP, DNS, DHCP and Cisco firewalls and switchesTerminal Services a plusMS Server experience a plusPHYSICAL REQUIREMENTS:Ability bend, crouch, crawl Ability to lift up to 75 lbs. Ability to use phone and phone headset Ability to type using a keyboard and mouse ","All qualified McLane Intelligent Solutions employees receive:Paid VacationAmazing Health, Dental, Vision, and prescription insurance Short-term and long-term disability benefits 401K Flexible Spending Accounts Company provided Life InsuranceAnd much more...Come explore what life in Central Texas is all about in a family friendly community and company!McLane Intelligent Solutions is an equal opportunity employer.  We consider applicants for all positions without regard to race, color, religion, gender, national origin, age, marital status, sexual identification or veteran status, the presence of a non-job-related medical condition or handicap, or any other legally protected status.",0,1,1,Full-time,Associate,Associate Degree,Information Technology and Services,Information Technology,0
5088,Device Quality Engineering Lead,"US, CA, San Francisco",,,"Come on in.Welcome to August Smart Lock.The August Smart Lock is the secure, simple, and social way to manage your homes lock. Now you can control who can enter and who cant—without the need for keys or codes. And you can do it all from your smartphone or computer.August builds products that make life simpler by allowing physical environments to respond seamlessly to peoples behavior. We believe in building quality experiences for our customers through elegance and simplicity. We have a lot planned for the future, and are looking to build a team eager to join the ride. Who we are looking forAugust values multi-skilled engineers who are just as passionate about crafting secure, yet beautiful experiences for our customers as we are. We expect our software developers to be comfortable working in multiple programming languages and to be enthusiastic about learning new things. We do have high standards. Our product aesthetic extends to our code. We expect our hardware engineers to be obsessive about things like power consumption and reliability. If you look at everyday objects and believe they can be made more intelligently, we are looking for you.Things we are buildingA peerless security infrastructureiOS, Android and other mobile applicationsA compelling and intuitive web dashboardSensor-laden hardware devicesRobust customer intelligence toolsAn ecommerce system","OverviewWe are seeking a seasoned Device Quality Engineer with management experience to oversee testing and quality assurance for all aspects of the August Smart Lock hardware. The Device Quality Lead will be responsible for the quality of August's consumer devices, which includes mechanical, electrical, wireless and embedded software. This role will involve reviewing and giving input on the product requirements, the design and being responsible for the test development plans and execution. The Device Quality Lead should be passionate about making things work and love Consumer Electronics. The current product is a wireless enabled ( Bluetooth BLE) electro-mechanical system with many challenges for how to validate the design, long-term reliability and manufacturing test.The ideal candidate will be able to build an integrated hardware testing program from the ground up and should have a high level of creativity for how to get things done, as we are a dynamic and fast moving startup.Please note - local San Francisco Bay Area candidates ONLY.  No relocation.  Principals only.ResponsibilitiesTest plan creation and execution. Determine appropriate methods and goals for how to test the product(s) in each phase of developmentCreating an automated test environmentDefining and building test jigsSetting up a test lab and manage budgets and resourcesRegulatory and environmental testingLong term reliabilityWorking with production engineering on creating a manufacturing test plan","Requirements10+ years in Quality Assurance, with 5 years in Consumer ElectronicsWell versed in testing methodologies for electrical, wireless, mechanical and firmwareHave taken several products through full product validation, including reliability testingHave worked with ODMs and CMs on defining test requirements for high volume productionAbility to read schematicsShould have hands-on experience with scripting and preferably C/C++BSEE or BSME or equivalentEven BetterExperience with regulatory testing and be familiar with world-wide regulatory requirements, Wifi and Bluetooth certificationKnowledgeable in testing iOS and Android applications",,0,1,0,Full-time,Mid-Senior level,,Consumer Electronics,Engineering,0
8611,iOS Developer,"DE, BE, Berlin",,,hello worldtalents23_ drives the change in digital recruitment and develops the best quality filters for the selection of top talents.,"We are the first international limousine dispatch service, which provides premium chauffeured ground transportation at the touch of a button  worldwide destinations at your fingertips. Following a steady expansion policy to ensure a maximum coverage, today more than 60 international metropolises are being served. Destinations all over Asia, Australia, Europe, North and South America are currently available - with more to come, day by day. Come join us and be part of this overwhelming success story!We are looking for a talented iOS engineer to join us in building our native apps for Apple's iOS devices. Besides developing our iPhone and iPad apps, as a key member of our mobile engineering team you are responsible for integrating RESTful (third party) services, utilization of monitoring / testing tools and management of the roll-out process. Furthermore, you will participate in conceptualization and wireframing, provide design feedback and help to optimize the user experience and usability of the mobile apps. ","Your profile:You have 3+ years overall OOAD experience with 1+ years of hands-on experience in developing on the iOS platform with xCode and Objective CYou follow Apple Development Best Practices for coding, design and deploymentYou have experience in developing for iPhone, iPad (in a wide variety of generations)You display a strong, hands-on mentality and love to take on responsibility for your own features as well as team commitments/projectsHighly motivated and passionate about programming, you think things through on your own and can convincingly present alternative approaches based on your expert level in team discussions","Our offer:Exciting and innovative product in a large market based on in-house software solutions covering mobile apps and diverse tailor-made platformsVery nice, dynamic and professional environment with a world-class team of highly motivated and dedicated expertsWe give you room for personal development and professional self-fulfillmentWe use and support open source softwareYou can freely choose your workstation (MacBook Air/Mac Book Pro/iMac)Our modern loft in Berlin Kreuzberg is an amazing place to work",0,1,1,,,,,,0
6909,Customer Support,"PL, MZ, Warsaw",,,,"Positionly sp. z o.o. is a company that provides the highest quality SEO software for 2000+ companies worldwide. Our product offers simple and accurate insights on SEO campaigns performance combined with the smoothest user-experience.The development of the company is supported by German investment fund, Point Nine Capital and ""business angels"" from Poland and United States.We are looking for a Customer Support. You will be responsible for:Active sales of Positionly's products and servicesOngoing customer supportDeveloping  and improving sales strategiesAcquiring new customers and maintaining good relationships with existing onesOngoing co-operation with the team members","Fluent (spoken and written) english Experience in sales and customer service in the IT industry (or similar)Ability to establish contacts and build long term relationships with the customersKnowledge of CRM system, preferably Base CRMA high level of interpersonal skills","Attractive salary, depending on your experienceBonuses based on your performanceWell equipped workplace (Apple hardware)Playroom with arcade gamesKitchen full of free snacks and drinksUnique start-up atmosphereA chance to grow an interesting international projectPrivate medical careMultisport card",0,1,1,Full-time,Mid-Senior level,,,Customer Service,0
15031,Senior Computer Vision/Computational Imaging Engineer,"US, CA, San Francisco",Engineering,,"We are a visual technology startup pioneering powerful immersive experiences for next generation wearable displays. Condition One combines advanced 3D graphics, high-resolution video and experiential storytelling to create a fundamentally new form of visual communication to enable a better understanding of the human experience.Were excited to announce that we are in development with Zero Point, the first movie shot in 3D, 360 degree video optimized for the Oculus Rift head mounted display. In the film, we follow the pioneers of virtual reality; the researchers and developers creating an entirely new digital dimension. From combat training simulations at the Department of Defense, to research labs at Stanford, to indie game developers and hackers, this immersive video experience will bring viewers into the future of virtual reality.","Senior Computational Imaging/Computer Vision EngineerCondition One is looking for a Senior Computational Imaging/Computer Vision leader to join our team of highly experienced computer vision, graphics, and imaging staff. We are building cutting edge technology that takes 3D 360 “live action” audio and video capture and synthesizes it for high fidelity VR playback within head mounted displays. We are a small, fast paced, product oriented company. Youll quickly see the fruits of your labor directly impacting the future of our VR products.ResponsibilitiesAs a Senior Engineer, youll need to be an efficient communicator, capable of working well in close-knit, multidisciplinary teams. Your primary duties will be the design and implementation of software that evolves our 3D 360 video pipeline into an ideal compromise between technology and art. Youll do this by applying your skills and intellect in experimental and innovative ways to solve challenging scene reconstruction problems. Not only will you be responsible for collaborating with other engineers, youll also work closely with production and post-production personnel. ",,#NAME?,0,1,0,Full-time,Mid-Senior level,,Computer Software,Engineering,0
7713,Java/J2EE Analyst Programmer ,"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Java/J2EE Analyst Programmer fluent in English, to offer his/her services as an expert who will be based in Brussels. The work will be carried out either in the companys premises or on site at customer premises. In the context of the first assignment, the successful candidate will be integrated in the development team of the company that will closely cooperate with a major clients IT team on site. Your tasks:Work with users to identify and specify requirements, write and/or review functional and/or technical specifications for internal development;Analyse and document the requirements and business processes, modelling and prototyping;Develop and test J2EE applications according to the specifications;Participate in the assessment of code and documentation; Participate in discussions on the technical architecture and design of the system and in other technical activities concerning the applications;Provide support to the Quality Assurance Team and third level support (investigate problems, schedule bugs for resolution).","Your skills:University degree in IT or related subject with minimum 2 years of professional experience in IT, or non-University degree with minimum 4 years of experience in IT;Minimum 2 years of experience in Object Oriented development and business analysis;Extended working experience in Java/J2EE and minimum 1 year of experience with SQL-based databases, preferably Oracle;Proven experience in RUP methodology (preferably for Web based applications) and in business modelling;Experience in managing user groups and supporting other users;Excellent command of English, both written and oral. Knowledge of French will be an asset.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (JAP/05/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#. We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
11392,House and Office Cleaners / Housekeepers FT/PT,"US, GA, Atlanta",,,Hedge your bets - work with the best domestic and commercial cleaning provider in Atlanta.,"Do more of the work you love and earn more with us. Best Bets Atlanta is looking for dedicated independent cleaning professionals who:> Must be reliable and able to work independently> Have some existing cleaning experience, although we can train if needed> Take pride in and are dedicated to their work > Have high standards of cleanlinessNo resume required to apply. Apply with your name, email, and phone number, and a member of our team will get in touch to complete the application process with you.Work in any (or all) metro Atlanta counties we cover, including Cherokee, Gwinnett, N. Fulton, Coweta, Cobb, Hall, Fulton (including City of Atlanta), Clayton, Douglas, DeKalb, Forsyth, Fayette, Paulding, Rockdale, Henry, S. Fulton.About Best Bets:Hedge Your Bets - work with the best domestic and commercial cleaning provider in Atlanta.",Requirements:* Must have own supplies and reliable transportation* Should be able to work at least 6+ hours per week (on average)* We background check all of our contractors,"Pay is $15/hr (add tips and you make even more); set your own schedule and work as much (or as little) as you'd like. Willing to put in the hours? Many of our professionals working 30+ hours make $1,000+ per week!",0,1,1,Other,Not Applicable,,Facilities Services,,0
4630,Chief Commercial Officer,"GB, , London",Sales,110000-125000,"Axonix is a global mobile advertising technology company that's powered by a platform with a 4 year history of leading the market. Using technology acquired from Mobclix, the worlds first RTB-enabled mobile ad exchange, Axonix offers a fully transparent programmatic trading platform that enables publishers to sell their mobile advertising inventory in real-time to brands, agencies and ad networks globally.We serve billions of ads per month across more than 150+ countries, working with our 100+ demand partners globally to achieve industry-leading CPMs, CTRs and fill rates. With built-in fraud detection controls and data-driven targeting options, we help advertisers to secure the optimal ad inventory that will deliver the best campaign results for them.Axonix is headquartered in central London and backed by Telefonica and private equity group, Blackstone.OUR PHILOSOPHY TO HIRINGOur technology serves billions of mobile ads every month, across more than 150 countries. Our company is truly global in scale. We're growing so fast we need to hire the best people out there.People who thrive on fresh challenges. People who want to see their work make an impact, right away. People who demand a lot from themselves and others. We hire someone when were sure “Theres no better person for this job, and no better person wed rather work with.”We know people are our most important asset. If you're exceptional at what you do, we want to hear from you. The next stage in your career could start today.","To strengthen its new executive management team, Axonix is seeking a Chief Commercial Officer to lead the global sales and ad operations teams. This is a critical role within the business, reporting directly into the CEO and working as a member of the executive leadership team to formulate and execute the business acceleration strategy.The CCO will have full responsibility for ensuring the business achieves its revenue and operational KPIs and delivers best-in-class technical service, support and campaign analytics to its customers. The CCO will drive the global sales strategy and lead the business development and account management teams that will engage with both buy-side (advertisers, agencies and DSPs) and sell-side (web publishers and app developers) customers. In addition, the CCO will be responsible for ad operations to ensure the efficient and effective delivery of all ad inventory, including the onboarding of new publishers and buyers.As Axonix is a new business, the CCO will be tasked with recruiting the sales, account management and operations teams. The CCO will need to establish all necessary processes, policies, trading principals and sales documentation that will make Axonix the global best-in-class business for customer service, operational efficiency and ROI.Axonix is headquartered in London but is a global business, so it is likely that the CCO will be required to support the establishment of new sales offices and operational support teams in USA and Latin America.This is an exceptional opportunity for a talented and experienced Sales Director to move into an executive global leadership position in an exciting, new business. You will have managed sales teams supporting customers across Europe, USA and Latin America, with extensive experience working specifically with publishers, brands and/or agencies. You will have a deep familiarity with the programmatic advertising industry and will have had an operational focus to previous roles, with responsibility for the trafficking of online and/or mobile ad campaigns. You will be detail-orientated and proactive in identifying opportunities for the business, ensuring these are followed through with flawless execution.As with all small and entrepreneurial companies, the CCO will be expected to perform a multitude of tasks beyond the roles core responsibilities. ","Core role objectivesRecruit, lead, develop and manage the global sales, account management and operations organization, to become a high performing team that is recognized as delivering world-class performance, service and customer engagement. Own the development and execution of the global sales strategy to ensure Axonix achieves or exceeds its sales, volume and margin targets.Own all post-sales operational processes, including new customer onboarding and technical integration, inventory management and optimisation, customer service and technical support.Define key advertiser & publisher contract terms, including pricing and annual trading deals, to maximise sales effectiveness and gross profitability.Champion a customer-led approach to sales, product development and all operational processes through a deep understanding of customers feature & service requirements and competitors products.Evangalise and promote Veyron across the mobile advertising industry.Key responsibilities - SalesAchieve the revenue, volume and margin targets for the business.Recruit and lead the Demand-Side and Supply-Side sales teams, and the Post-Sales Account Management team, to be recognized externally as best-in-class. Define account priorities, account plans, sales targets and other KPIs for each sales team member.Identify and prioritise target publishers, brands, agencies, networks and trading desks, and ensure effective marketing and sales engagement to acquire their business.Engage with executive management at Tier 1 customers to establish long-term, trusted relationships.Define and negotiate contract terms, including pricing and annual trading deals.Engage with Telefonicas Advertising business leadership at global, regional and local levels to agree and execute business development collaboration strategies.Evaluate customer requirements and competitor solutions to help prioritise the product development roadmap.Secure speaking slots at industry events and conferences.Work with the other executive managers to develop, refine and execute the wider company business plan. Key responsibilities - OperationsAchieve the inventory utilization, platform availability and customer service targets for the business.Recruit and manage the Customer Onboarding and Ad Operations teams to ensure Axonix is regarded as leading the industry for customer service.Establish and regularly review all workflow processes to maintain efficient operations and effective integration across departments. Establish escalation, tracking & resolution processes for technical issues impacting business performance to ensure these are rapidly addressed. Personal qualities and experience were looking forBA/BS degree (Masters or MBA an advantage)Proven senior-level sales leadership experience, ideally in an international role  minimum 3 years at Sales Director level or above and with a history of exceeding sales targetsDeep understanding of the programmatic / real-time buying advertising industry, ideally having previously worked for a competitor or agency trading desk  minimum 2 years in this industry, minimum 5 years in the digital advertising industryExtensive knowledge of ad serving, ad exchange, DSP, DMP and SSP technologies and the procedures for trafficking ad campaigns using these platformsStrong strategic leadership skills, with an exceptional reputation for developing visionary strategies with a rigorous attitude to execution.Team management - Experience of recruiting and managing large teams of at least 10 people, with highly positive feedback from previous direct reportsProcess optimization experience, with a history of delivering measurable operational performance improvementStrong communicator, presenter and public speaker  with experience of presenting at large conferencesHighly personable, with strong interpersonal communications skills, able to work in a small, highly collaborative team environmentEntrepreneurial spirit, self-starter attitude & highly adaptable  able to use own initiative to prioritise, multi-task and deliver under pressure within a fast-paced start-up environmentProven high achiever  with a passion for personal developmentLanguages  Fluency in English essential; business-level Spanish or Portuguese an advantage but not essential",Competitive salary according to experienceAnnual bonus schemeGenerous flexible benefits packageEquity package,0,1,1,Full-time,Executive,Bachelor's Degree,Marketing and Advertising,Sales,0
11986,Project Supervisor,"US, CA, Dana Point",,29000-33000,,"As Project Supervisor you will be responsible for:-         Reporting directly to Account Managers-         Excellent organization of data and tracking of all orders on a daily basis-         Professional phone communication and follow up-         Responding to and resolving Customer Service issues-         Organizing weekly managers meeting as well as taking and distributing detailed notes-         Researching products and companies with ability to simplify/summarize information-         Assisting management; transcribing notes, copying, organizing, preparing documents, samples, coordinating travel, agendas and more.-         Facilitating, organizing, filing and tracking electronic and paper documents, samples, supplies, meetings, office shipments and sample returns","Candidates must possess the following skills/qualifications to be considered for this position:-         Minimum of 2 years Professional office experience-         Excellent communication via phone, e-mail, fax, and in person with great professionalism, confidentiality, and tact.-         A “can do” attitude, handling all people and situations with a calm, courteous, and helpful attitude.-         Self-starter with excellent follow through and problem solving abilities. -         Must be on time and committed to position and company both physically and mentally throughout work day.-         Detail oriented with ability to track, manage, and organize multiple tasks on time is imperative.-         Proficiency in Microsoft Word, Excel, and PowerPoint is REQUIRED-         Ability to perform repetitive work while following specific processes on a daily basis.-         Ability to work independently and as a team.-         Ability to manage and organize all areas of work efficiently.-         Ability to travel to Dana Point, CA Monday  Friday.-         Ability to pass a drug test.-         Photo Shop and Outlook skills are a plus.-         Warehouse Club experience is a plus.IMPORTANT: Instructions to submit your resume:-         INCLUDE three references with your resume. Submissions included without references will not be considered for this position.-         Include a cover letter Interview Process:1)      Telephone interview.2)      In office interview and possible skills testing.3)      Possible follow up interview in office and personality testing.",Benefits may be discussed during the interview process,0,0,0,Full-time,Not Applicable,Unspecified,Program Development,Project Management,0
10000,Personal Agent/Special Projects Coordinator,"US, OR, Portland",,,"United Cerebral Palsy of Oregon & SW Washington (UCP) supports adults with all kinds of developmental disabilities (not just cerebral palsy), so that they can live the life of their dreams.We're growing, so you will see lots of exciting job openings in the next few months!WHY WORK FOR UCP?As an employee of UCP, you'll be proud of the work you do, every day! Our employees assist the people we support to live independently in their own homes and apartments, find and keep their dream jobs, make community connections, serve as their own advocates, and make their own choices. You'll get the chance to work for one of the 100 Best Non-Profit Employers in Oregon. We're fun and flexible, and there's plenty of room for advancement and growth.","United Cerebral Palsy (UCP) is a unique, empowering and exciting place to work! We support adults who experience all kinds of developmental disabilities, assisting them to live independently in their own homes, find and keep their dream jobs, and pursue community-based recreation. UCP was recently ranked as one of the 100 Best Nonprofit Employers in Oregon. Learn more about us at #URL_2b35257099321dacb52af707d4a6bdf5dd187d81d6bfd24398f0e5b68a6727a7#. UCP is currently seeking a Personal Agent/Special Projects Coordinator who will work in our intellectual/developmental disabilities support services brokerage, which is known as UCP Connections. The primary role of a Personal Agent is to provide person-centered case management supports to a caseload of up to 44 adults who experience developmental disabilities and live in Multnomah and Clackamas counties. In addition to being an outstanding Personal Agent, the Special Projects Coordinator facilitates or participates in a variety of customer, provider, team, and community outreach activities. As a Personal Agent, you will provide the primary assistance to each customer by assisting them to navigate the support services system, meet goals, and understand the process of accessing quality supports. This is a collaborative process that involves assessment, person-centered planning, facilitation, accessing resources, and advocacy. As a Special Projects Coordinator, you will be a leader and helper. The Special Projects Coordinator is the main point of contact for supporting customer advocacy group efforts, providing STEPS services, and provider and community resources. In addition, you will provide team support, technical assistance, and leadership. PERSONAL AGENT DUTIES:Assist each individual to identify his or her goals and support needs; find and secure the resources and services needed to reach those goals; assure choice and independence; and address the health and safety needs of the individual.Ensure a collaborative process between customers, family members, and service providers.Assess needs and develop plans using person-centered planning techniques.Monitor the quality of services.Provide technical assistance and education as needed in a wide variety of areas including, but not limited to the support services system, health and safety concerns, community resources, employment, and self-advocacy.Provide direct assistance with accessing resources for everyday needs in urgent situations.Manage the documentation of all case management services, plans, and supports.Adhere to regulatory and program policies for service provision.    SPECIAL PROJECTS COORDINATOR DUTIES:Coordinate and facilitate provider interviewing opportunities (Provider Meet and Greets, provider search requests, etc.), outreach, and marketing efforts.Facilitate and support customer self-advocacy, research, outreach, and marketing groups and opportunities as assigned.Stay current with available community resources and partnerships, share resource information, and act as a resource liaison for co-workers, customers, families, providers, and the community.May sit on community board or advisory panels as a representative of UCP Connections.Maintain resource brochures.Act as the primary STEPS Specialist and backup to other STEPS Specialists.Available as a backup to address department support needs, program management needs, or customer crisis. HOURS AND LOCATION:     Usual schedule is Monday through Friday 8am to 5pm, but may vary. Additional hours may be required on an occasional basis.Our office is in the Gateway neighborhood, but the position requires frequent travel/driving within Multnomah and Clackamas counties.ADDITIONAL INFORMATION:The position closes 9/15/14 at 5pm.No phone calls, please.UCP is an equal opportunity employer, and actively seeks applicants from diverse backgrounds.","Bachelors degree and 2 years work experience in developmental disabilities, social services, mental health or related field; or 5 years work experience in developmental disabilities, social services, mental health or related field.Two years of experience as a Personal Agent.Ability to drive on the clock, which means that you are at least 21 years old, and that you possess a reliable personal vehicle, at least 3 years of driving history, and a clean driving record.Must pass a reference check, drug screen, and criminal history background check.Demonstrated commitment to customer self-determination and personalized support.Demonstrated ability to prioritize, meet deadlines and manage multiple projects in a fast-paced environment.Demonstrated ability to identify and resolve problems in a timely manner.Demonstrated ability to communicate effectively and in a professional manner with a wide constituency in both written and oral forms.Demonstrated mediation and conflict resolution skills.Demonstrated ability to facilitate groups, coordinate events and activities, and network.Demonstrated knowledge of community resources and partnerships.","TOTAL REWARDS PACKAGE:Salary is negotiable depending on experience. Please state salary requirements in your cover letter.Eligible for overtime pay.Great medical, vision, prescription, and alternative care coverage, available for employee, spouse/domestic partner, and dependents.Dental insurance available at your own cost.401k plan with company match up to 3%.3 weeks of paid time off, with an additional day off granted after each of your first 5 years.8 paid holidays off per year.Paid mileage.A company cell phone will be provided.",0,1,1,Full-time,,,,,0
730,Healthcare Support Rep,"US, CA, Chula Vista ",,,,"QB Medical, Inc. is a growing medical supply distributor. We specialize in medical product sales to the federal government. Our company was established in 2007. We hold various federal contracts that allow us the ability to effectively sell and be successful in the federal healthcare market.Responsibilities:Work with medical manufacturers sales reps and customer service departmentPerform independent research to gain medical product knowledge, federal contracting regulations and processesAnalyze and interpret spreadsheets and various data sourcesIdentify new sources and establish accounts to expand access to medical products and competitive pricingFollow established operating procedures and adjust quickly to new proceduresFlexible and open to changing priorities and managing multiple tasks simultaneously within compressed time framesPerform other related duties as assignedProject a positive company image at all times","Education:2-4 year college degree preferredPreferred Requirements:1-2 years of relevant work experienceExcellent  written/verbal/phone communication skillsStrong critical thinking skillsExcellent time management skillsFlexibility to adjust to the changing needs of a growing businessExperience with #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# or similar CRMMedical product knowledgeData entry and analysis experiencePC Skills  MS Office Suite, detailed web search, etc.40+ WPM",Compensation:• $15  $17/hour DOEPosition Type:• Full-Time,0,0,0,Full-time,,,Hospital & Health Care,,0
9431,Translation Project Manager,"DE, BE, ",,,,"An international translation agency is currently seeking a freelance, telecommuting Translation Project Manager.The position will entail the integration of translation projects into our system, the management of our linguists and regular communications with the Translation Team. Current workload is between 10 and 15 hrs a week, with number of hours likely to increase in the next months.","The successful applicant will be reliable, task oriented, organized and web proficient. Fluent written and spoken English and German required. Must be in a timezone compatible with European business hours.Experience in translation preferred. Previous experience in managing staff, knowledge of Asian languages and DTP experience a plus.",,1,1,0,,,,,,0
4720,Senior Account Manager ,"NZ, , Wellington",Permanent,80000-120000,"SilverStripe CMS & Framework is an open source platform of web development tools. The platform is curated by SilverStripe, an international web development company and supported by a vibrant online community. We take pride in being a locally owned New Zealand company, founded by three people passionate about the limitless possibilities of the web. They share a vision - to reshape business through the web. Top developersSilverStripe is one of New Zealands top developers of websites and web applications. Weve been in business since 2000 and in that time weve gone from strength to strength. Our technical expertise has earned us a solid reputation around the world and because of the leading edge work we do, we are able to attract and retain a wide range of talented and dedicated people from within New Zealand and abroad.Open sourceWe are strong advocates of open source software. Our flagship product, SilverStripe Content Management System (CMS), is a free, open source download, alongside SilverStripe Framework, the powerful core underpinning the CMS. We have seen strong growth in adoption of our software around the globe. Many of our larger customers are now developing unique and novel solutions on top of SilverStripe and are integrating our software deep into their own product offerings.Core values & kick ass cultureYou will be working alongside super smart designers, developers and project managers. Theyll share their love of the craft with you and help you grow your skills. There are plenty of opportunities for learning — we pay for developers to attend the usual range of conferences and meetups, and we hold our own internal monthly Hack Day and Show & Tell talks.SilverStripe is big on things like building trusting relationships, sharing ideas, being open, using technology to solve important problems, and helping others reach their goals. We actively foster a culture that supports these core values. Our culture kicks ass.","We're looking for an enthusiastic and vibrant professional to join our Wellington office as a Senior Account Manager.This is a role that should appeal to a proven account manager with a track record of building partnerships with corporate and public sector organisations at a senior management level.Youll be focused, driven, and excited about growing our New Zealand market, developing both new and existing strategic client relationships. Your ability to lead sales is critical, as is your ability to build relationships and credibility both internally and externally.Most of all, were looking for someone who is passionate about the potential of the web; how it can deepen our clients relationships with their customers, and help everyone make better decisions.As the Senior Account Manager, you will work within our interdisciplinary solutions team—with the best of our business development, account management, solution architecture, and programme management people—and play a pivotal role in SilverStripes growing success.This role combines both strategic and tactical activities across the sales functions, including:Building relationships with senior executives in both the public and private sectorDeveloping and executing Account Plans for strategic clientsPreparing proposals and responding to RFPsContributing to the positive transformation of New ZealandIf youre keen to be part of a team that is full of dedicated and talented people who have fun but work hard, wed love to hear from you! Please apply below.","Well networked with senior executives in New Zealand public and private sector.A proven track record of working with CEOs and senior management, and advising or selling to them.Experience in transformational technology projects.Experience with solution selling",,0,1,1,Full-time,Mid-Senior level,,Information Technology and Services,Information Technology,0
14640,Sales Manager,"US, CA, Los Angeles",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)-He must handle a team of 9 sales exec who are direct employees of company.-He must recruit his team by his own references and also can take assistance from empanelled consultants if required.-He has to train them, motivate them, guide them to meet the monthly and yearly targets given by company.Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,Market Research,,0
1074,Customer Service Team Lead ,"US, CA, Newark",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking a Customer Service Team Lead. The right candidate will be an integral part of our talented team, supporting our continued growth. This position will be located in our Newark, CA location.Responsibilities include, but are not limited to:Coordinate work for Customer Service Associates.Process mail, deliver mail, scan in/out packages and deliver mail/packages.Coordinate work for Customer Service AssociatesRun mail meter and inserter equipmentHandle time-off requests and day-to-day processes of the teamHelp resolve employee and customer concerns/issuesAdministrative services/processing large volume reports using excel and assisting manager with quarterly business reviewsLift large bundles of mail and make mail deliveriesHandle time-sensitive materialPerform duties and special requests as assigned by managementBalance workload; provide guidance and direction to team; serve as focal point for communication with customer and company personnelEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careEnsure adherence to business guidelines, safety & security proceduresResponsible for all aspects of equipment; make appropriate equipment recommendationsProvide constructive feedback and recognition to teamSupport financial results by minimizing site waste and rework","Requirements:Experience coordinating extensive Reprographic OperationsExperience coordinating/deploying work to employees requiredMinimum of 1-year customer service related experience requiredAbility to resolve employee and customer concerns/issuesAbility to communicate both verbally and written with customers and company personnelHigh school diploma or equivalent (GED) requiredAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills requiredAbility to meet employer's attendance policyComputer proficiency in email environments, MS Word/ExcelHeavy LiftingAbility to adhere and administer companies policies and proceduresStanding for long periods of timeSignificant walkingWillingness to work overtime if neededWillingness to submit to a pre-employment drug screen and criminal background checkMay require flexible work schedules ",,0,1,0,Full-time,Entry level,High School or equivalent,Computer Hardware,Customer Service,0
14709,Electrical Maintenance Technician,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Qualifications Required:To perform this job successfully, an individual must be able to perform each essential duty satisfactorily. The requirements listed below are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions. Education High School Diploma or equivalent, plus formal training leading to Electrician status in Machine Repair and/or equivalent on the job experienceJob Responsibilities:Wire machinery. Program machinery using various software programs to automate the machinesAssemble enclosures and panels(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.) Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Facilities Services,,0
5430,Sheffield Estate Agents Office Assistant Apprenticeship Under NAS 16-18 Year Olds Only,"GB, , Sheffield",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Under the National Apprenticeship Scheme you must be 16-18 years old to apply for this position.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position.You will be working for a Lettings Agency and the role will involve:-Answering the phone and screening calls-Diary management-Booking appointments-Responding to email enquiriesIdeal candidates will be outgoing and friendly.If you are motivated and career minded please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Administrative,0
14904,Applications' Systems Engineer,"QA, , Doha",,30000-35000,"Optimal Business Action was created in 2005 in order to provide high quality, personalized HR services to medium and large-cap companies operating in Greece, the Balkans and the Middle East. Seven years later we are present in Athens, Bucharest and Dubai, and several of these companies are on our client list, including most major banks, pharmaceuticals, hotel & retail chains, and large IT firms. We are one of only nine HR firms in Greece operating under the Temping Permit issued by the Labor Ministry and among a very few which offer employee evaluations based on the combination of the Minnesota Multiphasic Personality Inventory (MMPI) and Rorschach tests. Amongst our advantages is our extensive candidate network, the use of industry-specialized recruiters, our technology tools  including candidate pre-recorded interviews, and our competitive, on-success, fee structure. Our subsidiaries: Creative HR which was created in 2010 in order to undertake human-capital intensive projects, HR department restructurings, and provide HR consulting services. iTechScope Recruitment which was created in 2011 and is the first international recruitment company in Greece specializing in sourcing and placing personnel for companies operating in the fields of Information Technology, Telecommunications, and Engineering. Optimal HR Middle East FZC which was created in 2013 and is the groups entity in the UAE, providing our clients with Recruiting, Consulting, and Training products and services. Our partnerships: As of 2014, Optimal is the exclusive Gi Group (#URL_1458d4797348b1fe258e750e89ab66b11c9565a10abede82d761ce37c53395b6#) partner for Greece and the UAE, offering a vast array of products and services as well as sourcing access to candidates from 32 countries around the world. GI is one of the world's leading HR companies, providing services for the development of the labor market. It employs a staff of almost 2.500 people and its 2013 turnover approached $1.5 billion. We have an exclusive representation agreement with UK's APS (#URL_b40fd0caf83243a019915fa08fcf0afbb9f377d15194c88d4e4896e6848bbbbd#) for their on-line evaluation products, such as the TEIQue, Engagement Survey, and 360 Survey, and an exclusive collaboration with Chris Daffy (#URL_712f5a993f998f7aad7e3cc1b7d9c7d3eb17e8ffcace779065d1902e412e2c3d#) for his Customer Experience training programs. We also work with UK's Epigon Training & Development (#URL_fe1f8ff39ad549be4c26f84c348c2d2dad85c84e6746f60140eb4392e652e543#) for NLP-based training seminars. Please contact us at: +30 210 32 59 350 GR & +971 (0) 56 39 33 546 UAE or e-mail us at: #EMAIL_eb107d9d9176aa07bb1d808b882aacd15565df1b289ed0391e62d9bc7422d718# and #EMAIL_21116c53126810b34d578a02cb53ece4f520daa553e1b3ee91c614cc67d51c5d#","On behalf of our client-an IT Integrator- we are looking to recruit an Applications' Systems Engineer based in Doha, Qatar.Main Responsibilities:•Designing the system•Performing site surveys at the customers location, as required to identify site conditions and customer requirements for the application installation•Customer requirements analysis•Coordinating with vendors/suppliers for receiving/evaluating offers and design•Compiling final Bill of Quantities & cost estimation•Coordinating with the bid team and the bid manager for the compilation of the overall proposal•System design (basis of design including the interfaces with 3rd party systems)•System setup and configuration•Testing and commissioning","Main Qualifications:•Good University degree in Engineering (electrical, electronic,  IT / Communications or SW)•Preferably a 5 years overall professional experience •Experience in the design, setup and configuration of integration platforms for the integration of ELV systems such as CCTV, ACS, BMS etc. Such integration platforms are: PSIM (Physical Security Information Management), iBMS (Integrated Building Management System) or Command & Control Platforms•Experience in customers requirements analysis and preparation of design documentation/specification/architectural diagrams•Excellent command in written and spoken English Language•Presentation & communications skills•The following will be considered an advantage:a.Previous experience in leaving and working in GCC areab.Post graduate studiesc.Arabic language speakerd.ITIL Knowledge/Certification ",,0,1,1,Contract,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
13225,Winter / Spring Internship,"US, DC, Washington",,,,"Maybe youve never met an anecdote you didnt like.  Maybe youve got an ear for rhythm, an eye for detail, a mind for research — and a stomach for revision, revision, revision.Maybe youve been mesmerized by a soaring keynote, or fidgeted through a dull one, and wondered about the process that makes speeches what they are.At West Wing Writers, we offer year-round, paid internships to a few good candidates. We dont expect interns to have written speeches before, but we do want people who love to write and are excellent researchers; people who are creative, curious, thoughtful, motivated, and dependable.As an intern, youll get an insiders view of the speechwriting profession and be an integral part of our team.We will accept applications for our winter/spring internships (JanuaryMay 2015) until October 31, 2014.  Please note that rather than review applications on a rolling basis, we review all applications at the end of each application period.We are looking for interns for both our Washington, D.C. and New York City offices. Dates are flexible. Candidates who are available to work full-time are preferred.",Extensive research experienceExcellent written and oral communications skillsDemonstrated interest in writing and communicationsAuthorized to work and accept new employment in the United States,,0,1,1,,,,,,0
14907,Senior Web Developer,"US, PA, Philadelphia",Web Development,,"WebLinc is the e-commerce platform and services provider for the fastest growing online retailers. WebLincs modern, agile technologies and strategic expertise empower companies running global, omnichannel commerce operations, and enable retailers to consistently out-pace the competition.Our industry-leading eCommerce platform enables us to create solutions that are a unique reflection of your brand, your customers, and the experience you want them to have.Thats why WebLinc was a natural a choice for both Nasty Gal, a high fashion retailer, and The W. Diamond Group, the purveyor of some of the most iconic and traditional American apparel brands. Its why Healthy Directions chose WebLinc to sell to its technology-averse customer base and Deep Discount used WebLinc to design and develop a site for its base of tech-savvy customers.While we always start by getting the online shopping experience exactly right—thats just the start. WebLinc backs that up with merchandising tools that increase traffic and page views. A discount and promotions engine that leads to higher conversions. Recurring order tools to drive sales through easy repeat purchasing. And did we mention our innovative mobile and social integration capabilities?Lets face it, in eCommerce there are very few second acts. Its all about capturing and holding on to customers the first time. You need to deliver the right experience, right away. WebLinc understands that, arguably better than anyone else. Thats because we have been creating successful online strategies for longer than almost anyone else—since 1994.We pride ourselves on being eCommerce leaders and innovators. The same goes for our clients. They stay with us because the innate flexibility of our WebLinc platform keeps them at the cusp of the ever-changing eCommerce curve. Clients dont outgrow our platform because our platform grows with our clients.Ask any of the clients mentioned above. Ask Free People, BHLDN, AAA, Bausch & Lomb, Universal Companies, Deep Discount, Football Fanatics, #URL_0ce492aaec0f25afb9266ff36c8e180f940b9e56aa60449d71208dda77fbef9a# and scores of others.An eCommerce leader proven at some of the most demanding and innovative online retailers and wholesalers—Why settle for anything less?","The Senior Web Developer will work with tech leads and designers to make some of the best ecommerce and content sites on the web. You will report to a Tech Lead who will help you through the rough spots, but you'll have enough rope to do the job properly. Must have the ability to communicate well and thrive in a team environment. Technologies in-house: Ruby on Rails, ColdFusion, .NET, Front-end technologies (HTML, CSS, JS, JQ, etc.), Mobile Technologies (iOS and Android).","Requirements:3+ years of relevant experienceAny coding language, with the right personality and drive to create great websites, is accepted.Ability to write clean, scalable codeBonus:Unit and integration testingStrong SQL Skills using MS SQL Server or other RDBMS.Experience with Coldfusion framework such as Fusebox, Model Glue or FW1GIT Skills","Health & WellnessMedical planPrescription drug planDental planVision planFlexible spending accountShort-term disabilityLong-term disabilityGym reimbursement FinancialCompetitive salariesReferral and ad-hoc bonusesSimple IRA with company matchLife insuranceTransit reimbursementCollege savings plan (529)Mobile phone and plan reimbursement Work & Family LifeFlexible hours9 paid holidays2+ weeks combined vacation, sick and personal daysCompany trips/outingsWebLinc Day!",0,1,1,,,,Internet,Information Technology,0
8398,Senior Network Engineer ,"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Senior Network Engineer, fluent in English, to offer his/her services as an expert in various projects of the company across Europe (mainly in Belgium, France and Germany  the expert may decide to undertake missions to the locations of the clients from any location or even be based in the same city as the client). Your tasks:Construct and maintain configurations for data networks;Design, test and install network software and hardware;Perform troubleshooting of network problems using network analysers and/or sniffers and other troubleshooting tools;Deal with network related documentation (develop/update/review) and technical specifications;Configure and implement network monitoring and management systems;Implement and monitor network security;Plan network capacity/estimate network utilisation;Analyse current network software and propose modifications and new software according to best practice standards and procedures;Engage with vendors offering network related services and equipment.","Your skills:University degree in information technology;9 years of relevant professional experience;Advanced knowledge of networks (LAN, WAN);Advanced knowledge of firewall, VPN and load balancer configuration and troubleshooting;Very good knowledge of network encryption and security protocols;Very good knowledge of network design, network capacity planning, network evolution, network monitoring;CCNP certification;ITIL v3 certification will be considered a plus;Excellent command of English, both written and oral.","Our offer: If you are seeking a career in an exciting and dynamic company as part of a team, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (SNE/08/14), at the following e-mail address: #EMAIL_abe5026e514663a30ebc3ad2afaf388770c166b643b43a12486fa84eeb68eb90#.We offer a competitive remuneration (either on a contract basis or on a full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
17721,Finance Assistant,"AU, NSW, Sydney",,25-30,,"On behalf of our client we are looking for an Finance Assistant All-rounder for a newly created position within fast growing organization. Role and activities:Understand requirements of customers and their challenges in finance domain Document the requirements, discuss and finalise scope of work Customise the finance software to suit the agreed scope of work","General requirements: · Male or Female · Age from 18 and more · A student or someone with the formation · Good skills of communications · Literate in MS Word, Excel, Powerpoint and Outlook · Able to work under pressure and prioritise, in a dynamic environment","With full-time and flexible part-time positions available, and full training provided you can pay your bills while making a huge difference to those who need it! We are waiting your CV.",0,0,0,Part-time,Not Applicable,,Financial Services,Finance,1
13966,Team Assistant,"US, GA, Savannah",,,"Focus Lab, LLC is a boutique brand and interactive design company headquartered in the heart of Savannah, Ga. Our clients—ranging from innovative West Coast startups to established global firms—come to us for clean, intentional design work, customized content management builds and consulting, and a great overall customer experience. We have an integrated, talented team of designers and developers with a penchant for web nerdery, Superman t-shirts, and old school Gameboy. More at #URL_8cf0af10d3b6d6b96ca3cab7993e43c3b759467d96c24660e54ecdf60f92cc74#","Focus Lab is a small team of local (Savannah) people mixed with some remote people. We work with clients from locally to across the globe helping them make differences through their organizations. We happen to do that through design and development. This job position helps us do this even more effectively by supporting our various team and client needs.We are looking for someone in Savannah who can join us in our office part-time. Our space and atmosphere is beautiful and welcoming. And our people are some of the best out there. This position is currently a part-time need but will naturally evolve into a full-time role as we continue to grow.Here are a few examples of your responsibilities:Help with paying billsKeep our kitchenette stockedArrange and book travel for team members and clientsKeep the office in tip-top shapeAssist with research in a variety of waysBe generally available to assist team members with various tasks (purchases, organization, data gathering)What you can expect from us:A fun, engaging, and welcoming atmosphere and cultureLeadership that embraces change and the opportunity that always comes with failuresTo be shot with a Nerf Gun at some pointTo shoot someone else with a Nerf Gun at some pointTo be pushed, challenged, and encouraged in your position and as an individualOpenness and clear communication around expectations and performanceTo be held to our Core StandardsInterested? Cool. Heres what happens now:Please respond with statements of your experience, expertise, enthusiasm, and any links you might consider relevant. We will be reaching out with follow-up questions and phone interviews over the next couple weeks. We look forward to hearing from you!","The requirements for this position are pretty basic. Experience is helpful, but not the ultimate factor in our search for just the right person. We hire for character and train for skills. That in mind, here are some things we'll be looking for:A readiness to learn and embrace new thingsAn awareness that you definitely don't know everythingAn awareness that you definitely know things that some people don'tA task-oriented mindsetAn excitement in helping othersAvailability to work from our office in downtown SavannahAvailability to work certain evening hours for events we host from time to time (doesn't happen too often currently)Experience as an office, team, or personal assistant is a plus",,0,1,1,Part-time,,,Graphic Design,Administrative,0
9660,Seeking Chef in VA/DC!,"US, DC, Fairfax",,99000-99999,,"Recent graduate Chef(s) required in Northern Virginia /DC areaRecent graduate Chef(s) required in Northern Virginia /DC area who will be responsible for all culinary operations including, but not limited to, menu development, production systems, labor scheduling and food presentation. Selects and develops recipes and other items for clients. Develops menu—Good reimbursement and great growth potential. Please include a copy of your resume!",,,0,0,0,,,,Restaurants,,0
9242,Learning Enterprises Volunteer [2015],,,,"The mission of Learning Enterprises is to expand peoples horizons through conversation-based English language classes taught by American and international volunteers.Our mission is guided by five principles:Fostering creativity in children and adultsExpanding horizons through access to the English language as means of communicationPromoting understanding through cross-cultural exchangeSpreading the spirit of volunteerismProviding substantive and rewarding work experience for young peopleOur program benefits the children in developing countries who take part in our classes, the host families who open their homes and minds to LE volunteers, and our volunteers who work as teachers for the summer.","Working as an LE volunteer requires spending 3-6 hours a day (around 24 hours a week) teaching students of all ages in a local school or other community centre for young people. Your classes will focus on conversational exchanges and you will seek to stimulate your students interest in, and love for, the English language. You will live with a host family and must be able to integrate into the community. Some programs require you to complete a community service project, which involves conceptualizing and executing a project aimed at either language acquisition or community development, which will have an impact on your community after you have left. You must attend the first week of orientation with the program director, country coordinator and other volunteers.","Requirements:Aged 18 years or over at the time of application.Native English Speaker or fluency in English language.Available for the whole duration of the teaching assignment.Some programs may require you to be competent in the local language (see Our Programs).Desired competences:Independence: while you will be supported throughout your time in country by the Program Director, you will need to be able to operate on your own and in villages which are often in isolated areas with limited outside communication.Resourcefulness: you will need to be able to adapt to challenges and unforeseen.Adaptable: living conditions will be basic by US and European standards.Service oriented: your primary motivation for volunteering with LE should be to serve the community in which you will be living.Teaching experience is helpful, but is not required.Regional or travel experience is helpful, but is not required.",,0,1,1,,,,,,0
14328,Project Manager Marketing DACH,"DE, BE, Berlin",International Growth,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","Negotiate, close and launch new media cooperations in Germany, Austria and SwitzerlandMonitor related campaigns and coordinate with the marketing team for cross-channel initiativesOptimize the campaigns performanceFollow changes and new features in the marketing ecosystemIdentify new business opportunities and implement them in-houseWork together with the Country Manager on the marketing vision and strategy for Austria and Switzerland","University degree (min. Bachelors degree or comparable)2 years. of experience in online marketing and user acquisitionProactive team player with the ability to solve problems and think creativelySuperior quantitative skills combined with strong planning capabilitiesNative German speaker, fluent in English. Hands-on working experience in Austria and/or Switzerland - a clear plus",Significant budget to manage and high degree of ownership and responsibility in the strongest market of BabbelLearn from colleagues with strong expertise in international and performance marketingGrow with the company and gain more responsibility Work for an inspirational product and a great success story ,0,1,0,,,,,,0
12401,Oracle EBS Consultant,"US, CA, San Diego",,,,"Position: Oracle EBS Consultant Location: San Diego, CADuration: 4+ months contract","Oracle EBS, Functional, Migration (Oracle to SAP), Gap-Fit analysis, Data Mapping",,0,0,0,Contract,,,Information Technology and Services,Information Technology,0
6536,ETL Data Analyst,"US, VA, Richmond",,,"Founded by top technical experts, Arithmica is a Software Development and Services company focussed on delivering the highest level of technical services and solutions to accelerate the business ambitions of its clients.Our Services- Application Services- Enterprise Data Solutions","This position need someone who is very strong in SQL, Teradata, Unix. Someone who has experience as a datawarehouse tester/ETL system analyst might also be considered.Must Have Skills  SQL, Teradata, UnixJob DescriptionProvide data analysis and standard reporting support, which includes the ability to quickly understand and extract data from various source systems and data stores by performing heavy business coding (SQL, Teradata, UNIX, etc.). Perform ad-hoc queries and develop/automate financial/statistical models using a variety of software applications (Excel, Access, etc.). Perform impact analysis on proposed changes evaluating potential impacts on data, applications, and reporting and effectively communicating potential risks/effects to supported business customer base. Ability to interface with management, users, and information technology professionals to solve complex business problems. Focuses on process automation and re-engineering"," Skills:·         Expertise in utilizing technical tools (i.e. SQL, UNIX, Teradata, etc). ·         Experience with SAS, Oracle is highly preferred·         Experience with metadata, quality data analysis·         Applies advanced principles, theories, and concepts.·         Ability to take unclear requirements and drive towards results.·         Develops solutions to complex problems, which require the regular use of ingenuity and creativity. Experience10+ Years of experience in SQL, UNIX.8+ Years of experience in Teradata.8+ Years of experience in Data warehousing.",,0,1,1,Contract,Mid-Senior level,Master's Degree,Information Technology and Services,Information Technology,0
3727,Resident Services Coordinator (Part-Time),"US, IL, Chicago",,,"MAC Property Management is dedicated to providing an exemplary living experience, the highest possible quality of service and a superior value for our residents.  It is important for us to preserve the environment, improve infrastructures, and enhance the beauty of our settings.  We are in the process of acquiring new properties in these existing markets as well as expanding to new markets across the country.  MAC Property Management, LLC is an Equal Opportunity Employer.","COMPANY DESCRIPTIONMAC Property Management leases and manages apartments.  MAC Property Management is dedicated to providing an exemplary living experience, the highest possible quality of service and a superior value for our residents.  We are committed to building better neighborhoods wherever we are, not only for our residents, but for the greater community. At MAC, it is important for us to preserve the environment, improve infrastructures, and enhance the beauty of our settings.  MAC Property Management currently serves apartment communities in the metropolitan areas of Chicago, Kansas City and St. Louis.  We are in the process of acquiring new properties in these existing markets as well as expanding to new markets across the country.  MAC Property Management, LLC is an Equal Housing Opportunity organization.JOB DESCRIPTIONThe Shoreland is a historic lakefront landmark hotel, newly restored to its original glamour as contemporary high-end rental residences.This is a Part-Time role with the following schedule:Wednesday: 2:30pm-11:00pmFriday: 2:30pm- 11:00pmSaturday: 2:30pm - 11:00pmOverall Responsibility:The Resident Service Coordinator is a key member of the property management team and will perform essential functions as they relate to the maintenance and accessibility of the building. Primary resident contact for all service related issues including; service work, maintenance projects, parcel receiving and building access. Essential Functions: Responsibilities for this position include, but are not limited to the following: Fulfill customer requestsPrevent solicitors from entering the buildingProcess marketing and resident account information in YardiKnow emergency key procedures (Key Track, key box locations, fireman elevator keys, lock-outs, etc.)Monitor CamerasWarmly greet residents and register guestsAnswer all incoming calls and handle accordingly using MAC telephone greeting standardsAccept and administer packages and distribute mail to residents boxesAssist residents with move-in and move-out procedures, including the  scheduling of elevator timeAssemble collateral packages for prospective residentsAssemble move-in packages for new residentsEnter service requests in Yardi and ensure they are assigned to the appropriate staff memberConduct follow-up calls for resident service requestsConduct courtesy calls to resident  (i.e. cabs, packages, deliveries)Be aware of MAC goals for resident satisfaction and resident retentionSchedule all pre-move out inspections assist residents with move-in process including walking of unit, lease paperwork, and follow-up resident contactConduct welcome and follow-up calls to all new move-ins to ensure resident satisfaction.Conduct pre-move out calls to confirm move-out dates and review MAC Property Management move-out procedures.Participate in ongoing resident relations including telephone calls and resident functions. Assist with resident concerns or related activities as requested by managementEnsure that the office, clubroom and models are in perfect condition. Clean, vacuum, and dust when needed. Physically inspect property when on grounds, pick up litter, and report any service needs to the maintenance staff.Allow access to residents when appropriate (buzzing them in)Announce all visitors / deliveries to residents when applicableAssist in answering leasing phone calls and respond with appropriate information when applicableAssist Property Manager or Assistant Properyt Manager in various tasks as requested","Bachelors Degree preferredMicrosoft Office Suite (Word, Excel, Outlook,etc) and internet navigationYardi Property Management experience preferredExceptional customer service and follow-through skillsOrganization skillsOutgoing personality  enjoys interaction with customersSelf-motivated and able  to work with limited supervisionAbility to set priorities, handle multiple tasks, and meet deadlinesStrong communication skills, both oral and written skills",,0,1,1,,,,,,0
13125,Support Worker (Personal Assistant),"GB, EDH, Edinburgh",,,"Social Care Alba is the highest graded Care at Home Company in the country.  We hold awards in Investors in People, Healthy Working Lives and Positive about Disability.  We are proud of our unique team of professionals who deliver outstanding individual support.Our team bring so much to our business; it's only fair we bring the same energy and dedication to developing their careers in return. It's all part of our commitment to quality in everything we do. We are proud to lead the field in professional Care at Home provision. Whether you're a Personal Assistant, a Social Worker, Nurse or one of the many other roles we have on offer, we'll support your growth at every stage of your career","Social Care Alba is the highest quality Care at Home Provider in Scotland. We are an Investor in People and Healthy Working Lives award winner.Following significant success and growth, Social Care Alba is actively recruiting Care Workers (Personal Assistants).  You would be responsible for providing the highest quality care at home support, including monitoring service users health & well-being, and help in administering medication. You will work with and learn from our team of experienced Nurses, Social Workers, Occupational Therapists, Physiotherapist and Pharmacists.Be part of a company that makes a real difference to people lives.  Your day to day tasks would include:Providing individual care and support to people in their own homes. This may include cleaning, washing and dressing, providing meals and maintaining community links.You may also assist people with continence promotion, catheter care, stoma care, peg feeding, administering medication, oral health and moving and assisting.You will be expected to maintain personal files and act as a key worker to service users.","Key Accountabilities would be:To provide individual care and support to people in their own homes. This may include cleaning, washing and dressing, providing meals and maintaining community links.To assist people with continence promotion, catheter care, stoma care, peg feeding, administering medication, oral health and moving and assisting.You will be expected to maintain personal files and act as a key worker to service users.Your knowledge, skills and experience: Hold an SVQ in Health and Social Care or equivalent. Proven track record in helping and supporting others in a professional environment. Evidence of acquired skills or experience working in a similar role.The ability  to work a minimum of 20 hours per week. (Full time available, shift patterns may include evenings and weekends)We are looking for people that are passionate about caring for others, and who want to work in an exciting environment with significant career opportunities.We are recruiting to our teams based in Edinburgh Centre, South and South East. You will be expected to travel to these locations on daily basis. And due to the intimate nature of the position, you are required to complete a PVG form. ","This is your chance to become part of our continuing success story.Social Care Alba is quickly emerging as the leading care at home provider in Scotland, with an enviable reputation for winning multiple accreditations - including the investor in people award and healthy working lives.We strongly believe in investing in our staff and we are currently support staffs education with e-learning, workshops, SVQ 2, 3, & 4 all the way up to MSc.TEN REASONS TO JOIN US: 1. Variety of hours available up to full-time 2. Full training given 3. Supervision and support. 4. Salary of up to £8.00 per hour depending on qualifications 5. 28 days Paid holiday pro rata 6. Support with SVQ 3 and 4 7. Support with your career development 8. Health plan 9. Guaranteed hours following probationary period 10. You will LOVE it. ",0,1,1,,,,Hospital & Health Care,,0
13587,Senior JavaScript Software Engineer,"GR, I, Athens",,,"100mentors answers the question: «What do you want to be in 5 years?»We aspire to offer a one stop-shop (Single Solution Provider-check «Concept») for answering this blatant, universal orientation quiz, which has vast impact to our personal lives and various economic, business and social implications. There are tons of expertise in different niches out there and an increasing, non-met demand for tailor-made, value-for-money mentoring. We play the role of the market maker. We match mentors & mentees, applying top-notch sharing economy business expertise & live-video technology, and we make them confident & happy.The value proposition: We eliminate the huge range of options you need to explore, by using algorithmic matching, and we connect you with your best match-mentor- a role-model that has been «in your shoes» - with a similar background to your profile. Only people who have successfully done what you wish to achieve, can help you follow their steps & avoid their mistakes. Imitation works in humans and identifying ourselves with a mentor is the most powerful learning experience. Finding your best-match mentor is life-changing. We make it easy & secure.The opportunity: As stated in the existing solutions part, we are happy to experience the beginning of the great distruption era for education. People start becoming educated about value-for-money, highly personalized solutions. We aspire to change mentoring as opposed to what Traditional Solutions, like Kaplan, offer, the way MOOCs have revolutionized education, as opposed to what well-established institutions/universities (like the Ivies) used to offer. Online mentoring grows exponentially & 5-10 «actors» will become market leaders. The team: Our team will respond to this opportunity because we are in love with our product vision & the learning opportunities that it creates. Also because we have been ready for this for long time :)We are passionate about changing the EdTech status quo, with a solid, realistic business plan, and a hardworking, energetic team of committed 100mentors members in Athens and London.For our team members, and advisers; simplicity is the ultimate sophistication. If you are a results-driven practitioner with a track record of projects, which you will be called to confidently showcase during our recruitment process, then… we are looking for you!","100mentors is searching for new talent! We are looking for a mid/senior candidate who will help us take our platform to the next level. Are you a bright, driven and cheerful team player? Do you love or are you open to love EdTech? :) Then apply now!Our platform is being re-built from ground up using cutting edge tech including, but not limited to NodeJS, AngularJS, MongoDB and more.","As we are looking to grow the engineering team in the back-end here you should feel comfortable with one or more of the following:NodeJSPythonRubyNoSQL Databases / MongoDBRelational Databases / MySQL / MariaDBObviously as our application is being built with NodeJS, the preferred applicant will have good knowledge in this, but an experienced engineer that is willing to and can quickly catch up on this tech will also be considered.Also for all applicants make sure you can:speak good --> excellent Englishlearn quickly and be agile to changebe a great team playerwork around the clock","Competitive salary (stock options will be negotiated)Startup agility and flexibilityBe one of the key people that build something from ground upGreat teamBecome part of the 100mentors vision/proposition: solve the most blatant, universal problem for youth todayWe will be happy to hear from you!",0,1,1,Full-time,Mid-Senior level,,Computer Software,Information Technology,0
2203,Key Account Manager - Spain,,Sales,,"incrediblue is busting the myth that boating is only for the rich and famous by enabling any traveller to book an amazing holiday on a boat. As it happened in lodging and in transportation, incrediblue is changing the travel industry again, by introducing a whole new travel category; boating. Boating is the ultimate vacation with all the comforts of being at home while you are exploring new destinations.We are an innovation driven, committed and fun to be around team, where every contribution, no matter how little or big, counts. So if you're a passionate professional with solid experience who wants to work in a world-class internet company, you are in the right place. As with all incrediblue team members, were looking for someone who takes pride in their entrepreneurial spirit, discipline, proactivity, guerilla mindset and great teamwork skills. Thats us!","As seen on Wired & TechCrunch,incrediblue is changing how peopleexperience vacation on the sea, globally.We are looking for an experienced & passionate Key Account Manager to join our crew, in Spain.You are an avid sailor and love everything that's related to the sea.You have 3+ years of experience in the Yachting industry (Sales, Chartering or Insurance)You have a portfolio of contacts in the industryYou love problems and above all, finding solutionsYou love traveling, have amazing people skills and can speak fluent English & Spanish",,,0,1,1,,,,,,0
3054,Senior Designer,"GB, LND, London",Creative Services,40000-50000,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","We are looking for amazing senior digital designers to join us at Blippar and design awesome augmented reality experiences for the worlds largest brands, working on projects all over the globe.Reporting to the Chief Creative Officer, you will become a senior member of our global design team. You will work with our in-house client and development departments designing cutting-edge augmented reality solutions, from concept through to delivery. As part of our small and dynamic creative team you will take the lead on projects as needed, pulling together talent from across the business to deliver on client briefs and pitches.You will be given unparalleled creative freedom to design blipps for some of the worlds biggest and most forward-thinking brands. You will understand our platforms capabilities, leveraging both your skills as a designer and each brands proprietary assets to come up with new and exciting ways of using Blippar.","We expect you to have both artistic vision and the hands-on skills needed to create and design each project. You will, of course, be given plenty of training, but an ability to formulate innovative ideas and run with them will help you flourish here.You will need to be an amazingly creative designer with a background in building interactive experiences and a portfolio of exciting projects. Ideally you will have a history working with big brands, but that is not crucial. We dont mind where you come from, just so long as you have a catalogue of incredible work and thrive in a fast-paced environment.Ideally you will have:Excellent portfolio of digital projectsConfidence using Adobe Creative SuiteAn understanding of interactive, mobile and game designAn understanding of all emerging technologies across mobile, wearables and ARInnovative and creative flairA passion for making amazing digital experiences","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter & Gamble, Conde Nast, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesOur office dog (London)International travel opportunitiesChance to develop groundbreaking techWork with state-of-the-art hardware and softwareAccess to new wearables including Google GlassA challenge to change the worldChance to shape a new industryCreative freedom and controlExperience with all mobile operating systemsCollaborative opportunities with huge brandsPlease apply now for more information about this role, or check our careers page for details of other opportunities to work with us.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Design,Design,0
17485,Advertising Sales Manager,"US, NJ, Central NJ Preferred",,,"Construction Information Systems (CIS) is a New Jersey-based project lead service that brings general contractors, subcontractors, materials suppliers, equipment dealers and professional services providers together to do business smarter, better and faster.  Whether you are looking for project information, new contacts, marketing opportunities, or the ability to network with industry professionals, we have a solution for you.  Unlike our competitors, we update our information daily so we won't miss a job…and neither will our clients.  Our customized reporting services cover both public and private sector projects, contractors and design firms.In addition to our project lead service, CIS recently has launched the most elaborate directory for the construction industry.  .  With over 18,000 company listings, users can search by category, company, or keyword.  They also can use filtering options to find companies that are MBE, SBE, WBE, who can do union and non-union work, etc.  Subscribers and advertisers can post their profiles, search other profiles, and communicate directly with GCs, subs, material suppliers, equipment dealers and other professional service companies. CIS also facilitate company branding through its customizable packages of online advertising and customized websites.  Subscribers and advertisers can market products and services to a captive audience by advertising on C-Source.  These options are perfect for companies that know they need a Web presence but don't have the budget or bandwidth to make it happen.  CIS does all of the work and present its advertising customers with a beautiful site, a unique URL, and unique search optimization.","Construction Information Systems (CIS), the Northeast's leading online provider of project information for the construction industry, seeks an experienced sales leader to serve as its first Advertising Sales Manager.  The Advertising Sales Manager will report directly to the President and is responsible for building and coaching the company's advertising sales team for CIS's new cutting-edge construction industry online resource.  This is an outstanding opportunity for someone who loves to sell, is a motivating leader, and has track record of increasing advertising profitability for a growing, dynamic organization.  Sales Leadership and ManagementRecruit, train, and coach a team of Advertising Specialists to generate advertising revenue for CIS's new online resource. Drive performance and professional development of Advertising Specialists to help them meet—and exceed  the company's objectives and profitability goals.Lead by example to promote with passion the company's customer-centric, forward-thinking culture. Collaborate with CIS's President and other senior leadership to develop a comprehensive advertising sales strategy.Supervise, assess, and provide appropriate feedback to the Advertising Specialists. Monitor and communicate to appropriate parties current and projected market conditions, market pricing, and factors affecting competition.  Make recommendations to the President as appropriate.Develop and ensure prompt and accurate submission of sales and business reports. Address customer concerns and provide sales support to Advertising Specialists as needed.Think creatively to ensure that the Advertising Sales Team works cross-functionally with other CIS departments, integrating new ideas and implementing feedback.Meet weekly with CIS's President.Perform other related duties as required or assigned.Direct SalesSell advertising to CIS's largest and most influential potential clients.  Help prospective and existing clients envision and develop effective advertisementsand improve their Web presence by writing copy, assisting with creation of advertising concepts, and recommending graphics.Create a sales pipeline.  Develop a personal sales strategy and plan to drive CIS's goals.Research and identify key prospects and decisionmakers at each prospective client.Create proposals, meet with prospective clients, and demonstrate the product.Proactively maintain and grow client relationships.  Check in regularly with existing clients, providing them with statistical information and recommending improvements to advertisements.","At least 4 years' sales experience, including at least 2 years' management and supervisory experience.  Must have a track record of building an advertising sales team.Demonstrated success in selling B2B digital advertising campaigns/services.  A strong understanding of the marketplace and competitors.Proven track record of meeting or exceeding significant sales goals.Able to artfully sell and negotiate contracts.Strong written and verbal communications skills.Capable of connecting with and understanding clients' needs and concerns.Willing to learn the needs and concerns of the construction industry and those who service it.The territory to be covered includes the Philadelphia area, New Jersey, NYC and Long Island.  Accordingly, the ideal candidate will be located in central New Jersey for accessible car travel.  The candidate must be able for day travel extensively throughout the region.  CIS is based in Kinnelon, New Jersey.  Must have his or her own vehicle and a valid driver's license.  ","Total compensation target for year one is $125,000+.CIS offers:Company subsidized medical benefits; dental and vision benefits are also available.401(k) with Company match.Paid vacation, holidays, sick and personal time.Disability insurance; life insurance also available.NO RELOCATION.  MUST BE WILLING AND ABLE TO TRAVEL REGULARLY THROUGHOUT NEW JERSEY, PENNSYLVANIA, AND NEW YORK.NO TELEPHONE CALLS PLEASE.",0,1,1,Full-time,Mid-Senior level,,Marketing and Advertising,Sales,0
4455,.NET Developer,"US, CA, San Diego",,,"Who are We?Aya Healthcare is a top healthcare staffing organization because of our passionate, creative and talented employees. We have fun while we work and achieve results. We have grown 77% per year for the last 3 years and we are looking for more phenomenal talent to join our team of A-players. What Sets Us Apart?We are obsessive about creating great experiences for our clients and employees which makes us one of the best.  We will go the extra mile to make both our clients and employees happy.  We value our employees, recognize, and reward hard work.  Youre not just another number to us, youre an important part of our team and we want to invest in you. Who are You?You are self-motivated and strive for resultsYou are just as obsessed as we are about creating great experiences for our clientsYou want to be a part of an organization that values your talentYou think outside the box",".NET Developer  Aya Healthcare - San Diego, CAWho are We?Aya Healthcare is a top healthcare staffing organization because of our passionate, creative and talented employees. We have fun while we work and achieve results. We have grown 77% per year for the last 3 years and we are looking for more phenomenal talent to join our team of A-players. What Sets Us Apart?We are obsessive about creating great experiences for our clients and employees which makes us one of the best.  We will go the extra mile to make both our clients and employees happy.  We value our employees, recognize, and reward hard work.  Youre not just another number to us, youre an important part of our team and we want to invest in you. Who are You?You are self-motivated and strive for resultsYou are just as obsessed as we are about creating great experiences for our clientsYou want to be a part of an organization that values your talentYou think outside the box What are we hiring for?.NET DeveloperThe successful candidate will possess the following technical experience:ASP Classic.NET Developer TechnologiesSQLJavascriptNon-technical skillset:Ability to work on a team and independentlyStrong Communication Skills", ,"What are the Perks?Excellent compensation PTO, 401K matchFree medical, dental, life and vision insuranceFree food, snacks, and coffee in our kitchenFree Yoga and Boot camp classesCompany Sponsored Happy Hours and Events Birthday CelebrationsWork/life balance",0,1,0,,,,,,0
2822,Marketing / Sales Representative ,"US, CA, Sacramento",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Marketing / Sales Representative Tidewater Finance Company is seeking a full-time Marketing/Dealer Representative to contribute to our marketing/ sales team!  This position is responsible for initiating, developing and maintaining a strong business relationship, using established sales techniques with auto dealers in the assigned market.  Qualified candidates should possess a strong background in customer relations and business development, familiarity with the finance industry, automotive or other is a plus! Primary responsibilities include, but are not limited to the following: Maintaining and building automobile dealer relationships via contact through phone, e-mail, direct visits and other correspondenceFacilitate new dealer sign-up to include background investigation and provide ongoing training and coordinationSource current relationships and prospects for developing joint marketing initiativesFollow-up of pending credit applications and contractsPrepare and analyze activity reports, monitor trends, increase sales market penetration and share strategies to maintain competitive advantages This position requires the following qualifications: Previous finance, auto financing or auto dealer experience in the areas of sales/marketing, underwriting or customer service preferredSub-prime/Non-prime auto finance experience a plusKnowledge of local market and existing dealer base relationships in specific market areaStrong customer service skillsHigh level of accountability and ability to effectively prioritize tasksExceptional time management and organizational skillsProficient in Microsoft Office Suite a mustEffective communication skills; written, verbal and listeningThe standard hours for this position are:Monday through Friday with some adjustments required to accommodate dealer operating hoursMust be able to travel within assigned territory, monthly overnights approximately 15% of the timeOur company offers a competitive salary PLUS commissions as well as a comprehensive benefits package to our full-time employees, including:Auto allowance40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.  Please submit your resume and salary requirements to: #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117## Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Finance Credit.","This position requires the following qualifications: Previous finance, auto financing or auto dealer experience in the areas of sales/marketing, underwriting or customer service preferredSub-prime/Non-prime auto finance experience a plusKnowledge of local market and existing dealer base relationships in specific market areaStrong customer service skillsHigh level of accountability and ability to effectively prioritize tasksExceptional time management and organizational skillsProficient in Microsoft Office Suite a mustEffective communication skills; written, verbal and listening","Auto allowance40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.",0,1,1,Full-time,Associate,Unspecified,Financial Services,Sales,0
7900,Business Accountant,"ES, VC, Valencia",Business,,"ehumanlife is a medical platform for live online consultations through HD video calls. You can call a doctor now from the comfort of your home. Its free to sign up and each doctor sets a fee for consultation.Connect through your symptoms, diagnosis or topic of interest with the best international specialists. Mild illnesses may be evaluated immediately from anywhere avoiding the hassle of urgent care.Doctors expand the scope of their practice, fix their own schedule and enhance their international reputation by caring patients and sharing knowledge through medical webinars and scientific articles.Our mission is to tear down the barriers between physicians and patients worldwide, improving access to healthcare, and enhancing communication within the medical community.","Lorem ipsum dolor sit amet, in has liber populo appellantur. Et vis amet oporteat. Pri an wisi indoctum, explicari sadipscing nam an. Liber interesset te quo, te melius appareat delicatissimi eos.","Lorem ipsum dolor sit amet, in has liber populo appellantur. Et vis amet oporteat. Pri an wisi indoctum, explicari sadipscing nam an. Liber interesset te quo, te melius appareat delicatissimi eos.","In vel sint semper atomorum, habeo blandit sapientem his in. Nullam deseruisse vix ut, te nominavi tincidunt temporibus per. No liber mucius oportere vel, his an hinc quaeque sensibus. Usu omnium audiam corpora ut. Usu an legere iisque nostrum.",0,1,0,Full-time,Entry level,Bachelor's Degree,"Health, Wellness and Fitness",Information Technology,0
11869,Senior Full-Stack Developer (remote/telecommute),"US, , ",Engineering,,"InVision is a venture-backed startup working to create the world's best design communication and collaboration platform.We're passionate about finding ways to improve how companies think about their design process. That's why designers at so many of the worlds most beloved startups, agencies and corporations use InVision every day.","InVision is the worlds leading design collaboration platform.We enable companies of all sizes to discover the power of design-driven product development.  Thats why designers, product managers, marketers and other stakeholders at so many of the world's most loved designers, agencies, & corporations use InVision every day, including Zappos, Evernote, AirBnB, and Yammer.Built to foster collaboration and iteration, InVision helps our clients design, review and user-test a product before writing a single line of code, with tools for design prototyping, feedback, task management and version control.Were well-funded and venture-backed by prominent investors including FirstMark Capital and Tiger Global Management.We're looking for a well rounded Senior Full Stack Developer to join the InVision engineering team. The ideal candidate is well-versed in modern software practices in SaaS environments. The Senior Full Stack Developer will be responsible for enhancing and extending our service offering while at the same time improving performance and robustness. The candidate should have an exacting eye for detail and a passion for solving complex problems.","Duties and ResponsibilitiesEnhance and extend our SaaS architectureDesign and develop new services and capabilitiesWork with other developers and product designers to brainstorm and test new ideasDrive to continuously maintain high product quality and improve the processGeneral QualificationsStrong problem solving and decision-making abilityStrong software engineering fundamentals (unit testing, object-oriented design, functional programming, agile development)Experience designing and deploying application on a MEAN (MongoDB, ExpressJS, AngularJS, NodeJS) stackExperience with RabbitMQ and RedisExperience and preference for working in rapid development cycles (2-4 weeks)Familiarity with common tools like Git, Jira, Confluence, Jenkins/CIExperience with Continuous Integration/Continuous Delivery environmentsExperience with both SaaS and On-Premise Enterprise productsExperience building horizontally scalable Python and Ruby-on-Rails applications is a plusExperience with ColdFusion/Railo a plusExperience with Java development with Jersey and Jetty a plusObsessively thorough with an eye for detailExcellent oral and written communications skills",Highly competitive salaryStock optionsPremium health coverage  Macbook AirMembership at health club of your choiceUnlimited books from AmazonUnlimited Starbucks cardHalf-day Fridays!,1,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Engineering,0
634,HelpDesk Associate,"MU, QB, Ebene",CSL,100000-130000,Welcome to the Job Portal designed for TEDPB - (Training & Employment of Disabled Persons Board).Employers will receive applications from Persons with disabilities when advertising here.Employer RegistrationJobSeekers may register for the Induction Program if they need assistance.JobSeeker Registration,"ABOUT OUR COMPANYCSL, a wholly owned subsidiary of Mauritius Telecom, is the pioneer in the call centre industry in Mauritius. Operating since 1999, CSL BPO Services offers a range of contact centre/call centre solutions as well as BPO services from its offices in Mauritius.ABOUT THIS JOBWe are looking for potential candidates to promote online Chat and Customer Support activities. You will be responsible for interacting with customers where you will guide them towards the services provided by our company using Chat Services.",You will need to have:Excellent Information Technology SkillsExcellent writing and grammar skills in English and FrenchGreat Customer Service AttitudeHigh School CertificateClean Morality Certificate,You will be provided with:On-the-Job trainingInsurance CoverBus Transport Refund from your place of residence to workBonus of good performance,0,1,1,Contract,Entry level,High School or equivalent,Consumer Services,Customer Service,0
11847,Higher Education Content Writer - Freelance,"US, IN, Fishers",Marketing,,"Bluebridge was created by a team of people who are passionate about organizations and the ways that effective technology makes them stronger and more well connected. Technology moves quickly. Businesses tend to move more slowly, either because of their place in organizational charts or because they often face modest budgets. bluebridge empowers these organizations with straightforward, affordable tools that help connect them with their customers and prospects.Bluebridge is built on a revolutionary platform that allows an organization regardless of size, to create a mobile app.By meeting consumers where they are—and with gadgets that they carry in their pockets and bags every day—bluebridge provides an engaging direct marketing channel that connects individuals while encouraging them to interact with your brand in a fresh, new way.","Bluebridge is a software as a service company that provides mobile tools to help organizations engage and communicate with their audience and communities. We work with organizations like Churches, Visitor & Travel Organizations and Colleges to help them connect their content with people's pockets. We love helping our customers create awesome, polished apps so they can better communicate.Our Values:Bluebridge is defined by continual improvement—not only of the products and services we deliver to our clients, but also of ourselves. Each member of our team is held to the same expectations: keep learning, experimenting, and iterating. Succeed or fail, but grow by it either way. Collaborate and ask questions. Be resourceful. Be restless. Have fun. We believe the joy you take in your work will be reflected in the outcome.Our Team:For a tech company, were deeply, unashamedly human. We make time for each other. We tell jokes, stay late together, eat meals together—sometimes over a tough project, sometimes at the bar down the street. Were a team of self-starting individuals with a knack for cooperation and collaboration. We work (really) hard every day, but we also know when its time to take a break. We place a high value on personal connections amongst ourselves, our clients, and the outside world.The Role:We are seeking a dedicated professional freelancer who is a great writer and an even better project manager. You should have experience with content production in higher education or a similar field. Youll consistently produce content—around 3 blog posts per month—while managing revision cycles, meeting deadlines, and learning as much as you can about our higher ed buyers.Youll take our brainstorms and bullet points and turn them into exceptional content that resonates with our audience, plus advise us on content ideas and opportunities in the college space. Youll deal heavily with higher education and student communications and how they relate to mobile technology.ResponsibilitiesProduce at least three compelling, sharable blog posts per month while maintaining quick turnaround time and strict attention to deadlinesOptimize all content for SEO value and maximum impactDeepen your knowledge of our audience—higher ed senior staff in student life, marketing, admissions and sports/rec departmentsProduce material that is “evergreen”—it has lasting value and can be repurposed for whitepapers, eBooks and other mediumsGather supporting content (stats, examples, quotes, etc.) whenever necessaryMaintain Bluebridges “voice” in all content produced","Proven writing skills with a healthy list of past publicationsExperience or specialization in the higher education market, especially student communications and marketingExcellent time and project management skillsA good self-editor, producing near-perfect work every tim (aside from the occasional typo, of course)The ability to understand and connect with a variety of higher ed buyers, adapting tone, messaging and focus for each. Previous work with persona-based content production is preferred.Ability to translate notes, suggestions, and outlines into fully-formed pieces with little additional oversight",,1,1,1,Contract,,,Writing and Editing,Writing/Editing,0
17234,Agent-Inbound Sales Position,"US, TN, Spring Hill",,10-Nov,"Delivering superior customer services for over 10 years, IBEX Global is a business process outsourcer offering an integrated suite of services that supports an expansive array of customer facing solutions.Headquartered in Washington, DC. IBEX Global delivers onshore, near shore and offshore solutions in 5 countries, across 18 call centers employing over 9000+ customer service professionals.  The recipient of several client and community awards such as the Goodwill Industries Employer of the Year, IBEX Global is one of the most forward thinking companies in the BPO industry.","Job DescriptionAre you ready to start your sales career with a growing organization in a call center sales and customer service role, then consider joining our growing inbound team. IBEX Global is rapidly growing and were looking to quickly ramp up entry level Call Center Customer Service Representatives that will be responsible for taking inbound calls from clients, selling telecommunications products and services. At IBEX Global weve been delivering superior customer services for over 10 years, as a business process outsourcer offering an integrated suite of services that supports an expansive array of client facing solutions. representatives are responsible for generating sales of telecommunications products in an inbound sales center.Job ResponsibilitiesIn this role you will be responsible for fielding inbound calls from customers providing quality support and suggestively selling telecommunications products and services based on customers needs.Additional responsibilities of the position include:• Generating sales of our clients services and products and representing IBEX Global and its clients with the utmost integrity and professionalism• Providing exceptional service and maintaining established acceptance rating on quality monitoring tests• Resolving customer concerns immediately and effectively, displaying professionalism and courtesy at all times• Having full knowledge of features and benefits of products and services; quickly and correctly answering product questions seeking to stay informed of program changes• Attending and actively participating in all required training programs; showing eagerness and capacity to learn new products and programsThese positions are full time. The program hours are from 7:00 am  12:00 midnight, seven days a week. IBEX Global is seeking candidates who are able to be at the call center and work any shift during the program hours. Candidates must successfully complete a nine week, paid training course, prior to taking calls. Training class will start October 20th, 2014 and will be on Monday thru Friday 3:30 pm until 12:00 midnight. Qualified applicants will be invited to attend an informational session at IBEX Global's office in Spring Hill, TN to learn more about the position, and to interview with an IBEX Global representative. ","RequirementsAs a Call Center Customer Service Representative you should be driven to succeed and exceed customer service and sales goals. In this role you should have strong interpersonal communication skills with a confident and outgoing personality. Additional requirements of the position include:• High School Diploma or equivalent• 6 months experience in a sales or service related role• Basic computer skills• Excellent verbal and written communication skills• Ability to utilize basic math computation skills, preferred• Required to work a flexible schedule that provides needed coverage for customer service levels.• Required to read and follow all company policies and procedures.Able to maintain a regular work schedule to meet the needs of the business. Support and model the company values.• Background and Drug Screening is required.","Benefits :We offer our employees the following comprehensive benefits plan• $10.00/hr during training• $11.00/hr + commission in production upon the successful completion of training• Medical, Dental, Vision Insurance• 401(k) with company match• Paid Time Off• Paid professional training• Discounts on Personal Electronics*Applicants must include a full resume' with your application for consideration",1,1,0,Full-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
10181,Business Development Manager,"GB, , Manchester",Sales,,"Our mission is to bring the world's best-loved local restaurants into everyone's home or office - fast.We work with hundreds of the UK's best loved restaurants, including names like Carluccio's, GBK, Nando's, Rossopomodoro and many top quality independents to deliver their food to homes and offices everywhere. Our customers are as passionate about great food as we are, including an ever-growing number of large and prestigious firms.Being part of our team comes with many perks, one of which - you guessed it - is food. Every Friday, we order lunch for the entire team from some of our great restaurants, and throughout the week we can often be found tasting food from a new restaurant on our platform (waffles on a Tuesday afternoon, anyone?). Beyond all the amazing food, we have a fun and entrepreneurial culture where street smarts, creativity and a can-do attitude are paramount. But it's not all just hard work - we are a tight-knit team and can often be found getting a drink together after work.With offices in London and Chicago and backed by one of Europe's top venture capital firms, Index Ventures, we are growing quickly. So come and change food delivery with us!","Our mission is to bring the world's best-loved local restaurants into everyone's home or office - fast.We work with hundreds of the UK's best loved restaurants, including names like Carluccio's, GBK, Nando's, Rossopomodoro and many top quality independents to deliver their food to homes and offices everywhere. Our customers are as passionate about great food as we are, including an ever-growing number of large and prestigious firms.You will be a representative on the Deliveroo sales team, tasked with signing up Manchester's best restaurants.More specifically, you will:Map and target restaurants in central Manchester in a very methodical and organised fashionCommunicate (physical visits, email, calls, social media) with restaurants to demonstrate Deliveroo technologySample food from a variety of high quality establishmentsAttend restaurant and food conferences ","The job is not an office job, you must be outgoing and enjoy talking to new people!  Excellent social skills are necessary.For this role you need to be tenacious, sophisticated and well-connected in the restaurant industry, and have the following skill set: Strong knowledge of the restaurant industry;History of exceeding revenue targets;Exhibit the ability to self-motivate;Experience in a high-growth business environment is a plusExhibit the ability to think on your feet and learn to consistenly ABC!We are a young company with dynamic growth, so you must be prepared to work long-hours and show continued commitment and dedication to the operation.","Become one of the early employees of a fast-growing, exciting startup changing the food delivery landscapeHave a real impact on the company's growth and evolutionWork with people who love what they do every day",0,1,0,,,,,Sales,0
8873,The Most Interesting Job in the World,"CA, BC, Vancouver",Apps,10000-100000,"Jiffy Worldwide is the parent company of the Jiffy Group of Companies.   Jiffy operates a mobile application development company, a telecommunications company, a cellular telephone service in Canada and the US, amoung other ventures.   Jiffy employs nearly 500 employees and contractors globally.  ","We're looking for the best, the brightest, and the hungriest sales people out there willing to work hard and get ahead. Unlimited potential for commissions, a base salary, and a damn cool product to sell. Not to mention one of the most interesting and awe inspiring locations to work from. Oh, and did I mention you get food? Yeah, that too.About you:Fearless Cold Calling Skills - Ability to weave through gatekeepers swiftly and deftly get to the right decision makers.Adaptable. Creative much? We need people who can think on their toes and have fun doing it.Hard Worker - It's a bit of a learning curve, but the rewards are totally worth it.About us:Jiffy Apps is a fun and creative app company with a great portfolio and kick-ass location.We've done over 2500 appsHave over 450 staff Multiple offices all around the world.The environment:We all work in a penthouse downtown, near skytrain access, and have a beautiful wrap around balcony with inspiring views. If you want or need to impress a local client, bring them up to the top and just give them a tour.Check out our website at #URL_04d98f4d3302bdeb29b768e65af1bda2ab91625b34f796f5e95d0de29d901941# and feel free to send your cover letter and resume to our email listed above.",,,0,1,0,Full-time,Entry level,High School or equivalent,Information Technology and Services,Sales,0
283,"Full-Time Caregiver (Position B) for Easygoing, Caring Woman ","US, OR, Portland",,,"United Cerebral Palsy of Oregon & SW Washington (UCP) supports adults with all kinds of developmental disabilities (not just cerebral palsy), so that they can live the life of their dreams.We're growing, so you will see lots of exciting job openings in the next few months!WHY WORK FOR UCP?As an employee of UCP, you'll be proud of the work you do, every day! Our employees assist the people we support to live independently in their own homes and apartments, find and keep their dream jobs, make community connections, serve as their own advocates, and make their own choices. You'll get the chance to work for one of the 100 Best Non-Profit Employers in Oregon. We're fun and flexible, and there's plenty of room for advancement and growth.","Are you looking for full-time work with great benefits? Would you like lots of time off each week, to pursue school or your other interests?Then United Cerebral Palsy might have the perfect job for you! We are seeking 3 caregivers (Personal Assistants) for a woman who experiences developmental disabilities. She lives in her own newer apartment near SE 122nd and SE Stark, and is generally quiet, kind, caring and easygoing. She likes routine, and enjoys having a busy schedule during the week and lots of downtime during weekends. Job Duties:Provide companionship at home (chatting, decorating for holidays, listening to music, etc.).Plan fun activities in the community (such as going out for coffee, shopping, walking in the park, etc.).Do chores such as cooking, cleaning, and doing laundry.Administer medications and monitor on-going health concerns.Using a Hoyer lift, assist with bathing, dressing and toileting.Provide emotional support by helping her to process her feelings, and assisting her in maintaining relationships. schedule for POSITION B (39 hours total per week):Tuesday overnights (5:30pm to 1pm the next day).Thursday overnights (5:30pm to 1pm the next day).All holidays that fall on your regular schedule.ABOUT UCP:UCP supports adults who experience all kinds of developmental disabilities, assisting them to live independently in their own homes, find and keep their dream jobs, and pursue community-based recreation.We are a fun, casual non-profit that was recently ranked as one of the best non-profit employers in the state.Find out more at #URL_2b35257099321dacb52af707d4a6bdf5dd187d81d6bfd24398f0e5b68a6727a7#.UCP is an equal opportunity employer, and actively seeks applicants from diverse background.","At least 18 years old.High school diploma or GED.Must pass a physical with a lifting test of 75lbs, drug screen, reference check, and criminal history background check.Experience in mental health or developmental disability field preferred but not required.","COMPENSATION package:$9.47/hour, with 5% increase to $9.95/hour after successful 90-day Trial Service Period.Excellent medical benefits for employee, spouse/domestic partner and dependents. Includes medical, vision and alternative.Generous paid time off.Holiday pay (double pay for up to 10 hours).401k plan with up to 3% company match.On-going and fully paid training.",0,1,1,Full-time,,,,,0
3507,Systems engineer (ops),"US, CA, San Francisco",,,"Intercom (#URL_cb674f93cbcd215a3a0a57a8615a565545949eead8adbbc73307c26d6a6af81b#) is a simple, personal messaging service for businesses and their customers. It provides a single, integrated platform for the whole company to use for support, marketing, product, sales communication and more.Were on a mission to make web and mobile business personal. We believe that the future of customer communication requires not increasingly complex, impersonal point solutions, but rather a simple, seamless platform that applies innovations found in consumer services like Facebook and WhatsApp.Founded in late 2011, Intercom has raised over $30 million in venture capital and is backed by leading investors, The Social+Capital Partnership and Bessemer Venture Partners. Intercom has been adopted by thousands of businesses, including Heroku, Hootsuite, Rackspace, Yahoo! and Perfect Audience. Our customers love the product: #URL_18cc302f4e0f1dac521853b2a6ae109ebbe44c6130d31eb0413535ba71e2f42f#We like big thinkers with small egos who believe in our goal to build a uniquely impactful, long-lasting tech company. Our vision is to fundamentally change forever how Internet businesses and their customers communicate.","About the jobThe ops team are responsible for running our production infrastructure, including build and deployment systems. We're fans of immutable infrastructure and continuous deployment - currently we deploy up to 30 times per day. We have big plans to improve Intercom's availability and performance while handling very fast growth.To be a good fit, you should have:a passion for application stability, performance, and securitya strong bias for simplicityan interest in building and scaling distributed systemsTechnologies we use todayWe mostly use Ruby/Rails, storing data in MySQL, MongoDB, Redis, Solr, StatsD and Graphite. We make extensive use of AWS, inlcuding EC2/VPC/ELB/AutoScaling/S3/SQS/RDS/ElasticCache/Cloudfront - automated using an internally developed tool that manages our continuous deployment.Experience in our day to day toolset is a plus but is, by no means, a requirement. Good people will adjust quickly and have fun learning something new or helping us learn something new.Types of people we're interested inout and out systems engineersapplication developers interested in back end services and distributed systemsThe type of projects you could work onsplit the monolithic rails app in to discrete servicesredesign our data storage to handle the next 100x growthimprove fault tolerance within the application until there are no single points of failureshrink the time taken from a push to master until production deployment to less than 10 minutesbuild tools and processes to help us detect and respond to operational issues quickerincrease visibility in to app performance by working on our metrics and logging infrastructureAbout IntercomIntercom helps internet businesses communicate with their customers. Their mission is to make web business personal. They believe that the future of customer communication requires not increasingly complex, impersonal point solutions, but rather a simple, seamless platform that feels a lot more like Facebook than Salesforce. People love the product:#URL_18cc302f4e0f1dac521853b2a6ae109ebbe44c6130d31eb0413535ba71e2f42f#Founded by serial entrepreneurs Eoghan McCabe, Des Traynor, Ciaran Lee, and David Barrett, the company is just over 2 years old. It has raised $7.75MM to-date from Social+Capital Partnership—their LPs include Facebook, Reid Hoffman, Sean Parker, Peter Theil. Managing partner Mamoon Hamid was on the board of and early investor in Box and Yammer. The team is currently 36, comprising people from Apple, Google, Facebook, Amazon, Yammer, Paypal.Intercom is installed in thousands of web products and is connected with many millions of end-users. The company has been experiencing double-digit monthly revenue growth from the start.They've turned down four acquisition offers to-date—the founders intend to make this a uniquely impactful, long-lasting tech company. They intend to fundamentally change how internet businesses and their customers interact.",,,0,1,0,,,,,,0
9942,Avionics Systems Engineer,"KE, , ",,,"Vayu builds affordable unmanned aerial vehicles (UAVs) for healthcare supply chain management and post-disaster aid delivery.Currently, healthcare providers and disaster relief responders depend on costly, slow, and unreliable transportation by motorcycles, cars, and trucks to serve remote communities. One billion people live all or part of the year without access to all-weather roads. Vayu's UAVs will provide affordable, fast, and reliable delivery of vital goods to these isolated areas, both on a regular basis and in times of crisis.Our offices are in Ann Arbor, Michigan, USA and New Delhi, India.","Vayu is seeking a Computer Science / Aerospace Engineer with an entrepreneurial skill set in Kenya.  Candidate will be responsible for development, design, implementation, and test of autonomous flight control system and software algorithms for Unmanned Aerial Vehicles (UAV).Things to Consider1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. The opportunity for upward mobility at Vayu is tremendous, but only if youre willing to put forth the effort.ResponsibilitiesResponsible for prototype of advanced flight control algorithms in a software simulation environment and implements them in an embedded airborne flight control system. This person must be proficient at debugging and solving problems with closed-loop feedback control systems including control issues with servo-actuated aerodynamic surfaces, digitally implemented control laws, inertial measurement units (IMU), GPS, air-data measurement systems, and various propulsion systems. Must be knowledgeable in the control algorithms used for control of Fixed wing and rotor crafts and implement them into novel systems. Should also be proficient at mathematical modeling of dynamic systems in software environments.Individual will also define flight test scenarios and conduct pre-flight range safety analysis for flight test of autonomous air vehicles. Conduct post-flight analysis and diagnoses of in-flight anomalies. Conduct robustness analysis of flight control loops, using classical and modern control margin techniques. Work on integrated, multidisciplinary project teams to establish technical requirements, schedules for delivery, and priorities toward execution on a daily basis.","Qualifications:Bachelors or Masters degree in Computer Science, Mechanical, Electrical, or Aerospace Engineering.Preferred experience with airborne flight control systems, or related technological field.  Experience with embedded development is a plus.Provision of Certificates of Good Conduct","Selected candidate will be offered an excellent base salary commensurate with experience, along with competitive equity stake.",0,1,1,Full-time,Associate,Bachelor's Degree,Aviation & Aerospace,Engineering,0
6209,Web Designer,"US, CA, Santa Monica",Studio,,"Were always looking for highly motivated “founder-types” to join us as we grow. Heres what you need to know.Our Core Values:Every member of our team brings something unique to the table, but we share the same values:Use your intelligence without arroganceTake initiative, and execute tenaciouslyPut the teams needs above your ownRespect customers, partners, investors, and co-workersFocus on results, but never compromise on qualityLive to learn, and share what you discoverBe honest, be transparent, and be goodNever, ever give up!Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort.Culture and Benefits:Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:Full medical, dental, and chiropractic coverage  even acupuncture.Daily company lunches catered in  plus snacks and drinks for days!Frequent team bonding events and company outings (including the occasional beach day!)Unlimited paid vacation time  because we trust you to hold yourself accountable.Gym membership with access to facilities all over LA.Flexible work hours  we know 9am isnt for everyone.Stock options  if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.A referral bonus program.Last, but definitely not least  dogs in the office! Bring your own furry friend, or feel free to cuddle with one of the The Mobile Majority pups.","Were hiring a talented full time in-house web developer to join our team at The Mobile Majority.Responsibilities include:Developing stunning web applications by writing pixel perfect code.Efficiently communicating current tasks, issues, and recommendations on front end development tasks.Effectively gathering user input and bug reports an push updates accordingly.The Mobile Majority is a rapidly growing ad tech startup based in Santa Monica, CA, with offices in New York City, San Francisco, Chicago, Sao Paolo and Ho Chi Min City. We have been honored as the 'Best Creative Technology' by the American Association of Advertising Agencies and won 'Best Series A' By the Los Angeles Venture Association. We have raised $21M, and do business with some of the biggest brands in the world (Chase Bank, Unilever, Paramount, Warner Brothers, State Farm Insurance, Hersheys, Clorox and Time Warner Cable, to name a few).The Mobile Majority has a culture of engineering focused, data-driven decision-making, and demands business intelligence that is timely, accurate, and actionable.  As a key member of a small and versatile team, you will be responsible to design, test, deploy and maintain software solutions.","Our ideal candidate should possess the following skills and/or traits:Proficient in HTML5, CSS 3, and JavaScript.Familiarity with Adobe CS5, Photoshop, and/or IllustratorSome degree of knowledge or familiarity of UI / UX principles.In addition, it would be nice (but not required) to have someone experienced with any of the following:AngularJS, NodeJS, SCSS, Grunt, Ruby on Rails, PostgresSQL, Image Maintenance, WordPress, Wireframe, Objective-C, Java, MRAID Standard, or VAST","Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:•    Full medical, dental, and chiropractic coverage - even acupuncture.•    Daily company lunches catered in - and snacks for days!•    Unlimited paid vacation time - because we trust you to hold yourself accountable•    Gym membership with access to facilities all over LA (and the occasional company hike!)•    Stock options - if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.",0,1,1,Full-time,Associate,,,Design,0
14591,Luxury fragrance consultants needed for Xmas!,"GB, , Belfast",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury fragrance consultants needed for immediate start!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary & permanent beauty, fragrance, fashion & retail staff. We are recruiting now for beauty & fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care & perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to marie@#URL_2cf2adf737014de8b49f0776d9c8caa1a359f0bf42fa9910bb760d5475a2f1b9#",,,0,1,0,,,,,,0
8783,Network Engineer,"US, TX, Dallas",Field Services,,"At McLane Intel, we believe that everyone should love where they work! We understand that our ongoing success is attributed to our remarkable staff. We are a company that promotes career growth, ongoing learning, and professional development for all of our employees.We have a wide range of positions throughout the company and accept and support a broad array of applicants—from recent graduates to seasoned professionals. If you are willing to follow our company values, enjoy learning new skills, and plan to succeed in your career—we want you to apply.Here are a few things we offer that others often dont:Autonomy  We offer a management team that treats their employees with respect and values their input, along with a team of skilled technicians that put their teammates above themselves.Mastery & Growth  We promote from within and work each one of our technicians to help them get certifications and rise in the tiers experience.Purpose  We have a mission and a culture that every employee is strongly invested in working towards because we hand-picked people that love what they do. Its the difference between people in a row boat rowing as they see fit, and people rowing the same direction.Benefits  Our benefits are some of the best around. We have awesome health insurance and a great PTO policy. We have an Awesome Teammate Bonus, where employees nominate other employees weekly. Each full-time employee has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.A bit more about what we do:McLane Intelligent Solutions (MIS) is fast-growing and dynamic managed services provider located in Bell county in Central Texas. We provide a broad range of outsourced IT including design, installation, support, maintenance and consulting services to the SMB market. We have a rising need for smart, talented, hard-working, and capable team members who love challenges, want to know they are making a difference every day, and want to join a fast-paced, demanding and fun team of professionals.","Do you enjoy building computers or servers? Do your friends and family constantly ask you to help them with technical problems?  Are you looking to work with Networks, workstations and servers?  Then look no further. We want you on our team!  This is your opportunity to work with other people who are passionate about technology, love to work together and are excited about a challenge.What do we do?McLane Intelligent Solutions (MIS) is a fast-growing and managed services provider located in Central Texas. We provide a broad range of outsourced IT services including design, installation, support, maintenance and consulting to the Small/Medium Business market.Why do you want to join us?Benefits - We have awesome health insurance and a great PTO policy. We provide a weekly Awesome Teammate Bonus, where employees nominate other employees for exceptional work. Each full-time employee also has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.Growth - We work with each technician to help them become certified and advance in their knowledge of the field. We also promote from within because we believe in building our employees to be our future leaders.Extraordinary People and Culture - We pride ourselves on having great communication within our company. Each employee meets with their supervisor regularly to discuss their individual development and we have a company-wide weekly meeting to go over our companys progress.Values  Our company has five values that drive how we do business: Integrity, Service above Self, Work with Rigor at Work, Authentic Conversation, and Enjoy and be a Joy.Job Responsibilities:Work with our corporate office to install hardware and software systems at our client locations.Analyze, troubleshoot, and repair the equipment at our client locations.Provides technical support and guidance to users.Trains employees at the client location to use newly installed equipment.Tracking time and expenses in detail and clearly describing activities in written form that is presentable both to management and to the client.","Associates degree in Computer Science or Management Information Systems, or similar discipline. Education Requirements can be offset by work experience.2 years experience in providing technical support.Ability to install and troubleshoot routers, switches, printers, workstations, and peripheral equipment with the guidance of our corporate office.Excellent Communication Skills.Ability to travel on a daily basis with the use of your own automobile (mileage reimbursed).","Paid VacationAmazing Health, Dental, Vision, and prescription insurance Short-term and long-term disability benefits 401K Flexible Spending Accounts Company provided Life Insurance",0,1,1,Full-time,Associate,Associate Degree,Information Technology and Services,Information Technology,0
10885,PR8 1NY Recruitment Apprenticeship Available Under NAS 16-18 year olds only!,"GB, , Preston",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",This is fantastic opportunity for someone wanting to start their career in Recruitment. During the first 12 months you will work towards a Level 2 Recruitment NVQ and then be kept on in a permanent position. You will be working for a Recruitment company and the role will involve: -Answering the telephone-Chasing paperwork from candidates and companies-Researching and resourcing companies-Making outbound phone calls to possible new clients Ideal candidates will be computer literate and have excellent communication skills. If you are honest and hard working send your CV to #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee# ,Government funding is only available for 16-18 year olds as this job is an apprenticeship,Future Prospects.,0,1,0,,Not Applicable,High School or equivalent,,Administrative,0
16302,"Developer, iOS","US, , New York",,,"ustwo offers you the opportunity to be yourself, whilst delivering the best work on the planet for some of the biggest and most innovative brands. A culture thriving on collaboration underpins what is an amazing work smart/ live well environment.We genuinely care about the work that we deliver and the people who help make it all possible. We only invest in projects, people and practices that we believe in, to ensure we remain excited about every opportunity. ","As an ustwo iOS Developer youll be working within cross-functional team of designers, developers and testers. Youll be working on a variety of projects for a wide range of clients, as well as our own products and services. Our priorities are collaboration, insane quality and a 'get stuff done' attitude, but not forgetting to have fun along the way. This allows us to deliver beautiful products and create world-class user experiences together as one team. We offer you the opportunity to be yourself, whilst delivering the best work on the planet for some of the biggest and most innovative brands. Bring your passion to work and help us make a difference!","Skills• Experience of Objective-C, development for iOS and Xcode • Experience of development best practices, software principles and code design concepts • Knowledge of common design patterns, frameworks and libraries for iOS • A passion for code quality and craftsmanship • Experience of working with integration of 3rd APIs • Interest in UI development • Working in an Agile team using Git / DVCS • Some practical experience with TDD and / or BDD, for iOS or other platforms would be nice • Some experience with API design and Ruby • Experienced / interested in functional programming • Active Github account / open source contributions • Experience of additional platforms, such as Android or mobile webResponsibilities• Working on both client and internal projects • Producing neat, readable and well documented code • Contributing code to help extend and help improve common / open source libraries • Organising your work within an agile team • Working closely with designers and testers • Collaborating with other developers on pushing quality and our craft at ustwo",,0,1,0,Full-time,,,,,0
17203,Java Developer,"US, DC, Washington",,95000-105000,,"Our client is looking for qualified candidates that can perform the job description below:-          Design, build, and unit test Java Web Applications-          Design, build, and unit test Java Web Services-          Design, build, and unit test Java Batch Applications-          Active participation in technical approach, solutions, and design-          Active participation in the quality process such as code reviews and code standards Required Qualifications:-          Minimum of one to two years development in Java-          Experience developing Java Web Applications  Spring MVC, HTML-          Experience developing Java Batch Applications  JDBC, PL/SQL  Preferred Qualifications:-          Spring Batch-          Oracle 11g-          Performance Tuning-          Agile (Scrum)-          Automated Testing (Selenium, JUnit) Must be a US citizen or Green Card holder with the ability to pass a public trust clearance.","Required Qualifications:-          Minimum of one to two years development in Java-          Experience developing Java Web Applications  Spring MVC, HTML-          Experience developing Java Batch Applications  JDBC, PL/SQL",,0,0,0,Contract,Associate,Bachelor's Degree,Computer Software,Information Technology,0
3651,Registered Nurse (RN) ,"US, , ",,,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#","Title: Registered Nurse (RN)Duration: Full-TimeCompensation: Market PriceLocation: RemoteRegistered Nurse Job Purpose: Promotes and restores patients' health by completing the nursing process; collaborating with physicians and multidisciplinary team members; providing physical and psychological support to patients, friends, and families; supervising assigned team members. Registered Nurse Job Duties:Identifies patient care requirements by establishing personal rapport with potential and actual patients and other persons in a position to understand care requirements.Establishes a compassionate environment by providing emotional, psychological, and spiritual support to patients, friends, and families.Promotes patient's independence by establishing patient care goals; teaching patient, friends, and family to understand condition, medications, and self-care skills; answering questions.Assures quality of care by adhering to standards; following hospital and nursing division's philosophies and standards of care set by state board of nursing, state nurse practice act, and other governing agency regulations.Resolves patient problems and needs by utilizing multidisciplinary team strategies.Maintains safe and clean working environment by complying with procedures, rules, and regulations; calling for assistance from health care support personnel.Documents patient care services by charting in patient and department records.Maintains continuity among nursing teams by documenting and communicating actions, irregularities, and continuing needs.Maintains patient confidence and protects operations by keeping information confidentialEnsures operation of equipment by completing preventive maintenance requirementsMaintains nursing supplies inventory by checking stock to determine inventory level.Maintains professional and technical knowledge by attending educational workshops; reviewing professional publications; establishing personal networks; participating in professional societies.Maintains a cooperative relationship among health care teams by communicating information; responding to requests; building rapport; participating in team problem-solving methods.Contributes to team effort by accomplishing related results as needed. ","Skills/Qualifications: Clinical Skills, Bedside Manner, Infection Control, Nursing Skills, Physiological Knowledge, Administering Medication, Medical Teamwork, Multi-tasking, Listening, Verbal Communication, Health Promotion and Maintenance",Benefits Offered,1,1,1,Full-time,Mid-Senior level,Unspecified,"Health, Wellness and Fitness",Health Care Provider,0
16830,Java Developer ,"US, FL, Orlando",,80000-110000,,"Our client is seeking a Java Developer who creates user information solutions by developing, implementing, and maintaining Java based components and interfaces.","Java Developer Job Duties:Defines site objectives by analyzing user requirements; envisioning system features and functionality.Designs and develops user interfaces to internet/intranet applications by setting expectations and features priorities throughout development life cycle; determining design methodologies and tool sets; completing programming using languages and software products; designing and conducting tests.Recommends system solutions by comparing advantages and disadvantages of custom development and purchase alternatives.Integrates applications by designing database architecture and server scripting; studying and establishing connectivity with network systems, search engines, and information servers.Creates multimedia applications by using authoring tools.Completes applications development by coordinating requirements, schedules, and activities; contributing to team meetings; troubleshooting development and production problems across multiple environments and operating platforms.Supports users by developing documentation and assistance tools.Updates job knowledge by researching new internet/intranet technologies and software products; participating in educational opportunities; reading professional publications; maintaining personal networks; participating in professional organizations.Enhances organization reputation by accepting ownership for accomplishing new and different requests; exploring opportunities to add value to job accomplishments.Skills/Qualifications: Java, Java Applets, Web Programming Skills, Teamwork, Verbal Communication, Web User Interface Design, Software Requirements, Software Development Process, Object-Oriented Design (OOD), Multimedia Content Development, Software Debugging",,0,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
1056,Customer Service Associate ,"US, NJ, Princeton",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Princeton, NJ. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Copy, print, scanning and fulfillment of tasks upon client requestAssist with the setup of conference roomsAnswer and direct customer calls in a timely and professional mannerLift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping & ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 2 years of customer service related experience requiredHigh school diploma or equivalent (GED) requiredExperience production copy, imaging, and print projectsPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 40 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Legal Services,Customer Service,0
14289,Senior Front End Developer,"AR, , ",,,"WillDom is a top notch IT Outsourcing Business Ecosystem, where each member contributes in creating world class value. With offices in Silicon Valley, Buenos Aires and Bolivia we work with the most demanding clients in the US, Europe and Latin America.","1.        Job Type: Full Time 2.        Job Location: Av. Santa Fe 2459, Buenos Aires, Argentina or Remote3.        About the ClientOur Clinet, a San Francisco-based healthcare technology company with a decade-long track record in Californias dynamic healthcare market, recently completed a funding round with one of the preeminent players in U.S. healthcare. The company is now expanding its team to enable the extension of its innovative technology on a national basis.Healthcare represents approximately 18 percent of the United States GDP, and costs are projected to continue to grow at a multiple higher than inflation. U.S. healthcare is highly inefficient due to its fragmentation and complexity. Our Client's technology is squarely focused upon addressing these obstacles. Candidates will have the opportunity to transform one of the most challenging sectors within the U.S. economy.Our Client provides healthcare providers and payers bridge technology enabling collaborative performance reporting, utilization management, and integrated healthcare management.4.        Defining Your SuccessOur Client's market strategy is based upon a vision for dramatic transformation of the way healthcare is provided to patients. This vision is based upon a decade-long incubation of the technology, which today serves over 2.5 million patients; more than 88,000 users; and hundreds of medical groups, independent physician associations, and hospital clients.  The Senior UI Engineer leads the UI implementation effort on a variety of projects in a highly collaborative, fast-paced environment.They contribute to the design and lead the implementation of innovative solutions to real market problems. They will work closely with Software System Architects, product and marketing managers, user interaction designers, and other software developers to develop new product offerings and improve existing ones.We are looking for someone who is very strong in UI Web development and has years of experience developing highly responsive web applications.They act as a the primary subject matter expert in the use of Software Patterns for User Interfaces and will also contributed to Enterprise Architecture, Cloud, Workflow or whatever the solution requires.","5.        Skills and RequirementsAbility to adapt quickly to an existing, complex environmentProblem-solving, being able to evaluate the current state, identify the path to solution, and develop and execute a plan to achieve successHighly-effective communication skillsSolution-oriented history and experiencesCandidate should be a self-motivated, independent, detail oriented, responsible team-player and exhibit exceptional relationship management skillsExperience with latest web technologies and development methodsExpert-level skills of HTML, CSS, and JavaScriptExposure to Telerik UI toolset and Mobile development experience is a plus.Additional Desirable Skills:Experience in a variety of health care systems and applicationsMCPD Web or similar certification6.        Roles / ResponsibilitiesSenior UI Engineer takes the responsibility to design and code highly responsible and intuitive web applications using latest web technologies.The Senior UI Engineer understands the defined software architecture based on the requirements and design elements contained in a system specification and is able to create code according to best practices from established patterns or create new patterns as needed.They analyze risk and report problems in meeting system requirements.They provide supporting information to the Architect to aid in the creation of a system specification.Senior UI Engineer will work closely with UX Designers and Architects to lay the UI foundation and define patterns, practices and standards for other UI developers to followThey assist software designers/implementers with the creation of detailed software design specifications.They perform software version control and follow the standards for the periodic build schedule.They participate in the system specification review process to ensure system requirements can be translated into valid software architecture.They comply with all applicable product development processes.They work with visual designers to improve and refine product visual design and consistency.They interface with the design and implementation engineers and architects, so that any problems arising during design or implementation can be resolved in accordance with the fundamental design concepts, and user needs and constraints.They generate a set of acceptance test requirements, together with the designers, test engineers, and the user, which determine that all of the high-level requirements have been met, especially for the computer-human-interface.They respond quickly and professionally to escalated defectsAnalyze system specifications and translate system requirements to task specifications for junior programmers.Responsible for analysis of current programs including performance, diagnosis and troubleshooting of problem programs, and designing solutions to problematic programming.Tests new programs to ensure that logic and syntax are correct, and that program results are accurate; assists lower-level programmers with programming assignments.Document code consistently throughout the development process by listing a description of the program, special instructions, and any changes made in database tables on procedural, modular and database level.Protects operations by keeping information confidential and follows HIPAA, HITECH and other standards for information security in health care environments.Note: Position reports to CTO6.        Qualifications / EducationBachelors Degree in Computer Science, Electrical Engineering or equivalent5 10 years  Web development with at least 4 years developing #URL_c5591c960537d84ff7889f414633cdbc8e7d36f3fe042a096d374e4e058388d5# web applications using MVC and C#1  2 years Microsoft SQL Server development experience in SQL 2008, SQL 20122  4 years experience working in an Agile Scrum environmentRequired experience with HTML 5, CSS 3, Javascript, JSON, AJAX and RESTful web servicesExperience with Kendo UI, KnockoutJS, Bootstrap, jQuery, AngularJS, #URL_24af608067894e12e6fea7409bc304eab8b081f9a997620340783e8eb5641189#, LESS Underscore, Extjs, Oops Javascript, Dojo, Ember, YUI, CanJs, and #URL_83ae520386ded6258d877a9fff0b0a63b8809ec57eb94d5aa6ae885521c53c5e# is highly desiredMobile development experience (Android, iOS, Windows Phone 8) with hybrid tools Hybrid Tools (Worklight, Titanium, Phonegap, etc.) highly desiredExperience developing software following an MVC pattern required, MVVM desired as wellExperience with any Javascript unit testing and documentation framework.Advanced understanding of front-end optimization techniques and cross-browser compatibilityExperience developing web applications in SaaS/cloud environments with design patterns including responsive design, multi-tenancy, high availability application services, CDN and multi-zone database serversSolid understanding of object-oriented programming (OOP)",,0,1,0,,,,,,0
3699,Opportunity in Dubai,"AE, DU, Dubai",,540000-720000,"Doctors in Dubai offers the complete solution for all doctors outside the UAE wishing to work in the UAE. We have been established to fill a professional gap in the market. Hundreds of medical professionals, whether you are a doctor, dentist, nurse or other medical professional, wishing to work in Dubai have found themselves spending hours searching various sites and posting numerous blogs to find the information they need. With Doctors in Dubai, we have the answers to all your questions in preparation to working in the UAE. From assisting you in the registration process, passing your exams, and then securing your future in the UAE, Doctors in Dubai will take away the lengthy burden of the registration process for all medical professions and assist you in passing the exams. With our various affordable packages, our processes are straightforward and can suit every budget.Once you arrive in the UAE, the main purpose is to enjoy the tax free earning the country offers. With a whole range of ways to save money, we can guide you to the financial experts to help secure your future, and save in the most cost effective way, whilst still enjoying the lifestyle you work for","A reputable group, delivering measurable quality clinical outcomes. Continuing to grow as a successful international healthcare group; •Must have successfully completed a qualification of Bachelor of Science of Medicine from a reputable Medical school. • Provides Dermatology care to assigned patients according to current, best evidence-based standards and in accordance to the medical facilitys policy and regulations.Doctors in Dubai is representing a reputable Healthcare Organisation in Abu Dhabi  and Dubai who is looking for a Specialist Dermatologist to join their Team in Dubai.Selection criteria will be based on the licensing guidelines of the Health Authority-AbuDhabi (HAAD) and Dubai Healt Authority (DHA)Appropriate experience and competence in all aspects of Dermatology.Publications in peer reviewed journals are an added advantage.Excellent interpersonal and communication skills.Must be computer literate.Strong awareness of medical malpractice protocols.Flexible, proactive team player.Flexibility and ability to cope with a changing environment.",Evaluates patients and records clinical findings and plans of care.Observes the facilitys medical referral system whenever required; collaborates with other members of the health and administration team to ensure patient safety and quality treatment.Participates in the review and planning activities relevant to Dermatology services offered by the facility.Participates in the professional development and educational activities for the medical staff.Participates in the conduct of clinical audits as per the clinical governance policy of the facility.,"Between 35,000AED to 45,000AED per month. OTE approx AED60,000 per monthIncentives structureMedicalOne flight back home per yearMalpractice Insurance ",0,0,1,Full-time,Mid-Senior level,Master's Degree,Hospital & Health Care,Other,0
2349,Affiliate Manager,"US, FL, Boca Raton",,30000-45000,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#"," Title:                                      Affiliate ManagerType:                                     Permanent, FTCompensation:                 Base + CommissionLocation:                              Boca Raton, FLThe Affiliate Manager will support company affiliates and manage the overall affiliate program. Our Affiliate Program offers incentives and rewards, such as commission payment, to individuals who refer customers to the products or services offered by the owner of the program.  The affiliate manager will aim to increase business exposure through several resources that are not employed directly by the company. The affiliate manager's duties include finding new affiliates, managing existing relationships, and developing marketing activities to attract new affiliates. Training affiliates and working to improve the program are also critical competencies of the affiliate manager job function. Primary functions include:Manage & oversee affiliate accounts and internet marketing campaignsMaintained optimization and development of secure client relationshipsEnsured client retention by delivering a tailored service to meet merchants needs and expectationsMonitored affiliate practices to uphold company complianceReport any inappropriate affiliate website contentMonitor affiliate activity, analyze performance, identify areas of improvement, and recommend ways to increase affiliate-generated revenues.Maintain high level of communication with affiliates, recommend new campaigns for affiliates and provide performance feedback to advertiser teamSupply creative packages, links and reporting to affiliates as neededCommunicate and monitor compliance or brand guidelines in affiliate channelProactively monitor traffic sources on all campaignsRecruit new affiliates through research, referrals and other avenuesSet and manage monthly, quarterly and annual goals for revenue growthManage top tier accounts and develop affiliate contact management strategyTeach and mentor junior level team members on affiliate marketing  ",Qualifications:Minimum 2-4 years experience managing affiliate marketing programs/accountsBachelors Degree ,Full Benefits Offered,0,1,1,Full-time,Associate,Associate Degree,Marketing and Advertising,Sales,0
7406,Software Engineer,"US, OH, Cincinnati",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Job Title: Sr. Software Engineer - C HTML .NET PHP Java - Detroit, MIJob Location: Job is in Detroit, MIA Sr. Software Engineer is required having 5+ years of programming or related experience in one or more of the following: Cocoa, Objective C, HTML, .NET, PHP, Java, or Progress.Job Requirements:Experience leading small project teamsExperience mentoring othersUndergraduate degree in Computer Science or equivalent relevant experienceGraduate degree experience preferredJob Responsibilities:Effective in fostering a collaborative environmentEffective working with or without complete business requirements or specificationsExpert knowledge of software development lifecycle processes and concepts like Agile.Exceptional verbal and written communication skillsThis role will also require periodic on call dutiesVisit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Computer Software,,0
6615,Part-Time Receptionist,"US, CA, Santa Clara",,,"VeriPic is a leading supplier of enterprise photo and evidence management software to Law Enforcement Agencies, Military, Medical Institutions and Corporate customers. Our products set the bar on how digital evidence is handled. Every year, our products handle evidence in thousands of criminal cases throughout the country. VeriPic builds systems from single user systems up to multi-city, server cluster installations serving an entire agency with locations that are geographically distributed. VeriPic was also honored in October 2010 with the Cygnus Law Enforcement Group's Innovation Award's at the 2010 International Association of Chiefs of Police conference as the best Law Enforcement Software product across all categories of software products. Visit #URL_edfc715b7ae02f67902345d98b253fe06d2f3f73e7d5955e6b9cf0fcf12841aa# to see what we do. Visit VeriPic Youtube ChannelVisit #URL_52c30d8ba6deffcf77d6260406f818ee8fbd37379f60f56baf55b780ce859119#-#URL_08c993e8fdb735ddbba072a268b911588edf5256edf497ac1faa5a98e7551ae6# to get a copy of a car accident report. Like us on at #URL_7069c91c3f60479f7cbe42d2f1688c62638969f23b6d1ecce39227c2e3033fc6# or follow us on Twitter #VeriPic"," Part-Time ReceptionistThe receptionist will serve visitors by greeting, welcoming and directing them appropriately; along with notifying company personnel of visitor arrival. In addition to the basic duties the receptionists main priority will be on Data Entry.Responsibilities:Primarily focus on Data EntryWelcome visitors by greeting them, in person or on the telephone; answering or referring inquiriesMaintains security by following procedures; monitoring logbook; issuing visitor badgesMaintains safe and clean reception area by complying with procedures, rules and regulations  About VeriPic®: Become a part of a company that is changing the way government agencies across the world use technology to manage and secure their confidential data. VeriPic is a leading supplier of enterprise software and hardware solutions to police and government agencies. We offer a suite of products to streamline the workflow and the process of managing digital and physical evidence. Visit #URL_765f0906d1161fb3c4fc9e887768264e32413893ad3cc7c551461e6039fc3b2a# or #URL_b14e718a8c5a0115a42adfc523ff373a25da98b976129f1ae795f14b8f812b06# for more information on what we do. VeriPic is the winner of the 2010 Cygnus Innovation Award for being the best software used by Law Enforcement Agencies. The VeriPic Digital Photo Lab was chosen “Best in Class” among several thousand software products in this category. To read more visit: #URL_2c48d3af01037bd2c7bbf5d78756c9c4d9f85369d8b69ba410595bce0267ff4b#.  ","(US Citizenship is required for this position. Our product is a security product and Federal Law requires that only US Citizens come in contact with our products. All candidates must pass a background check. VeriPic is a drug free, smoke free work environment.)Job Requirements:4 year degree or in progress of obtaining a bachelorsAvailable for Tuesday / Thursday from 8am -5pmTelephone skills, Verbal Communication and Microsoft Office Skills","Job Benefits: VeriPician Benefits: We like to have fun at work too. We have company social events, such as comedy nights, new product release parties, company picnics and more! We believe that a company is only as happy as its employees and we make every effort to ensure that our employees feel valued. This position is based out of our corporate headquarters in the heart of Silicon Valley - Santa Clara, CA. If you are looking for a change of scenery, Santa Clara is only 1 hour from the beach and 4 hours from the ski slopes, with great weather year round!",0,1,1,Part-time,Entry level,Bachelor's Degree,Law Enforcement,Administrative,0
903,Junior Web / UI Designer,"GR, , ",,,"An independent award winning, ideas-driven, media neutral agency that helps its clients realign, redirect and rejoice their brands in the fast-forward era.   At re|direct we like to create stuff that people love to talk about. And we will do whatever it takes: from advertising and mobile marketing to digital signage and social media. And from art direction and branding to fully immersive integrated experiences.   Starting from strategic insights, we develop ideas that with the clever use of technology- deliver remarkable, entertaining experiences that drive brand awareness and growth.Clients that have trusted us:Wind, Opel, McArthurGlen, Athenian Brewery, Nestlé, L'Oreal Paris, Garnier, MaybellineTo learn more about us, please feel free to visit #URL_e6c1278bbb28122efa6bf9c0db2327b5f850afe8fda520830e090ab64adc1072#","We are seeking canditates who will be exposed to a growing roster of high-end clients; assisting the design team in making custom assets, revisions and more. You will report directly to a senior member of the design team, and meet with the Creative Director for your assisting requirements. Our agency values collaborative teamwork, and youll benefit from exposure to cutting-edge technology, analytics and marketing strategy in addition to your design experience. You will be responsible for assisting the design team in a variety of design tasks ranging from group concepting to designing social applications and web sites.Youll serve as an assisting member. You will:Assist in creating custom designs for concept mood boards and social applicationsDesign layouts for websites and mobile wireframesAssist with any necessary design updates for websites and social contentWork with the creative teams to perform user tests and concept brainstorming","Pursuing a degree in either Graphic Design, Design, Fine Arts or related field at an accredited universityWorking knowledge of Photoshop and IllustratorInvolved in social media and aware of digital trendsPortfolio of recent classwork or experienceHigh energy, self-motivated team player.Detail-oriented and organized",,0,1,1,Full-time,Entry level,Some College Coursework Completed,Internet,Advertising,0
1794, Web - Facebook developers,"GR, I, ",Digital ,,"H HAVAS WW είναι ένα από τα μεγαλύτερα διαφημιστικά δίκτυα στον κόσμο, με 316 γραφεία σε 75 χώρες με 11.000 υπαλλήλους και με ένα ευρύ και διεθνές πελατολόγιο (Air France, BNP Paribas, Citigroup, Danone Group, IBM, Kraft Foods, Lacoste, Merck, Pernod Ricard, PSA Peugeot Citroën, Reckitt Benckiser, Sanofi, και Volvo). To παγκόσμιο δίκτυο της HAVAS καλύπτει ολοκληρωμένα όλες τις διαφημιστικές ανάγκες των πελατών της με λύσεις για εταιρική επικοινωνία, digital, social media.  H HAVAS WW είναι τo πρώτο δίκτυο που κέρδισε τον τίτλο Global Agency of the Year απο τους καταξιωμενους διαφημιστικούς οργανισμούς Advertising Age και Campaign.   ","Η Havas Worldwide Digital Athens, θυγατρική της Havas WW (Euronext Paris SA: #URL_aa26da3b78ed34e34cc2a4306bb862a8d9ad612ae422e65a5d9841ff7dcc395a#) ζητά να προσλάβει νέους/ές ταλαντούχους Web - Facebook developers για την θυγατρική της εταιρία στην Αθήνα. H HAVAS WW είναι ένα από τα μεγαλύτερα διαφημιστικά δίκτυα στον κόσμο, με 316 γραφεία σε 75 χώρες με 11.000 υπαλλήλους και με ένα ευρύ και διεθνές πελατολόγιο (Air France, BNP Paribas, Citigroup, Danone Group, IBM, Kraft Foods, Lacoste, Merck, Pernod Ricard, PSA Peugeot Citroën, Reckitt Benckiser, Sanofi, και Volvo). To παγκόσμιο δίκτυο της HAVAS καλύπτει ολοκληρωμένα όλες τις διαφημιστικές ανάγκες των πελατών της με λύσεις για εταιρική επικοινωνία, digital, social media.  H HAVAS WW είναι τo πρώτο δίκτυο που κέρδισε τον τίτλο Global Agency of the Year απο τους καταξιωμενους διαφημιστικούς οργανισμούς Advertising Age και Campaign.   "," Web - Facebook developersΑπαιτούμενα προσόντα: PHPMySQLHTML / CSSJavascriptΑπαραίτητη  γνώση κατασκευής εφαρμογών Facebook Πρόσθετα προσόντα:Τουλάχιστον 2 χρόνια προϋπηρεσίαLinux administration / bash scriptsjQueryApache / nginx / memcachedHTML/CSS, HTML5 and CSS3", Πλήρη απασχόλησηΑσφάλισηΦιλικό και ευχάριστο περιβάλλον,0,0,1,,,,,,0
9763,Chief Operating Officer--TX/OK (north of Dallas)  (For-Profit Hospital Exp.Required),"US, TX, Dallas",,,,"Technologically advanced general-acute-care hospital in attractive, affordable and family-oriented Oklahoma/Texas community.This quality-oriented, for-profit hospital features very modern setting. Company is high-caliber and very financially successful and stable with a major national network of successful general acute care hospitals and many years of ongoing growth.The company brings exceptional service, leading-edge technology and effective cost control coupled with a philosophy based on integrity, competence and compassion.","RequirementsFive years of hospital management/operations experience with a minimum of two to three years as a senior level manager is required.Bachelors degree required, Masters degree required in Business, Health Administration or other closely related fieldCurrent employment in a general-acute-care hospital strongly preferred.","Compensation PackagePackages commensurate with experience and a strong benefits program including medical, dental, and life insurances, 401(k) retirement plan.",0,0,0,Full-time,Executive,Master's Degree,Hospital & Health Care,Administrative,0
14383,Sales Rep for AT&T Solutions Provider - Management Training,"US, TX, San Antonio",AFS14,45000-67000,"Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality & numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.To setup an interview, call our recruiting department Monday-Friday from 9am-5pm @ (361) 400-2907","Interviewing now for full-time positions in NW San Antonio TX. No experience required.We are Argenta Field Solutions, a rapidly growing Fortune 500 partner, which specializes in direct sales for industry leading companies nationwide; AT&T, DirecTV, ADT. Argenta Field Solutions is an award-winning and authorized AT&T Solutions Provider.Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality & numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.Direct Sales Rep positions now available on our AT&T U-verse sales team. We provide all the tools and training to be highly successful and offer opportunity for career minded, top performers to step up into leadership roles. Positions- Sales Rep w/Management Training- Assistant Manager- Market Manager Compensation- $45k-$67k per year (entry level)  - Weekly pay - Direct deposit  - Bonuses (daily, weekly & monthly)","- Sales experience preferred- Ability to work in high energy, team environment- Goal/Career Oriented- Strong work ethic - Professional integrity - Pre-employment screening","- AFLAC- Health Insurance (Management) - Training (Initial & Ongoing)- Vacation & sick time- Paid travelSchedule an interview by contacting Craig @ (361) 400-2907(This is not a telemarketing or call center position)To learn more about Argenta Field Solutions, visit our website @ #URL_1d9e75fb4100e4ecdc0d72a1205f398abb97bf07f3018745f8fbcab82dc07ef3#  Argenta Field Solutions - Locations Currently Hiring711 N Carancahua St, Suite 1750, Corpus Christi TX 7840115600 San Pedro Ave, Suite 105, San Antonio TX 782323463 Magic Dr, Suite 252, San Antonio TX 782292855 Mangum Rd, Suite 417, Houston TX 770921100 E Nasa Pkwy, Suite 410, Houston TX 770589896 Bissonnet St, Suite 454, Houston TX 770362201 N Collins St, Suite 252, Arlington TX 760114101 McEwen, Suite 530, Dallas TX 75244222 E Van Buren, Suite 511, Harlingen TX 785501001 S 10th St, Suite 201, McAllen TX 785041111 Park Centre Blvd, Suite 207, Miami FL 33169   keywords: sales, outside, direct, commission, cable, security, alarm, security, internet, tv, directv, dish, satellite, assistant manager, manager, customer service, csa, salesman, salesmen, career, job, door, field, waiter, waitress, bartender, server, d2d",0,1,0,Full-time,,,Consumer Services,Sales,0
6972,Customer Service Associate - Part Time ,"US, CA, Los Angeles",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Los Angeles, CA. The right candidate will be an integral part of our talented team, supporting our continued growth.ESSENTIAL DUTIES AND RESPONSIBILITIES:Perform any and all duties as assigned by management to include: reprographics services, fax services and messenger services.Maintain highest levels of customer care while demonstrating a friendly and cooperative attitude at all times.Demonstrate flexibility in satisfying customer demands in a high volume, production environment.Know, understand and adhere to business procedure guidelines at all times.Take direction from supervisor or site manager as required.Participate in cross training of responsibilities as appropriate.Maintain all logs and reporting documentation as required.Understand and adhere to all safety procedures.Must be able to meet production goalsMaintain a clean, organized work placeAble to adjust working hours due to changing business requirementsAble to work at alternate site locations on a temporary or permanent basis due to changing business requirementsOther duties may be assigned as determined by the Team Leader/SDM","QUALIFICATIONS:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredExcellent customer service background                          Demonstrated communication skills both oral and writtenIntermediate computer skillsMust be self-motivated and capable of working in a high-pressured environmentMust be able to read, write, and comprehend job instructionsMust be able to clearly communicate and respond to questions from management, clients, and the general publicSignificant walking and standing for long periods of time, able to lift up to 50 pounds",,0,1,0,Part-time,Entry level,High School or equivalent,Financial Services,Administrative,0
14329,Advanced Network Administrator,"US, TX, Temple",IT Services,40000-70000,"At McLane Intel, we believe that everyone should love where they work! We understand that our ongoing success is attributed to our remarkable staff. We are a company that promotes career growth, ongoing learning, and professional development for all of our employees.We have a wide range of positions throughout the company and accept and support a broad array of applicants—from recent graduates to seasoned professionals. If you are willing to follow our company values, enjoy learning new skills, and plan to succeed in your career—we want you to apply.Here are a few things we offer that others often dont:Autonomy  We offer a management team that treats their employees with respect and values their input, along with a team of skilled technicians that put their teammates above themselves.Mastery & Growth  We promote from within and work each one of our technicians to help them get certifications and rise in the tiers experience.Purpose  We have a mission and a culture that every employee is strongly invested in working towards because we hand-picked people that love what they do. Its the difference between people in a row boat rowing as they see fit, and people rowing the same direction.Benefits  Our benefits are some of the best around. We have awesome health insurance and a great PTO policy. We have an Awesome Teammate Bonus, where employees nominate other employees weekly. Each full-time employee has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.A bit more about what we do:McLane Intelligent Solutions (MIS) is fast-growing and dynamic managed services provider located in Bell county in Central Texas. We provide a broad range of outsourced IT including design, installation, support, maintenance and consulting services to the SMB market. We have a rising need for smart, talented, hard-working, and capable team members who love challenges, want to know they are making a difference every day, and want to join a fast-paced, demanding and fun team of professionals.","At McLane Intelligent Solutions, we attribute our success to our remarkable staff.  We promote career growth, ongoing learning and professional development for all of our employees.  We also offer a level of dedication to our employees that is second-to-none. Ask yourself this:Are you passionate about working with computers?  Do you enjoy helping your family and friends with their technical problems?  Do you love learning and want to expand your IT skills?  Do you have experience with networks, workstations and servers? Then look no further, we want you on our team!  This is your opportunity to work with other people who are passionate about technology in an atmosphere that promotes challenge and teamwork, yet honors your life outside of work.What Do We Do?McLane Intelligent Solutions is a fast-growing managed services provider (MSP) located in Central Texas. We provide a broad range of outsourced IT services including design, installation, support, maintenance and consulting to the Small/Medium Business market. Why do you want to join us?Benefits - We have great health insurance and paid time off. We provide a weekly Awesome Teammate Bonus, where employees nominate other employees for exceptional work. Each full-time employee also has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.Growth - We work with each technician to help them become certified and advance in their knowledge of the field. We also promote from within because we believe in building our employees to be our future leaders.Extraordinary People and Culture - We pride ourselves on having great communication within our company. Each employee meets with their supervisor regularly to discuss their individual development and we have a company-wide weekly meeting to go over our companys progress.Values  Our company has five values that drive how we do business: Integrity, Service above Self, Work with Rigor at Work, Authentic Conversation, and Enjoy and be a Joy.Due to growth, we have the following positions on our Professional Services Engineering Team in Temple, TX:Advanced Network Specialist - $40K-$70K DOE - Entry Level to ExperiencedOur Systems Engineers work as a team to design and implement solutions to complex business problems for our clients.  We are looking for the following skills:","Experience in providing customer service on-site and remote on a technical level to an end user clientExperience with LAN/WAN network technologies (i.e. installation of routers, switches, and network client software)Hands on technical PC support and service experience, desktop and laptop hardware and software troubleshooting, installation, configuration and upgradesExperience with desktop operating systems and application software products in a Windows environment.Excellent oral/written communication skills (emphasis on technical communication) in a business environment.  Must be fluent in the English language.Valid drivers license/good driving record, and reliable transportationCandidate must have ability to pass an extensive background check and drug screen.PREFERRED (but not required):Associates Degree in Computer Science, MIS, or similar discipline and a minimum of 2+ years experience.Certifications such as A+, Network +, MTA, etc., are a plus but not required.TCP/IP, DNS, DHCP and Cisco firewalls and switchesTerminal Services a plusMS Server experience a plusPHYSICAL REQUIREMENTS:Ability bend, crouch, crawl Ability to lift up to 75 lbs. Ability to use phone and phone headset Ability to type using a keyboard and mouse ","All qualified McLane Intelligent Solutions employees receive:Paid VacationAmazing Health, Dental, Vision, and prescription insurance Short-term and long-term disability benefits 401K Flexible Spending Accounts Company provided Life InsuranceAnd much more...Come explore what life in Central Texas is all about in a family friendly community and company!McLane Intelligent Solutions is an equal opportunity employer.  We consider applicants for all positions without regard to race, color, religion, gender, national origin, age, marital status, sexual identification or veteran status, the presence of a non-job-related medical condition or handicap, or any other legally protected status.",0,1,1,Full-time,Associate,Associate Degree,Information Technology and Services,Information Technology,0
13845,"Customer Service Ninja, Arabic & English","AE, , Dubai",,,"JadoPado is an e-commerce adventure for the Internet. We do things a little bit differently. We sell stuff the way you want it and deliver super fast across the planet, all while serving up an easy to use experience, with plenty of strong doses of great customer support thrown in.JadoPado has a close knit, fast paced, work hard, play hard culture. We're big believers in leaving the office at 6pm and ensuring that we get quality time off during weekends!","JadoPado is looking for the right individual to join our little, yet hard working customer service team to help manage all the incredible customers that have helped build #URL_19992d3c218063cf42ccc3e626915d6309ade693ff85506e8c09897a390566fb# into what it is today.We're super picky about details and like to ensure that anything that we put in front of our customers is absolutely top notch.A Multilingual Customer Service Ninja will:1. Speak with customers in both Arabic and English2. Write emails with absolute aplomb3. Respond to tweets at the speed of sound4. Ensure that customers are blown away with their JadoPado experienceYou'll experience what it's like to work within a fun, close knit, fast paced e-commerce organisation.",A Multilingual Customer Service Ninja must:1. Be fluent in both Arabic and English2. Have incredible phone manners3. Be able to wield a multilingual keyboard with unerring accuracy4. Be fun to work with,A Multilingual Customer Service Ninja will receive:1. An annual air ticket back home2. 30 days of leave every year3. Great medical insurance4. Incredible co-workers,0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Customer Service,0
1863,English Teacher Abroad,"US, WI, Milwaukee",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
17156,Supply Chain Manager,"GR, I, Kifisia",Wholesale,,"Η πρώτη στεγασμένη αγορά παραγωγών με παντοπωλείο και e-shop! Σας περιμένουμε στο φυσικό μας κατάστημα 23ο χλμ. Αθηνών-Λαμίας, Χίου 1 στο Κρυονέρι",Buyer will be in charge of expanding our vendors and managing our purchasing network.,"Skills required:MS-Office excellentExperience in Food Industry, wholesale (retail experience will be pointed out)English language excellent.",In private,0,1,1,,,,,,0
8176,Development Director,"US, , ",,,,"Demiurge Studios is seeking an experienced Development Director to lead talented teams as they create games for iOS, Android and PC. You will be an integral part of the teams leadership during all facets of game development, from concept inception through ship. Development Directors are asked to protect schedules, manage budgets, and shepherd our games into the hands of players!Demiurge is a growing, independent, employee-owned studio that places high value on its people. Ultimately, this individual will be responsible for ensuring that the development process is an enjoyable, rewarding experience for our employees.","Key ResponsibilitiesManage a multidisciplinary team during all phases of product developmentConceive, create and own a plan which details the product's features, development schedule and required resources for all disciplines (art, audio, design, engineering)Collaborate with leads and front line developers to estimate work, resolve issues and solve problemsSpecify and coordinate the development processes employed by your teamCollaborate with project and studio leadership to evaluate tradeoffs among scope, time-to-market and qualityProactively identify and assess project risks in technology, timeline and staffing. Develop strategies to address them.Facilitate communication and collaboration across the game teamCoach discipline leads and help them motivate their teamsChampion improvements to Demiurge's development practicesMust-Have Qualifications4+ years game industry experience2+ years of engineering experience2+ years of experience managing artists, designers and engineersEngineering credits on at least one shipped title for either the 360 or PS3Development Director or Manager credit on at least one shipped title for either the 360 or PS3Experience running teams under a variety of agile and fixed-time development processesAble to facilitate brainstorming and mediate decision making for a team of enthusiastic developers & leadsExperience managing cohesive, creative teams ranging in size from 5 to 20 peopleAble to author clear milestone delivery documentsComfortable speaking in front of a groupPreference to Applicants withA degree in computer science, math or engineeringA degree in business managementExperience developing an online multiplayer titleExperience with Atlassian tools - Jira, ConfluenceExpertise with ExcelAn understanding of online and social gaming featuresA love of video games!Outside interests!!",Yes!,0,0,0,Full-time,,,,,0
13872,CAREGIVERS!! WANT GUARANTEED PAY EVERY WEEK FOR BEING ON CALL?,"US, CO, DENVER ",,,"MISSION:GoldLeaf HomeCare is revolutionizing home care by making our CarePartners top priority. GoldLeaf fully loves,honors and serves its CarePartners so they will offer the same to every Client. Placing People before profit is our guiding commitment that allows GoldLeaf to foster greater individual independences and the optimal quality of life for our Clients.This is our mission at GoldLeaf HomeCare. We are a very different medical and non-medical home health company - we pray together, we care for each other both professionally & personally. Don't take our word for it, ask our team of CarePartners! GoldLeaf is a family of people who love others & honor God (you don't have to be Christian or even religious!). We need experienced & energetic Caregivers and CNAs to Join our Care Partner Team! ","N NEED OF GOOD HIGH QUALITY PRN CAREGIVERS- GUARANTEED PAY FOR BEING ON-CALL !!!""We take great care of our CarePartners so they will do the same for our Clients.""This is our mission at GOLDLEAF HomeCare. We are a very different medical and non-medical home health company - we care for each other both professionally & personally. GoldLeaf is a family of people who love others.We provide loving care for children & seniors!! We need experienced & energetic Caregivers and CNAs ASAP! The GoldLeaf Bonus:We start our caregivers at a competitive rate & this can increase within first three months for 12-hour and 24-hour shifts, plus performance bonuses and fun!We pay gas expenses on short shiftsWe offer full PTO (sick & vacation time) benefits to our full time Care TeamWe pay Overtime We offer a medical savings program for you & your familiesWe offer monthly training & voluntary team fellowship offering personal care unseen in this industryIn your cover letter, include your cell phone number and currently available days/hours (specify Overnights, 24's...). If you are selected, WE WILL INTERVIEW IMMEDIATELY !!!","Required Qualification: Current CPR & First Aid 3 to 5 Years + of proven caregiving Experience with 3+ testimonials/references5 Years + Experience with children of ALL ages or special needs childrenAvailable for PRN shifts   ""Squeeky"" Clean criminal and driving record, have full-time access to RELIABLE auto transportationMust be Willing & Able to drive in various conditions & weather.  Must speak/read clear and fluent English (speaking Spanish or second language is a plus!)We are a smoke and drug free company Most importantly, you should have a caring heart. We judge more from your spirit than your resume!!",BENEFITSGoldLeaf provides:* Competitive rates for caregivers per hour & this can increase within first three months* We pay gas expenses on some shifts* We offer full PTO (sick & vacation time) benefits to our full time Care Team* We pay Overtime even though we don't have to!* We offer a medical savings program for you & your families* We offer monthly training & voluntary team fellowship offering personal care unseen in this industry WE WILL INTERVIEW IMMEDIATELY .Thank you & we look forward to meeting you soon!,0,1,1,,,,,,0
15731,PHP Developer,"US, NV, Las Vegas",Development,,,"You Turn Dreams Into RealityTo most, what you do is voodoo. Black Magic. With a few key strokes you turn ideas, sketches, and imagination into functioning reality. Into something that makes peoples lives easier, more productive, or more profitable. And you do it quickly.Conseev is a small, fun, fast-growing technology company that is looking for a dedicated individual to help bring products to market as well as improve existing products. See site for full details *before applying*:#URL_b448a44b0350a39ec3fa16532a0f6e0dd6083ce17211eaa604bedfb4b81e7124#Basic responsibilities:Build new tools and servicesImproving existing SaaS productsRapidly prototype ideasHelp in improving the team's development methodology","Expert skills in PHP, MySql, LaravelExperience in MongoDB, Graph DBs, Queues a plusNodeJS experience a plusSolid HTML, CSS, JavascriptPlease see site for details:#URL_b448a44b0350a39ec3fa16532a0f6e0dd6083ce17211eaa604bedfb4b81e7124#","This position, as nearly all positions at Conseev, offers extensive flexibility in both location, schedule, and every other aspect of work environment. We are a fully virtual company; see our site for more details:#URL_b448a44b0350a39ec3fa16532a0f6e0dd6083ce17211eaa604bedfb4b81e7124#",1,0,1,Full-time,Mid-Senior level,Unspecified,Internet,Information Technology,0
14324,Backend Engineer,"BR, , São Paulo",engineering,,"Nubank is an early stage, technology-driven financial services startup funded by Sequoia Capital and Kaszek Ventures. We are building a truly global and diverse team, with people who are in the top of their areas of expertise for every position we hire, to set the new standard in financial services in Brazil. We see a significant opportunity in the credit card market in Brazil as it is currently commoditized and extremely inefficient, and therefore our first product is a credit card controlled by a mobile app, that also provides our customers full control of their finances on their mobile phones. We are based in São Paulo, Brazil.",We are excited to meet experienced coders as well as college seniors with various areas of technical focus - there is no single ideal profile. We all wear multiple hats and cannot be experts in everything at once.,,,0,1,0,,,,,,0
543,Key Account Manager,"GR, I, Athens",Sales,,"Optimal Business Action was created in 2005 in order to provide high quality, personalized HR services to medium and large-cap companies operating in Greece, the Balkans and the Middle East. Seven years later we are present in Athens, Bucharest and Dubai, and several of these companies are on our client list, including most major banks, pharmaceuticals, hotel & retail chains, and large IT firms. We are one of only nine HR firms in Greece operating under the Temping Permit issued by the Labor Ministry and among a very few which offer employee evaluations based on the combination of the Minnesota Multiphasic Personality Inventory (MMPI) and Rorschach tests. Amongst our advantages is our extensive candidate network, the use of industry-specialized recruiters, our technology tools  including candidate pre-recorded interviews, and our competitive, on-success, fee structure. Our subsidiaries: Creative HR which was created in 2010 in order to undertake human-capital intensive projects, HR department restructurings, and provide HR consulting services. iTechScope Recruitment which was created in 2011 and is the first international recruitment company in Greece specializing in sourcing and placing personnel for companies operating in the fields of Information Technology, Telecommunications, and Engineering. Optimal HR Middle East FZC which was created in 2013 and is the groups entity in the UAE, providing our clients with Recruiting, Consulting, and Training products and services. Our partnerships: As of 2014, Optimal is the exclusive Gi Group (#URL_1458d4797348b1fe258e750e89ab66b11c9565a10abede82d761ce37c53395b6#) partner for Greece and the UAE, offering a vast array of products and services as well as sourcing access to candidates from 32 countries around the world. GI is one of the world's leading HR companies, providing services for the development of the labor market. It employs a staff of almost 2.500 people and its 2013 turnover approached $1.5 billion. We have an exclusive representation agreement with UK's APS (#URL_b40fd0caf83243a019915fa08fcf0afbb9f377d15194c88d4e4896e6848bbbbd#) for their on-line evaluation products, such as the TEIQue, Engagement Survey, and 360 Survey, and an exclusive collaboration with Chris Daffy (#URL_712f5a993f998f7aad7e3cc1b7d9c7d3eb17e8ffcace779065d1902e412e2c3d#) for his Customer Experience training programs. We also work with UK's Epigon Training & Development (#URL_fe1f8ff39ad549be4c26f84c348c2d2dad85c84e6746f60140eb4392e652e543#) for NLP-based training seminars. Please contact us at: +30 210 32 59 350 GR & +971 (0) 56 39 33 546 UAE or e-mail us at: #EMAIL_eb107d9d9176aa07bb1d808b882aacd15565df1b289ed0391e62d9bc7422d718# and #EMAIL_21116c53126810b34d578a02cb53ece4f520daa553e1b3ee91c614cc67d51c5d#","Η Optimal Business Action, για λογαριασμό πελάτη της, γνωστής πολυεθνικής εταιρείας, αναζητά Key Account Manager.Η θέση αφορά στο τμήμα επαγγελματικού εξοπλισμού της εταιρείας.Τα καθήκοντα περιλαμβάνουν μεταξύ άλλων:-Επικοινωνία με τους μεγάλους πελάτες (key accounts)-Προώθηση και ενημέρωση για τα νέα προϊόντα της εταιρείας-Περαιτέρω ανάπτυξη του πελατολογίου.","-Πτυχίο Πολυτεχνείου (Μηχανολόγων/Ηλεκτρολόγων/Χημικών Μηχανικών) ή ΤΕΙ αντίστοιχων κατευθύνσεων-Εμπειρία τουλάχιστον 5 ετών σε αντίστοιχη θέση, ιδανικά σε εταιρείες παροχής επαγγελματικού εξοπλισμού-Άριστες επικοινωνιακές και διαπραγματευτικές ικανότητες-Καλή γνώση υπολογιστών (κυρίως word, excel, powerpoint)-Άριστη γνώση αγγλικών (κυριώς προφορικά αλλά και γραπτά)-Καλή γνώση γερμανικών (προφορικά και γραπτά) είναι επιθυμητή αλλά όχι απαραίτητη","-Ελκυστικό πακέτο αποδοχών-Αυτοκίνητο-Εργασία σε ένα δυναμικό, πολυεθνικό περιβάλλον-Δυνατότητες ανέλιξης",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Business Supplies and Equipment,Sales,0
17273,Fashion/Lifestyle Account Manager,"AU, NSW, Darlinghurst",,50-60,"EVH is a fashion, lifestyle, design and luxury communications, digital, events and sales agency.  With integrity and intelligence, we work as an extension of our clients to build and secure their presence in the marketplace.Based in Sydney but with an international reach, EVH is known for positioning, pioneering and protecting brands through a considered, strategic approach to sales and communications process. As brand guardians, we work closely alongside our diverse range of clients to create specialised campaigns that meet their individual short and long term brand and business goals.Our deep understanding and influence in the Australian market within the context of the global industry sets us apart from other communications businesses. This intrinsic knowledge combined with years of experience has cemented us as the go-to agency for both emerging and larger global brands. Our clients are selected for their integrity and attributes in innovation, design and business.The EVH network is unrivalled and extends beyond fashion, hospitality and the retail environment into the worlds of design, culture, art and entertainment. We offer an in-house specialist and personable approach to each client with whom we engage.","Leading fashion, luxury and lifestyle PR, digital and wholesale agency EVH has a job opening.Our clients include Calvin Klein, Max Mara, Moët Hennessy Australia, Aesop, Lexus, Shopbop, Topshop, Ellery, Sneakerboy, Watsons Bay Hotel and Alex Perry.We are looking for someone with a minimum of two years agency experience who understands digital marketing, has sound knowledge of the Australian media landscape across print, broadcast and digital channels with contacts in fashion and lifestyle, andhas an ability to multi-task and thrive in a fast-paced environment. The successful applicant will possess account management skills including planning, strategic thinking, reporting, analysis, media relations and client management. Applicants must have excellent written and communication skills. Professional conduct and presentation is expected.Reporting to the Group PR Director, the successful applicant will be highly motivated, proactive and must have a comprehensive understanding of the digital communications landscape, knowing how to execute a fully integrated communications strategy.The successful applicant will have a proven track record with relevant case studies and be expected to produce consistent results for our clients.Job description is available upon request.Salary package is negotiable on experience.",,,0,1,1,Full-time,Associate,,Public Relations and Communications,Public Relations,0
2773,Customer Service Technical Specialist ,"US, CA, Los Angeles",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Technical Specialist will be based in Los Angeles, CA. The right candidate will be an integral part of our talented team, supporting our continued growth.Key responsibilities include:Production Print operations  maintains a working knowledge of all production print and copy equipment on site.Operates various Production scale printers and organizes the daily print flow.Assists technical support and onsite techs with trouble shooting and basic maintenance.Perform any and all duties as assigned by management to include; mail services, reprographics services, fax services, and messenger services.Establish operating procedures and quality standards.Responsible for all aspects of equipment.Remain informed and adhere to all established safety and security procedures.Perform necessary adjustments as outlined in the operators manual for set equipment.Follow start-up, shutdown and clean-up procedures for equipment.Monitor operations and make mechanical adjustments as needed, to ensure proper quality output.Create excel spreadsheets, mail merge projects and word documentsComplete multiple projects at one timeLift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (answer phones, outgoing shipments, etc)Shipping & ReceivingLift large bundles of mail, overnight packages and shipments of paperMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudePerform other tasks as assigned","Position Requirements:High school diploma or equivalent (GED) requiredExperience with a production print and copy operationMinimum of 1 year customer service related experience requiredAbility to communicate both verbally and written with customers and company personnel requiredComputer proficiency in email environments, MS Word/Excel or similar programs preferredAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills preferredAbility to adhere to employee attendance policyHandling up to a maximum of 55 pounds with or without accommodationsAbility to walk, sit, stand or sit for long periods (possibly entire shift)",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
10299,Messenger Courier - Part Time ,"US, DC, Washington",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Messenger Courier will be based in our Washington, DC client location. The ideal candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping & ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience High school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Part-time,Entry level,High School or equivalent,Government Administration,Customer Service,0
1309,Flash Animator (QC),"IN, MH, Pune",Animation,,"Lakshya Digital is one of the largest Game Development and Interactive Entertainment companies in South Asia. We work across business verticals such as Services, IP creation and Game-learning. We are the authorized developer for Microsoft and Sony PlayStation Home and we have bene working with major game publishers and developers such as Naughty Dog , EA, Ubisoft, Rockstar, Disney, Yukes and Vivendi (now Activision Blizzard) on over 75 game titles across genres, platforms and technologies including PC, MMOGs, Consoles, Online, Casual, Social, Mobile (iOS and Android) etc.To know more about us, please visit our website at #URL_624c296e6a80659447da8eb81bd341e527edbf4e653ce459217061a629ca63f4#",Job Description:• Check quality of visually appealing and conceptually strong interfaces created in Flash or Photoshop.• Creating & checking quality art in Flash.• Animating art,Skills Required:• Experience in integration of 3D content/Sound Files/2D Content into flash presentation• Experience of creating user interfaces and templates in Flash.• Knowledge of Photoshop is helpful.• Experience of creating flash animation is must• Experience of working in an e-learning content creation company preferred,,0,1,0,Contract,Associate,Certification,Animation,Art/Creative,0
3788,Country Managers for Netherlands and Poland,"GB, , ",,25000-40000,,"Adzuna is one of Londons fastest-growing startups. We make it easier to find your perfect job. We search thousands of sites so you dont have to, bring together millions of ads so you can find them all in one place, and add amazing, innovative features to transform the search experience. Our founders are the guys behind Gumtree, Zoopla and Qype, and were backed by some of the UKs top investors.Were hiring for Country Managers for The Netherlands and Poland, based in our office in London. Its a challenging, high responsibility, general management role. Youll be in charge of making sure the launch and development of our site in your market is a success  from internet marketing like SEO and PPC, to customer support, blogging, PR and social media, to helping improve the local website and contacting and selling to potential advertisers. Youll monitor the metrics for your site, and work with everyone in the company to build a great user experience and a profitable fast growing-business.","You must have between 1 and 5 years of internet marketing or biz dev experience at a startup or blue chip web brand. You must have a great university degree, and can demonstrate strong analytical and copy writing skills. Youre not afraid of getting on the phone to customers and persuading them to advertise with us.You must be fluent in English plus at least one of Dutch and Polish. Ideally you are a native of one of these countries or have lived there for some time.Most of all, you must be hands-on, entrepreneurial, and thrive on juggling a lot of balls.","In exchange for your hard work, youll learn a ton from some of the top experts in the functions you deal with, youll get paid a market competitive salary and be granted stock options, and if youre successful your career can progress quickly in a low-hierarchy, fast-growing company.We are people who want to make a difference, to move fast, innovate and strive for excellence, and who work together well as a team. Impact, results and commitment to the cause are what we measure ourselves on, not how many hours are spent in the office. We are all owners of our business.From launch in the UK in 2011, we now have more than 3 million visitors a month and are busy conquering the world from our small, cool office on the top floor of a brewery in Clapham. We need your help. Itll be fun, hard work, and rewarding.",0,0,0,Full-time,Associate,,Information Technology and Services,,0
4443,UI/UX Developer,"US, NY, New York",,,"Floored is a New York Citybased company that creates interactive 3d graphics for commercial, residential, industrial, & retail real estate, and the hospitality industry.","Floored creates software that takes 3D scan data and renders it into beautiful interactive environments.  Were looking for UI/UX developer to make the experience of interacting with 3D content on simple and intuitive.You'll do things like:Fix problems we dont know we have - we need a developer who can figure out what frustrates our users, even if the users themselves cant figure it out.Participate in the entire development process from wireframing to A/B testingWork closely with members of the engineering, 3d modeling and sales teams to understand the needs and requirements of users","Bachelors degree or equivalent experienceAn understanding of user-centered design principles and the technical skills to implement themExperience with 3D modeling packages (Blender, Renderman, 3Ds Max, etc.)","Youll receive a competitive salary and stock options as well as great benefits like unlimited vacation, flexible hours, gourmet coffee and weekly happy hours (happiness is voluntary at these events, but its strongly encouraged by plentiful beer).",0,1,1,Full-time,,Bachelor's Degree,Internet,Engineering,0
7964,IT Project Manager,"AU, NSW, Artarmon",Technical,,"Founded in 1997, The Missing Link is a premium provider of information technology solutions across applications, infrastructure, virtualisation, security, storage, telecommunications and cloud services. We draw on a range of premium partnership relationships with the most respected brands in information technology. Some of our tier-one partners include IBM, Lenovo, Microsoft, NetApp, Cisco, VMware, Citrix, Telstra, Dell and HP. The Missing Link has grown year-on-year from modest beginnings to be recognised as one of Australias most-awarded IT solutions providers. Theres no secret to our success: were passionate about responding rapidly to our clients needs, working collaboratively on solutions, delivering on time and on-budget, and over-delivering on service expectations. Why The Missing Link ?We offer an exceptional working environment and cultureFunky converted warehouse layoutFree breakfast and soft drinksFree indoor gymRegular social eventsMonthly bonus incentives","The project manager is responsible for and has ultimate ownership of the delivery of assigned projects as well as the quality of the output whilst ensuring that agreed project times lines and budgets are met.The Project Manager will provide suitable resources who will efficiently deliver all in-scope project deliverables. Critically the project manager will also ensure that the project not only meets the customers stated technical requirements but business requirements as well. The role will also be in part responsible for coordinating resources to facilitate presales and design formulation activities.This position is ideally suited to someone with a technical infrastructure and systems integration background. An excellent understanding of IT infrastructure will be required as well as the ability to engage with technical and non-technical resources, sales people, customers and vendors. This role will require excellent coordination and time management skills as well as exceptional interpersonal and communication skills.   Key Responsibilities Manage complete lifecycle of assigned projectsFacilitate review of proposals and sign off on estimated project management and systems integration effortUndertake project kick-off meetings and prepare initial project and resource plansAssign suitable technical resources to project (internal engineers, contractors, other suppliers)Regular customer review and status update meetingsDeliver allocated assignments within agreed milestones and timeframesManage performance of assigned technical resources, address and resolve issues arisenObtain a clear understanding of project deliverables and communicate to assigned resourcesUndertake relevant financial reporting associated with the project and facilitate invoicing processManagement of out of scope activities, communication and customer signoffFrequent review of project budget, budget overruns, awareness of budgetary constraintsIdentify all risks and formulate risk mitigation strategiesPrepare project close down reports and obtain formal customer signoffSingle point of contact for customers and engineersMaintain awareness of current and emerging trends and technologies ","Hands-on experience successfully managing multiple project assignmentsContribute to project scoping and proposals, formulate statement of work and detailed implementation plansThorough understanding of end to end project lifecycle managementAbility to understand and manage complex technical requirementsSound understanding of standard presales estimating methodologiesAbility to work with strict timeframes, deadlines and budgetsOngoing project delivery service improvementsStakeholder managementExcellent time management and prioritisation skillsProven ability preparing resource, implementation and project plans (MS Project skills a must)Strong incident and problem management skillsExcellent knowledge and exposure to the following infrastructure services and solutions: Wintel  based Server InfrastructureMessaging environments and archiving solutions (On premise and hosted)Virtualisation solutions (VMware, Citrix, Microsoft)Multi-Vendor storage solutions NAS, SAN (IBM, Netapp, EMC, HP)Network and perimeter securityNetwork systems and servicesMicrosoft Operating systems and application serviceWorkstation rollouts and upgradesWAN Optimization solutionsData Centre or office relocation activitiesBackup , DR and BCP solutionsCloud solutions IaaS, BaaS, DRaaSThin client solutionsInfrastructure and health auditsPersonal Attributes Required: Exceptional presentation skillsAbility to adapt to change quicklyPassion for technologyAbility to work autonomously whilst achieving stated objectivesSound organisational skills, including the ability to effectively manage multiple tasks and competing demandsExcellent verbal and written communications skills, ability to communicate at all levelsExcellent presentation and customer facing skillsYou will also have a strong and empathetic customer-centric service focusMotivated, enthusiastic and proactiveSuperb business acumen and commercial awarenessFriendly and approachable demeanour and personalityAbility to make appropriate and difficult decisions in order to keep projects on trackReliable, trustworthy, ethical",,0,1,1,Full-time,Mid-Senior level,Certification,Information Technology and Services,Information Technology,0
1006,"Inbound Marketing Associate, New York","US, NY, New York",,,"Mailjet is a market leading Email Service Provider with over 25 000 clients and rapidly expanding across Europe and abroad due to its innovative approach in offering speed, deliverability and insight to sending emails through an unparalleled user experience and  comprehensive set of APIs.We currently have offices in France, UK, Germany, Belgium, Spain and Canada and are looking for the next wave of business and technical talent to accelerate our expansion and reach our aggressive goals.We are currently hiring for the following positions based out of our headquarters in the heart of Paris and abroad. If you think you have what it takes to be a part of a fast moving and dynamic team, contact us today.","At Mailjet, we make sending email easy and have an all-in-one solution to do just that. We're a growing venture-backed startup with teams in Paris, Brussels, London, Berlin, Toronto, & New York and with customers around the world. Our technology empowers marketers and developers to painlessly begin sending smarter email. Every company needs email and they need it delivered to their contacts inbox!We're looking for a passionate & hungry Inbound Marketer to join our New York team and be integral part of our North American growth. Youll have the opportunity to impact the execution of our marketing strategy and we expect your creative and analytical mind to contribute. From content creation and social media to online acquisition and in-person evangelism. Oh, and you better like to have a lot of fun!Responsibilities:Regularly produce and distribute compelling content - youll create sharable blog posts, infographics, white papers, case studies, and guides that our customers (and the web) needBe the US voice on social media (Twitter, Facebook, Linkedin, etc). Get the word out about cool stuff were working on, engage with customers, and monitor mentionsEnergize Mailjet's IRL community by attending local events and collaborating with tech community partners in New York and across the USDive into acquisition & campaign data, provide actionable insight, and identify growth opportunitiesCoordinate with our local PR agency to promote the Mailjet brandWork collaboratively with the Sales Team on leads and campaign management","You LOVE to consume and create content. Youve lived a breathed content marketing and know its valueComfortable and confident on social media, well need you to leverage those skills to engage our communityAnalytical and data driven because if youre not measuring, youre not marketingEntrepreneurial, self-motivated, and an ability to prioritize effectively. Youre expected to hustle and be a problem solver, we wont be looking over your shoulderGeeky & curious nature, you like talking tech and excited to learn about new thingsFlexibility to occasionally travel and attend events, in evenings or weekends, as neededBonus Points!Have Design experience? Great! Photoshop & InDesignGot Front-End Dev skills? Double Great!  HTML/CSS/JavascriptWritten and/or verbal fluency in multiple languagesPrior startup experience, either your own or elsewhere",,0,1,1,Full-time,Associate,,Internet,Marketing,0
1452,Junior Investment Officer - High Growth Specialty Finance Company,"US, NY, New York",,,"Fundation is a technology-empowered direct lender that delivers small balance commercial loans nationwide. The firm provides fixed rate loans up to $500,000 using its own capital. Fundation fills a void in the small balance commercial loan market by offering loans to businesses that banks are unwilling or unable to lend to, and those that desire a simplified process, with capital on terms that will enable them to grow.","Become an expert in judgmental “light touch” lending decisions using highly advanced analytics, third party and proprietary data, and be on the forefront of this change in lending markets.We are a fast growing private equity backed company seeking to add a passionate, hard working professional to join our credit department.  This is an opportunity to work for a company with a high-energy, collaborative culture where your opinion matters on all functional areas within the business and to interact with the senior management team. CompanyFundation is a high growth, private equity backed company. Our innovative platform uses advanced technology to predict credit risk and price loans for the small balance commercial loan market (loans of $50,000 to $500,000).  Our product and brand was carefully designed to create a unique value proposition for small businesses seeking debt financing: expedient credit decisions, a simple application process, simple products, competitive pricing and all electronic processing.  We intend to originate over a billion dollars of small balance commercial loans in the next several years.The RoleMake expedient but prudent investment decisionsMake logical decisions on when to supplement data beyond what is collected from our software platformStructure transactions according to the corporate profile and risk profile of each customerWork closely with our senior credit team to continually optimize our credit policies and strategies","2+ years of work experience in a role that requires financial statement analysis, accounting or the research and evaluation of a variety of different business modelsKnowledge of the credit and/or capital marketsWell versed in financial products and servicesStrong analytical, interpersonal, and presentation skillsTeam player",Salary and bonus commensurate with experience and capabilitiesComprehensive benefits packageWorking with an incredible team,0,1,1,Full-time,Associate,Bachelor's Degree,Financial Services,Financial Analyst,0
4794,NARRATIVE: Influencer Marketing Manager,"US, NY, New York",,,"We are not your average Monday mail recruiters. We are here to align stars and connect dots, not just match titles with positions & salary demands with salary offerings. Our approach is simple; we read between the lines to see YOU. Both of you. Employer and employee. You & Them is the most personal, innovative and open-minded professional recruiting can be. Or should be. Our network is a community of people with the same mentality; that work is a part of our lives and not the other way around. A creative community of great minds who seek minds that think alike.You & Them is Us. Real people. Nice to meet you.","Narrative is looking for a Senior Influencer Marketing Manager to join our team in New York. With this role, you will report directly to the CEO. We are looking for someone that is:● well connected● proactive, detail oriented and professional● a master negotiator● comfortable working closely and collaboratively across the entire agency● willing to go above and beyond the call of duty● experienced in pitch workAs the Senior Influencer Marketing Manager, you obsess over people across all walks of life. You know theins and outs of the industry, and you have a knack for identifying and connecting with talent. You knowtheir story, background, favorite color and a lot more that make you a little creepy if this wasnt your field ofwork. Youre smart, articulate and base your decisions on data and strategic thinking. You are an influencerin your own right, and possess the ability to persuade at will.You will be immediately injected into our yearlong music activation  ADD52 to help drive engagement anddefine/execute marketing initiatives with and through influencers. ADD52 is a talent discovery platformreinventing how emerging artists and fans find, share and listen to music. Created by Russell Simmons andSteve Rifkind in partnership with Samsung, ADD52 gives unsigned artists the opportunity to get discoveredand signed by All Def Music.","GENERAL RESPONSIBILITIES● Conceptualize, create, manage and execute all influencer marketing initiatives through completion ensuring all aspects align with client goals.● Work closely with agencies, publicity departments, management, production houses and media to execute celebrity/influencer seeding strategy.● Leverage influencer marketing programs on social media platforms. Track social media engagement, create content, and increase social interaction and relevance.THE TALENT AND YOU● Manage national and regional events, including sponsorship activations.● Create and analyze reports + establish KPIs that measure the impact of the influencer marketing program to better serve future strategies.● Identify new passion groups and individuals we should engage with.● Work with clients, partner agencies and 3rd party vendors on joint activities.● Work with product team to give feedback regarding features and optimizations that will drive user/influencer growth.● Research and identify prospective influencers (including/not limited to: blogs, Twitter, Instagram, Vine, YouTube, etc.).● Help coordinate influencer communications and plan activities.● Develop and execute influencer marketing programs that drive sales and generate positive brand exposure.● Establishing contact, seed and manage influencer relationships on an ongoing basis.● Lead the influencer communication strategy and delivery of content through various mediums.● Manage talent negotiations and working closely with legal to draft talent agreements/contracts.● Activating talent against clients goals and objective. Working closely with talent to develop content and platforms.● Maintaining talent schedule to ensure that we are aligned with timelines/ deliveries.REQUIRED SKILLS● Must have 5+ years of PR/digital marketing and/or influencer marketing experience.● Expertise in building communities and key relationships.● Knowledge and expertise in using various social media platforms.● Advanced proficiency with PR applications, Keynote, MS office Suite, Google Docs.● Keen sense of awareness of influencer/celebrity culture.● Excellent project management, organization, communication, writing and relationship building skills.● Well versed in marketing strategies across multiple categories.● Proven successes in both traditional and interactive PR channels.● Collaborative with a solutions oriented attitude and a willingness to pitch in when necessary.● Ability to work on multiple projects simultaneously with tight deadlines.● Has a clear understanding of industry standards and practices.● Strong analytic skills and ability to think strategically and applying them.● Continually working to understand the clients, their industry & how we can make a difference in their business.",,0,1,0,,,,,,0
13848,SR. PHP DEVELOPER,"PH, 07, Cebu",Information Technology,,"Zyluns mission is to help small and medium size enterprises take advantage of a highly skilled global workforce in a simple and cost effective way. We help companies flexibly hire a staff overseas comprised of developers, designers, Internet marketers, managers, and customer service representatives. Zylun Staffing takes pride in not only providing simple and effective services, but ensuring dedicated employees to fit our clients exact needs. We have career opportunities from administrative IT, graphic design, SEO, data entry, sales agents, chat agents, and customer representatives. Zylun is an American owned and operated company headquartered in 210 North 1200 East, Suite 101 Lehi, Utah 84043, with American management heading its offshore operations.","RequirementsBachelors Degree or higher in Computer Science or equivalent disciplineMinimum of 3-5 years experience as a Developer3-5 years of solid PHP object oriented systems and application development experience in a production environment3-5 years of solid experience interacting with MySQL database systems with multiple dynamic data sources and destinations, coded in an abstraction layer (in addition to being able to code raw SQL queries), as well as solid experience working with and developing APIsSolid experience writing requirements documents, following through with good design with testing methodologies in place (for instance, using PHPTest) and documentation (for instance, using PHPDoc)Solid experience developing front-end GUIs using Javascript, JQuery, and AJAX, or othersExcellent interpersonal and communication skillsAdditional Skills Preferred:Familiarity with Asterisk telephony and outbound dialersFamiliarity developing in CMS web development environments (such as Wordpress, Joomla), SEO/SEM best practicesForm conversion / landing page optimization techniquesWorking with version control systems (especially in a release management environment)UI experienceTwitter Bootstrap",,,0,1,0,Full-time,,Bachelor's Degree,Staffing and Recruiting,Information Technology,0
7744,TV Graphic Artist,"US, FL, Miami",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The Graphic Artist shall properly complete all daily tasks. These daily tasks include but are not limited to: providing a scheduled and approved video product to meet a live programming and production deadline; properly rendering file formats, correct color and perspective attributes. For full-time personnel, more than two mistakes per week will not be acceptable; for part-time personnel, more two mistakes per month will not be acceptable.","The Graphic Artisit shall create 2D or 3D graphics, using expertise in a variety of formats and systems to include Adobe Photoshop, Adobe After Effects, Adobe Audition, Cinema 4D, Final Cut Pro Editing System and Xpression Graphic System. The Graphic Artist shall have extensive professional experience using the abovementioned formats and systems and shall have knowledge of production basic elements to follow Producers directions and specifications. The Graphic Artist shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies.Knowledge of Spanish language required. ",,0,1,0,,,,,,0
12615,Buildings Reviewer/Inspector,"US, FL, Jacksonville",,,,"Duties:                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            Oversees, inspects, and monitors contractual field work which includes excavations, drainage facilities, road surfaces, and structures; schedules work and inspection phases with contractors superintendent and agency personnel; advises contractors of violations and recommends adjustments to operations; takes field measurements of pay items; checks placement of and performs tests on construction materials; checks lines, grades, dimensions, and elevations using standard survey and field engineering equipment; and oversees and enforces the installation of erosion/siltation controls and safety devices.                                                                                                                                                                                                                                                               Recommends changes to construction plans to meet field conditions or provide project cost savings.                                                                                                                                                                                                                                                              Maintains project records which include daily diaries, materials notebooks, as-built plans, and pay quantity records; evaluates and monitors progress schedules, prepares work orders, and monthly estimates.                                                                                                                                                                                                                                                               Monitors Equal Employment Opportunity/Disadvantaged Business Enterprise (DBE) documentation and compliance with Federal labor requirements/regulations for Federal-aid construction projects, ensuring contractor compliance with program requirements.                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            Qualifications:                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            Minimum of 1-5 years of inspection experience including a working knowledge of roadway, structure, and bridge construction methods, materials, standards, construction symbols and terminology; state and federal environmental, safety, and Equal Employment Opportunity guidelines and regulations; and of mathematics including algebra, geometry, and trigonometry.                                                                                                                                                                                                                                                               Working skill in operating computer equipment, software programs and field inspection equipment.                                                                                                                                                                                                                                                               Ability to read and interpret roadway, structure and bridge plans and specifications; apply mathematical formulas and engineering principles to determine minor adjustments to construction plans; maintain detailed records; perform required materials testing; and communicate effectively with agency and contractor personnel and the general public.                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                         ",,,0,0,0,,,,,,0
12773,Store Manager - Papa John's Pizza,"GB, ABE, ",Aberdeen ,15000-20000,"Take Out Brands is a food franchise business with a #URL_4524df5c91ce800c4ba16ef1406d622db7d51d37105a7fcee27521e61854615e# difference being we want you to genuinely enjoy your workiing experience with us. We hire cheerful, honest and hard-working people - and then treat them well - offering the chance to learn and develop wherever possible.With 7 franchises already live, this young business is run by people you can trust. Our focus currently is on Papa John's - one of the largest pizza companies in the world, with more than 4,300 stores worldwide delivering: Better Ingredients, Better Pizza.Rapidly growing in the UK, there are now over 200 Papa Johns outlets in the UK - with Take Out Brands Ltd already operating stores in Aberdeen, Durham, Harrogate, Leeds and Liverpool. And with up to 30 more planned across the North of England and Scotland in the next 2 years,there'll be plenty of opportunity for career progression along the way. The business is headed up by ex British Army Major Dan Wilkinson, who lives with his young family in Yorkshire. ""This is a people business - and though we love selling worlld class pizza, building a team of good people is crucial to our success. We are keen to support all of our staff well, so they can provide great service to our customers.""  Here's Dan celebrating the recent opening of our Harrogate Papa John's franchise with the local mayor. ","Papa John's is one of the worlds biggest and best Pizza delivery brands - and already a big success story here in Aberdeen. We're now looking for fresh management talent - in a role ideal for an energetic and upbeat personality - looking to develop their skills as part of a global brand. Suitable candidates may bring previous retail management experience - or be a fresh graduate with some relevant experience and be now looking for a first management position.  Leading a diverse and busy team, you'll be in the thick of it managing around 20 mostly part-time staff - both crew and drivers - setting the standards as you help them prepare and deliver world class pizza with a smile.Management wise, you'll be:Controlling stock and costs on a daily basis - always thinking with a commercial head as you manage your ""P&L""Planning the shift rotas for maximum efficiencySupervising, supporting and guiding your staffRunning a tight operation to produce Papa John's pizzas to the highest standards Happy working at a real pace: our customers quite rightly want their pizza treat ASAP!Building the business by growing sales - working hard at marketing your store - including using social media and coming up with local initiatives to drive the business forward.                                                                                      ","What are we looking for? Well, some experience of supervisory and catering work is a pretty much a given.But most of all we need your:  Passion & EnergyHard-work & Reliability Honesty & Common senseProven organisational and planning skills A bright, cheerful personalityConfidence and experience to manage people Flexibility in availability - including evenings and some of the weekendSmart in appearance (uniform will be provided)Maturity (you are working around hot ovens / fried food)Equally important will be your head for business  demonstrated through: Good numeracy skillsYour ability to manage online systems and dataStrong written and spoken communication skills  Theres a lot to it  but then theres a lot to you. And we'll offer plenty of training to help you become a real success as part of the growing Take Out Brands team. ",Competitive salary + holiday entitlementOpportunity to learn and develop - with the chance for career progression as the franchise operation expandsOngoing training - including at Papa John's Head Office.   As a responsible employer Take Out Brands is dedicated to your well-being  - and is proud to be bringing new careers to the local community.,0,1,1,Full-time,Not Applicable,High School or equivalent,Food & Beverages,Customer Service,0
7450,Digital Project Manager (Agency / causes that matter),"GB, , London",,35000-45000,"Inviting inspirational individualsWere fast becoming a world-class company, making a massive impact to charities. Were looking for top talent to join our growing London and Nottingham offices.5 Reasons to work for Raising IT1. Be part of a fast-moving tech companyWe love driving forwards innovation and everyone in the team contributes ideasWere still a small team, making a big difference2. Work with leading charitiesOur client list includes leading charities such as RSPCA, Macmillan and UNICEFEarn what you would elsewhere, but make millions for causes that count too3. Make a massive impact in the worldThe charity space is really struggling as government funding is decreasingThe future is web and social media and we are leading the way4. Have a huge opportunity not just a jobWe are onto something big, you will be a big part of it, not a corporate clogOur products have already hugely successful, but this is just the beginning5. Join a great, fun and friendly teamOur team is driven by the difference we are makingWe are passionate people that know how to work hard and have a good time","Location: LondonDeadline for applications: 12pm, 7th April 2014.We  may recruit to this position before the closing date so please apply earlyYouYou will lead workshops with senior charity staff, assimilate information and help to rethink the way they work online. You will have experience and a track record in building or using websites.You will manage the day-to-day workflow of site delivery by guaranteeing they are correctly resourced and planned. You can work on your own initiative and ensure deadlines are consistently met. You will have excellent attention to detail and provide solutions not problems, escalating appropriately.You may be looking to get experience in a tech / entrepreneurial environment. Youll be hugely motivated by delivering impact for high impact charities and leading brands in the non-profit space with our clients including RSPCA, RSPB and Guide Dogs.  UsRaising IT is growing quickly! We are one of the UKs leading digital companies working with non-profits to build websites and mobilise communities that take action.As our product continues to improve and transform the lives of millions of people in need, we are looking to take on someone to join our fast-paced team. It will be hugely varied, and full of the thrills and rewards of working for a mission-driven company.Work with a great team in a laid back environment based out of Angel and, if you prefer, choose to spend some of your time working from home.Place of workWork with an awesome team in a laid back environment based out of Angel and, if you prefer, choose to spend most of your time working from home.To apply for this position, please send your CV and tell us why you are interested in the role. (Please note that we are NOT using recruitment agencies to fill this role - we are managing the recruitment internally.)","You will have experience and a proven track record in digital project management includingCharity or agency experience;Minimum of 2 years of digital project management experience;Awareness of key Project Management principles and methodologies, including Prince2 and Agile;Experience and interest in digital marketing and project management;The ability to lead and contribute to client meetings with confidence;Exceptional attention to detail;The ability to work under pressure and meet targets;Self-starter. Able to work independently and be proactive. Someone who takes initiative and doesnt wait for assignments;Committed to Raising ITs mission of transforming fundraising in the charitable sector",Competitive salaryGreat team based near Central LondonWork with and help inspiring clients making a real difference Be part of fast growth company ,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Project Management,0
14033,"Producers, Directors, Writers, Researchers, & Hosts are all needed for our 24/7 programming ASAP! ","US, WA, Spokane",Pawsitive Purrvention TV & Radio,,"Multi-Tiered Animal Rescue & Education Solution dedicated to saving as many animals as possible!A new all species no kill rescue serving the Spokane/CDA vicinity by providing aid through Direct Action, Community Support, Education Opportunities, & Animal Advocacy! Specializing in all areas of animal and human conflict resolution, Animal Nature Sanctuary, offers real and lasting solutions for humans and animals that are timely, relevant, and cost-effective developed through years of research and public inquiry potentially saving more than 800,000 shelter pets from euthanasia while sterilizing another 350,000 feral animals and creating 2,500 new jobs, protecting thousands of endangered species as well as their habitat.Animal Nature Sanctuary was created because even with current efforts from shelters and rescuers (some to their own ruin in health and finance) too many animals die needlessly from euthanasia, experimentation, loss of habitat/environmental devastation, inability of owners to pay for costly medical treatment or to buy food; the causes millions & millions of deaths. We need to evolve protection ventures to match todays needs.Without asking what concerns people face, priorities they have, & information they need how can we construct innovative & effective solutions? How can we gage public need & help others achieve?If people arent doing well animals will never be safe! Our fingers must keep the pulse of small communities, national concerns, & most of all family requirements!Even though Animal Nature Sanctuary is dedicated to saving animals our projects are designed to support many other concerns like Human Trafficking & Domestic Violence since we will be raising public ability to combat all forms of cruelty & abuse but this will not happen until the citizens are given the knowledge, tools, and desire to implement that change.People that are educated, equipped, & inspired re-invent the world! Animal Nature Sanctuary effects change in many areas of concern  animal welfare, animal rights, community health, hygiene, and safety, all levels of education, environment protection, and legislation by acting as a community resource team, animal advocacy group, health and safety educators, and more.The only way to actually eliminate the problem, or at least make it honestly manageable, is to change society. This will not happen until the citizens are given the knowledge, tools, and desire to implement that change.This is exactly what Animal Nature Sanctuary intends to do!Animal Nature Sanctuary will constantly evaluate technologies, educational sources, and new methods of communication, add new choices to our flexible and worthwhile projects, as well as combine new and existing methods of social interaction/trends. The partnerships of these aspects, which work together, give a singular voice - through multiple media venues - to urgent issues! Thusly an innovative, evolving, and legitimate solution to each issue can be formulated and used.Animal Nature Sanctuary will educate, prepare, and call to action every Humane Being in personal and professional efforts to permanently resolve terrible crises we face today!Every community deserves to be successful! Animal Nature Sanctuary will directly and indirectly aid in community growth, job creation, and raise the health, safety, and cleanliness in every area.Education –• Animal Reference Library• Classes for individuals and professionals• Education Center• Radio Shows & Experts• Expert ExposAdvocacy –• Report Current Laws• Report Current Statistics• Promote Personal Awareness• Promote Personal Research• List Current ConflictsDirect Action –• Rescue and Rehab• All Species Sanctuary• Financial Sponsorship• Community Events• Clubs and Groups EffortsSupport –• Pet Food Bank• Homeless, Youth, and Hospice Programs• Grants• Scholarships• Internships","Connecting everyone to expert advice, adoptable pets, and resources for aid when in need!  Complete all access pass to news, tips, help, and everything animal in your own back yard or around the world!",,,1,1,1,,,,,,0
16841,Customer Service Representative,"PH, 00, Pasig City",,,,"The Customer Service Representative serves as our front line customer service contact for clients, is responsible for developing and maintaining partnerships to generate and increase business. He/She ensures orders are accurate, achieve 100% customer satisfaction and substantially contribute to the companys sales volume goals.  The success of an Customer will be measured by the contributions he is able to make in terms of business revenue, excellent customer service and consistent attainment of at least 100% of his/her quota.Responsibilities:Act as a primary customer resource for calls and e-mails by handling inquiries efficiently and provide expertise on customers purchaseFacilitate in product selection, generation of quote, placement of order, and interact with suppliers to verify order informationIn-charge of prospecting and maintaining key relationships with clients to ensure maximum exposure and increased business revenue","QualificationsCandidate must have completed at least 2 years in CollegeExcellent customer service skills (courteous, helpful, resourceful)Good english communication skillsComputer proficientAbility to efficiently organize and manage multiple prioritiesDecisiveness and ability to make sound judgments using available informationMust have strong commitment to company valuesAbility to work in a team and sales driven environmentMust be willing to work on a night shift schedule in Ortigas Center area in Pasig City100 Full-Time positions available","Compensation & Benefits:Above the market Base Pay + Php 2,500.00 Transportation allowance + Attendance BonusBonus tied to productivity + Night Shift DifferentialUnused vacation leaves can be en-cashed up to a maximum of 10 leaves a year, tax-free.Free meals, flowing sodas + coffeeHMO (including up to 2 dependents) with ceiling limit of Php250,000/illness/person/year upon hiring.Life insurance and other benefits provided upon hiring.",0,0,0,Full-time,Associate,Associate Degree,Marketing and Advertising,Customer Service,0
3660,Maintenance Custodian,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company, located in Virginia Beach, VA has overnight full-time positions available for Maintenance Custodians. Qualified candidates should possess the following characteristics including, but not limited to: Minimum of 2 years  janitorial experienceKnowledge of standard methods and practices of janitorial servicesUnderstanding of occupational hazards and safety rules to include proper use of chemicals and pesticidesAbility to operate and use janitorial tools, equipment  and supplies such as floor buffers, vacuum cleaners and cleaning compounds and solutions The duties for this position could include, but are not limited to: Clean and service restroomsSweep, vacuum and mop floors; scrub, strip, sanitize, wax and buff floors using powered machinesInspect doors, windows and locks to ensure they are properly and securely fastened and report all security vulnerabilitiesObserve appearance and condition of premises and equipment; report needed repairs, safety hazards or conditions requiring outside vendor servicesDust such items as blinds, furniture, file cabinets and windowsillsPolish furniture, metal work and chrome using appropriate cleaning productsRepair a variety of furniture and building system components for the purpose of ensuring they remain in a safe operating conditionMaintain an inventory of cleaning and toiletry suppliesReplace light bulbs and ballasts The hours for this position are 9:00 pm to 6:00 am Monday through Friday. We offer a competitive salary based on experience and a comprehensive benefits package. If you are interested in working for a dynamic and collaborative financial services company, then Tidewater Finance Company is the place for you! Please submit your resume and salary requirements to Tidewater Finance Company, 6520 Indian River Road, Virginia Beach, VA 23464, Attn: Human Resources Department. If you choose to fax or email your resume, our fax number is (757) 424-9651 and our email address is #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117##Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.","Qualified candidates should possess the following characteristics including, but not limited to: Minimum of 2 years  janitorial experienceKnowledge of standard methods and practices of janitorial servicesUnderstanding of occupational hazards and safety rules to include proper use of chemicals and pesticidesAbility to operate and use janitorial tools, equipment  and supplies such as floor buffers, vacuum cleaners and cleaning compounds and solutions","We offer a competitive salary as well as a comprehensive benefits package to our full-time employees to include: 40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goalsBilingual Spanish eligible for differential pay",0,1,0,Full-time,Entry level,Unspecified,Financial Services,Other,0
7336,Customer Service Representative,"US, IA, Coralville",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","As a trusted systems integrator for more than 50 years, General Dynamics Information Technology provides information technology (IT), systems engineering, professional services and simulation and training to customers in the defense, federal civilian government, health, homeland security, intelligence, state and local government and commercial sectors. With approximately 28,000 professionals worldwide, the company delivers IT enterprise solutions, manages large-scale, mission-critical IT programs and provides mission support services. GDIT is an Equal Opportunity/Affirmative Action Employer - Minorities/Females/Protected Veterans/DisabledGENERAL SUMMARY: The CMS Customer Service Representative I (CSR) is responsible for delivering general Marketplace information to callers. The CSRs use basic office equipment and technology such as telephones, email, and web browsers to perform their duties. The processes that the CSRs must follow are well defined and documented in standard operating procedures and scripts. Prescribed scripts must be read verbatim to the caller. Neither subject matter knowledge nor independent decision making is required by this position.The Customer Service Representative I reports directly to the Customer Service Supervisor. This is an entry level position responsible for disseminating general Marketplace information. Application processing, enrollment guidelines and a general Marketplace background will be the focus with callers. The Customer Service Representative I will follow scripting to determine when to transfer the caller to a Customer Service Representative IIGeneral Dynamics Information Technology is an Equal Opportunity/Affirmative Action Employer (M/F/D/V).","JOB RESPONSIBILITIES:• Utilize standard technology such as telephone, e-mail, and web browser to perform job duties.• Provide knowledgeable responses to telephone inquiries in a courteous and professionalmanner, utilizing pre-scripted responses which they must read verbatim to provide basic general and claims specific information.• Follow established and documented policies and standard operating procedures such as filling out timesheets, adhering to privacy rules and responding to numerous phone inquiries.• Assist caller with filling out online application and submitting it electronically to plan provider for processing.• Complete basic call log related to the phone inquiries such as clicking radio buttons to confirm which scripts were read by the CSR to the caller.• Refer calls as required to Customer Service Representative II.• Maintain up-to-date knowledge of CMS regulations and policies as they apply.• Report problems that occur via the online system so they can be addressed by the appropriate parties.• Respond to telephone inquiries within the set departmental staffing and time parameters.• May be required to work GDIT scheduled holidays. Overtime may be required.• Perform other related duties as assigned.• High School diploma or equivalent requiredWORKING CONDITIONS:The work is typically performed in an office environment, which requires proper safety and security precautions. To ensure our contact center production area is at minimal risk for unauthorized disclosure (that is, the release or divulgence of information by an entity to persons or organizations outside of that entity) of Personally Identifiable Information (PII) or Protected Health Information (PHI), the work environment operates under a Secure Floor Policy. The Secure Floor Policy limits or restricts personal belongings, electronic devices, or paper that can be brought into production areas.The above job description is not intended to be, nor should it be construed as, exhaustive of all responsibilities, skills, efforts, or working conditions associated with this job.Requests for reasonable accommodations will be considered to enable individuals with disabilities to perform the principal (essential) functions of this job.EXPERIENCE:• Minimum 6 months customer service/secretarial/telemarketing experience required.• Must be able to speak and read English clearly, professionally and fluently.• Must be able to type a minimum of 20 WPM.• Ability to effectively work within established contractual turnaround times required.• Must have demonstrated excellent interpersonal and the ability to organize simultaneous tasks.• Proven ability to work as a member of a team.• All CMS personnel will be required by contract to undergo program update training as the program changes.• Spanish fluency is desirable",,0,1,0,Full-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
10243,BTL Specialist ,"GR, I, Athens",Marketing & Communications ,,,The Below The Line Specialist will be the key person handing the BTL activations related both to Corporate and Brand-focused communication plans. The purpose of the position is to translate in BTL tactics the brand strategy per segment towards achievement of P&L #URL_00d909127813aba4d3dc55c71aa52e2244f181aa587682cc393c7274b77eecea# she should guarantee the flawless execution of agreed activities.Major responsibilities: Assist the BTL Manager in forming the BTL communication plans across brands portfolioWork closely with brand segments teams and external agencies to achieve the on-time implementation of agreed plans throughout all available communication channelsOwn the marketing plan development & execution; hold both internal and external stakeholders accountable for delivering agreed projectsPerform evaluation of projects and recommend corrective actionsChallenge for efficiency on any investment for external communicationEnsure timely delivery of POS materials and cost minimization through proper schedulingSupervise the production-related invoicing procedures,"Minimum 5 years experience of brand/ project management in a well-known company (industry or agency side)Bachelors degree in Marketing, Advertising or related fieldExcellent project management skillsGood knowledge of ATL & BTL communication principles (from brief to execution)Good presentation skills both in English and Greek (creation and delivery)Strong results-oriented individualTeam spiritFeels comfortable in a large, matrix organizational setup, adaptable, flexibleCan-do spirit, problem-handling and solving ability","The company offers excellent career opportunities and a competitive compensation package, based on the qualifications of the candidate.",0,0,1,Full-time,Mid-Senior level,Bachelor's Degree,Gambling & Casinos,Marketing,0
17561,Job for freelancer working in Saudi Arabia,"SA, 01, Murabba Riyadh",IT Administrator / Developer,,,"Hundreds of Freelance Jobs available every month for freelance designers, developers, writers and bloggers. Part of SaudiLancer - the most popular Freelance Blog and Community online | #URL_a0fb001321b0fff947b0a19a393a8a3387585f345c45c7d54fa7ff492852e0b4# URL: www.#URL_a0fb001321b0fff947b0a19a393a8a3387585f345c45c7d54fa7ff492852e0b4# ",Freelancer working in Saudi Arabia.,,0,0,1,Full-time,Entry level,Master's Degree,Computer Networking,Information Technology,1
16600,Marketing and Sales Representative- Full Time Position,"US, WI, Madison",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","LEI Home Enhancements is now hiring for entry level sales positions - We have openings in outside Sales were we are able to meet with our customers face to face and build a relationship with our clients. New clients mean MORE opportunity for our team!  LEI Home Enhancements a premiere sales and marketing firm located in 8 cities and looking to expand into 5 new markets by the end of 2014. We now have available clients waiting for us to handle their marketing and sales nationwide. Here at LEI Home Enhancements,  we pride ourselves on providing clients with a personal, professional approach to strategic sales & marketing and customer acquisition. In order for our organization to prosper, we are aggressively seeking talented, enthusiastic individuals who are searching for an opportunity to quickly work their way from ENTRY-LEVEL into a position in MANAGEMENT, overseeing campaigns for our clients and managing a staff of 65+ employees. Our company strongly believes in developing our people into the future leaders of our organization.","Responsibilities in this program include: Sales/MarketingTeam LeadershipSales TrainingHuman ResourcesSales Strategies & TechniquesOversee Campaign DevelopmentManage Customer Service, Administration, and Sales People",Our Company Offers:Outstanding Growth OpportunitiesPaid TrainingWeekly CompensationTravel OpportunitiesFull Benefits Offered,0,1,1,,,,,Sales,0
2734,SENIOR JAVA ENGINEER,"GR, H, Chalkida",,35000-45000,We are a start-up company based in Greece and our vision is to improve the CMS market by developing a security saas called ermis,We are looking for an experienced java engineer to joing our team,"Excellent knowledge of Java with at least 3 years experience in Java development positions.Demonstrable experience with OO design.Good working knowledge of C++.Highly proficient at writing multithreaded, TCP based services.Experience with HTTP.Experience with JSON.Working knowledge of version control systems.Working knowledge of major operating systems, including Linux, OSX and Windows.Self-motivated engineer who can take the initiative and work effectively both independently and as part of a team",,0,1,0,Full-time,,,Computer Software,Engineering,0
16794,Java Developer,"GB, , ",,,,"Take Charge of Your Career and Apply Now!We are looking for a critical thinker Java Developer who is an expert in creating highly scalable, fast performing Java-based websites and applications. This opportunity won't last long, so please apply today!","Java/J2EE web application development (Servlets, JDBC, RMI, JMS, JavaBeans, etc.)Experience with services based architecture and REST-based APIsGood understanding of web fundamentals such as HTTP, HTML, CSS, JS.Object Relational Mapping Frameworks, such as JPA, Hibernate, or OpenJPAExperience using databases: Oracle, DB2, Microsoft SQL Server, and/or MySQL and experience with non-relational databases is a plus.At one least one of the following Java application servers: Apache Tomcat, BEA Weblogic, IBM Websphere, and/or JBossKnowledge of Test Driven Development principles and practices.Build tools such as Maven or Ant and version control systems such as GitKnowledge of software design patternsCQ experience is a plus",,0,1,1,Contract,Mid-Senior level,Bachelor's Degree,Publishing,Information Technology,0
2579,Account Payable Clerk,"PH, , Quezon City",,,,"Account Payable Clerk• Graduate of BS Accountancy • Should be CPA • With or without experience may doDUTIES : Just preparing cheques SALARY : Max is only P15,000/month CONTRACT : 6 months initial but with option to absorb WORKING DAY : M-F only ""Skill :-  Accounting Clerk  ","Account Payable Clerk• Graduate of BS Accountancy • Should be CPA • With or without experience may doDUTIES : Just preparing cheques SALARY : Max is only P15,000/month CONTRACT : 6 months initial but with option to absorb WORKING DAY : M-F only ""Skill :-  Accounting Clerk ","Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking & Financial Services, Telecommunications & Media, Technology, Energy & Utilities and Manufacturing & Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development & Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence & Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.",0,0,0,,,,,,0
14668,Senior Engineer - Water Resources Management,"US, CO, Fort Collins",,,"Riverside is an internationally recognized engineering, science, and information technology company with more than 25 years experience providing innovative information technology solutions for environmental decision support systems, using a variety of different environmental data sources, from satellite sensors and imagery to stream gauges in local rivers.RIVERSIDE QUICK FACTSFounded in 1985Corporate headquarters in Fort Collins, ColoradoCompleted over 1,200 projects in more than 30 countriesNCBR Mercury 100 List for “Fastest Growing Companies Over $2 Million”SBA “Small Business of the Year Award” recipientNCBR 2011 “Environmental Entrepreneur Award” recipientRiverside has successfully implemented more than 1,200 projects in over 30 countries, and maintains a strong worldwide customer base, including U.S. government agencies such as USAID and USTDA, and international donor organizations such as the World Bank, Asian Development Bank, and FAO.Since 1985, Riverside has built relationships and partnerships with a variety of clients including the U.S. Geological Survey (USGS), the National Oceanic and Atmospheric Administration (NOAA), the U.S. Department of Agriculture (USDA), and the Colorado Water Conservation Board (CWCB) — providing products, services, and solutions meeting their long-term needs.","COMPANY OVERVIEWRiverside Technology, inc. (Riverside), a leading international engineering and consulting firm providing scientific analysis, applications development, systems engineering, and GIS services to federal and state government agencies, private companies, and international clients, has an immediate opportunity for a Senior Engineer at our headquarters in Fort Collins, Colorado.  THE POSITIONThe successful candidate will lead and manage modeling and forecasting projects for water resources management, and will have demonstrated experience in developing models, creating model products, and communicating with users and stakeholders.  Riverside executes projects throughout the US and around the world so opportunities for travel may be a part of this position.  The work is anticipated to be in a variety of water resources management areas including:Hydrologic and hydraulic modeling for water resources decision support systemsModeling of streamflow regulation including diversions, ecological constraints, and water rightsModeling of climate change impacts on water resources systemsLarge-scale river basin planning and management for water supply, flood control, drought evaluation, and environmental applications RESPONSIBILITIESThis position will be responsible forManaging and coordinating the work of staff and/or subcontractors on multiple projectsTaking a lead role in proposal development with responsibilities for technical coordination, writing task descriptions, developing cost estimates, defining project scope, etc.Identifying and promoting new business areas, participating in conferences and professional activities that foster new business opportunities","DESIRED KNOWLEDGE, SKILLS, AND ABILITIESProject management experience (PMP certification is a plus)Experience with hydrologic/hydraulic modeling, river forecasting, and product developmentExperience with reservoir modeling programs such as RiverWare, HEC-ResSim, RES-J, etc.Experience with probabilistic hydrologic forecastingExperience with flood risk analysisExcellent written and oral communication skillsAbility to work independently and part of a multi-dimensional team  P.E. license or ability to acquire certification  EDUCATION/EXPERIENCEAdvanced degree in Engineering / Physical Sciences with emphasis in Hydrology, Hydraulics, Water Resources Management or Systems Analysis10+ years of related experienceLOCATION: Fort Collins, CO",Riverside is an Equal Opportunity Employer offering very competitive compensation and exceptional employee benefits.,0,1,1,Part-time,Mid-Senior level,,Civil Engineering,Science,0
8900,Graphics Designer,,,,"Who is AbZorba Games?Founded in 2011 we pride ourselves on creating superb, high-quality multiplayer games that entertain and engage gamers all across the globe. We focus on excellence of execution and push ourselves hard in this fast moving industry whilst enjoying every minute.In our three years we have:Launched our flagship Live Blackjack on Android attaining over 2m gamersAmassed over 5 million installs and still growingAttained the #1 Casino Game iTunes USAExpanded our Hub of Fun™ games portfolio to 17 published gamesGrown our talented team to ten - so farCo-Founded the industry facing International Social Games Association (ISGA)What are our core values?Our core values are integrity, passion and innovation and reward those who bring these attributes to the team. We demand the highest standards in creativity, quality and commitment in ourselves and of our products.What is AbZorba offering?A world leading gaming company renowned in its sector for quality gaming products in a fast paced, hot sector. We pride ourselves on being a dedicated passionate team ready to grow still further and yet with a fair and ambitious work ethic.We provide competitive compensation and rewards with personal and company bonus schemes in place - we reward when ever we want and can not just at the end of the year.We have just moved to light, new offices in the heart of Athens furnished with amazing Mazi Mi chairs, yes we take your sitting seriously. We have also included the all important and inevitable techie creative area with an over-used foozball table!","The Graphic Designer/Illustrator is responsible for designing or creating graphics, logos, animations and imagery to meet specific needs for existing and prospective mobile and web applications. Work will include creating mockups and designs for logos, buttons, icons, splash screens, banners, promotional materials and more in both print and digital mediums. Individual should have the creativity and artistic know-how to create engaging, inspiring imagery.","Create designs, concepts, mockups, and sample layouts based on good design and layout principles.Create animated graphicsOrganize, resize and manipulate client materials such as raw files, logos, high-res photos, etc.Work with the development team to design new feature layouts in an appealing, intuitive mannerPrepare illustrations, mockups, and sketches to communicate ideas.Follow instructions and specifications for precise content layout.Prepare notes and instructions for teams who assemble graphics for final output.Ensuring creative presence that reflects innovation and current trends.A degree in graphic design, art, or related field.At least 2 years of experience.Fluency with graphic design software programs including Adobe Photoshop and Adobe Illustrator and/or Corel CorelDRAW Graphics Suite.Must be a regular user of mobile technologies and apps.Bachelor Degree in Graphic Design, Art or related field","AbZorba is a world leading mobile casino games studio based in Athens that recently smashed through 4 million gamer installs, reached #1 US iOS Casino Games and has #1 Blackjack Android worldwide.Our rapid growth demands we hire ambitious, experienced new developer talent.",0,1,0,Full-time,Mid-Senior level,,,Design,0
13619,Cable Technician,"US, AZ, Phoenix",,,Tel Tech Networks is a Voice/Data/Video/Security systems contractor operating primarily in the Southwestern United States.  We are a telecommunications firm dedicated to exceeding costumer expectations through critical infrastructure installation and leading technology integration,"Installs and troubleshoots with Voice/Data/Video telecommunications cabling systems and equipment.  Has basic knowledge of commonly-used concepts, practices, and procedures within the telecommunications industry. Has mechanical aptitude and knowledge of hand tools.  Relies on instructions and pre-established guidelines to perform the functions of the job.  Reports to a Project Lead or Project Manager. ","Installs and terminates telecommunications cables (Category 5, 5e, 6, 6a, Coax, and Fiber Optics) indoors or outdoors in new construction and/or pre-existing facilities.                                                                Uses industry specific tools in accordance with safety guidelines. Including but not limited to; screwdrivers, pliers, crimps, strip tool, punch down tool, drill, hammer, ladder, toners and testers.                                             Works in confined spaces such as crawl spaces or attics.Drives a vehicle in performance of duties in a safe and responsible manner.Demonstrates proper safety knowledge policy and procedures.                                                                         Travels long distances with occasional out of state and overnight stays.   Works a variety of shifts including evenings, weekends, and graveyards.                                                            Maintains good positive relationships  will all customers and vendors at all times. Maintains a professional appearance and attitude at work and/or while operating a company vehicle.A basic knowledge of installation techniques of Data/Voice cable and equipment.   Must possess a State issued Drivers License with a clean MVD driving record.                                                                                                                                                   ","Competitive benefits for those who qualify including 401K, Health, and Dental.   ",0,1,0,Full-time,Not Applicable,Unspecified,Telecommunications,,0
14169,English Teacher Abroad ,"US, CA, San Luis Obispo",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
9382,"Product Manager, Core Application","US, CA, Mountain View",,,"Come be a part of one of the fastest growing, well-funded and exciting startups in Silicon Valley.  Peel makes the worlds leading smart remote app which turns your mobile device into a universal remote control. Our technology is preloaded on many of the worlds top-selling Android devices.  Peels audience is at 60 million and doubling every six months with 3 billion+ remote commands handled every month.   Help us revolutionize the TV viewing experience and achieve our goal of becoming the universal controller for the internet of things.Peel is driven by design and a passion for developing brilliant user experiences. We simplify complex problems, then surprise and delight. Prior to starting at Peel, members of the team helped create some of the best products available today, including iTunes, iPhoto, Netflix, Roku, Avid, and Final Cut Pro. We're a fun company that works hard, but understands the value of well balanced professional and personal lives. Away from work, we raise wonderful young families, race cars, sail boats, play instruments, and go on adventures in outer space… Well, maybe we all just dream about that last one… On the job, we come in charged and focussed on changing the industry, forever. We want to work with like-minded creative and talented people. If you want to change the world and the face of entertainment, we want to hear from you!","The Product Manager of the Core Peel Application must be a multi-faceted candidate with excellent educational qualifications and proven professional track record.The product manager for the core application will be responsible for owning the product roadmap and executing the product delivery via bi-monthly scrums. Will be responsible for building new features with a clear explantation and rigor applied to prioritization based on market situations and customer requirements. Will be responsible for defining, scoping and delivering the product iterations to the market on time and to quality requirements. The candidate will also be responsible for building for user retention and constatnly improving current numbers.","Top Computer Science / Engineering SchoolTop MBA preferred.Since this role will be actively working with engineering and participating in scrum meetings and engineering processes, the candidate must be comfortable in this process. Prior experience in this is valuable.Great approach to “Build Phase” followed by excellent attention to detail to “Measurement and Continuous Improvement”.Must know how to build for retention and stickiness. Ability and past experience in using data as a way to inform new features and manage iterative product improvement.Experience setting up A/B testing experiments and the ability to read the data and come to a conclusion to make product decisions. Proven record of improving retention and core product metrics. Experience designing for large scale. Peel expects to hit 150M users in 2014.Clear approach to building anything. Must be able to articulate the approach. Clear approach to continuous improvement of product retention. Must be able to articulate the approach.Own the product roadmap - be able to analyze market and industry trends to recommend product focus areas to management and develop design concepts to communicate idea. Be able to develop product roadmap and set clear milestones.Execute roadmap in clear chunks of work that go out to market on a regular schedule.Experience working with designers and UI developers to transform an idea into real user interfaceBe able to run daily scrums to deliver product.Deliver to time and to quality requirements.Presentation skills to present ideas, new interfaces etc to founders.Take founders feedback and rev designs and concepts.Must be from a background of television, online video, mobile video, social and content related apps.","Come be a part of one of the fastest growing, well-funded and exciting startups in Silicon Valley.  Peel makes the worlds leading smart remote app which turns your mobile device into a universal remote control. Our technology is preloaded on many of the worlds top-selling Android devices.  Peels audience is at 60 million and doubling every six months with 3 billion+ remote commands handled every month.   Help us revolutionize the TV viewing experience and achieve our goal of becoming the universal controller for the internet of things.What else do we offer? Here are some of the benefits at Peel:* Competitive base salary* Stock Options* Full benefits (medical, dental, vision)* Easy walking distance from Caltrain* Free Caltrain passes* Free parking* Free gourmet lunch Wednesdays* Close proximity to downtown Mountain View eateries* On-site massage* Life insurance* 401K* On-site snacks/beverages/gourmet coffee* Open dog policy* Ping Pong, Pool and other games onsite.",0,1,1,Full-time,Mid-Senior level,Master's Degree,Internet,Product Management,0
11113,Intern/Junior RoR Developer @netguru,"PL, , ",,,"We are Netguru and we love to develop web application based on Ruby On Rails framework. We value quality, transparent communication and passion for work.","We are Netguru and we love to develop web application based on Ruby On Rails framework. We value quality, transparent communication and passion for #URL_29ee3c13b00b08139a947ea0c6a2de501300346e0ebc160486275c4253ff93a2# are always on the lookout for passionate Ruby on Rails developers! If you have talent and skills to deliver the best quality - check out our offer.","Apply if you have:Hands-on knowledge of:          - Ruby on Rails, HAML/SCSS, jQuery           - SQL and noSQLcommunication skillslove to be engaged in the projects you work ongood command of Englishlove to be engaged in the projects you work on We will be happy to see:links to your blog (if you have one) And even happier if you:know TDD, Scrum and Agile methodologieshave graphic skills Salary:2500 zł netto (full time)We want you to come on our board to:join people who are passionate about Digital/Techtake part in creating cool stufflearn fast to upgrade and become a developer in maximum 3-month periodwork flexible working hours if you need to","Perks & benefits:joining international conferencesEnglish lessons once a weekhalf-price lunchesFIFA, Xbox & other gamesNetguru Dinner once a monthBasically thats all! Apply and be one of us!",0,1,1,,,,,,0
14730,Ad Ops and Programmatic Analyst,"GB, LND, Camden, London",Display,,"Forward3D is the largest independent digital marketing agency in Britain. We run cutting edge digital marketing campaigns across paid search, natural search, display and social by using industry-leading linguistics and technology. Our localisation and origination service, Locaria, allows us to scale our clients' campaigns and content internationally. We cover an unequalled range of languages and have experience of running marketing campaigns in over 40 markets to date. Our in-house team of linguists localise content, keywords and copy to support the expansion of our clients businesses on a global scale. We started life in 2005 as “Traffic Broker”, an affiliate risking our own money. In the last nine years we have grown to over 200 in-house analysts, serving some of the worlds biggest brands and achieving ten million clicks per day, across 60 markets and in 45 languages. “Innovate every day” is our mantra, combined with an affinity for data and insightful analysis. Weve stayed ahead of the curve by providing industry-leading apps and tech infrastructure to support our analysts. Forward3D is part of The Forward Internet Group - a fast-growing business with a portfolio of innovative brands that employs over 200 people in our Camden, New York, Shanghai and Seoul offices. As well as doubling revenues year on year for the last four years, weve picked up several accolades including The Sunday Times Tech Track 100, Deloitte Technology Fast 50 and National Business Awards.","Desired skills and experienceOwn RTB campaign set up, performance, and pacing while recommending opportunities for optimization across all clientsProactively innovate and drive new channels and initiatives to encourage profitable growthWeekly review of performance of models across revenue, performance, and pacing to client account management teamAssume lead responsibility for future planning and forecasting at a campaign and account levelPlanning Campaigns with Behavioural, Contextual, and 3rd Party Segments to allow for model based optimizationDevelop strong media owner relationships; ensuring clients are first to market with relevant beta trialsCross-sell and up-sell business internal and industry tools & technologiesAudit tracking, tagging and campaigns on a monthly basisAnalyse campaign performance to understand value provided by publishers and data providersWork with clients and client services to understand key business challenges and design analytic and testing plans to derive actionable intelligenceBuild Private Market Place audience packages in-line with campaign objectivesEffectively manage any external/internal technical/programmatic queries/issues on accountCreate Insights PowerPoint decks to share actionable insights with clients to use across channels and case studies for the display and sales departmentWork with clients to create real-time brand optimization studies that align with campaign goals, but also create strong, clear signals that our models can use to optimize campaign delivery and performanceMentor junior team members in storytelling, campaign optimization, planning, technical and problem-solving skillsRegularly relay latest programmatic & industry related trends to the client and internallyExcellent analytical skills  complete ease with Excel, Pivot Tables and SQLExcellent presentation and storytelling skills with PowerPointExcellent diagnostic skillsHigh degree of creativity, self-motivation, and driveEagerness to work in a start-up team environment that will be rapidly changingProfessional oral and written communication skillsExperience with HTML, Java Script, Action Script, SQLPassionate about delivering great customer service for clients and the teamWilling to provide solutions and resolve problemsAssist in new business opportunities","Who we are looking forKnowledge and experience of ad-serving, tag management, analytics platformsEnthusiastic and digital/tech savvyAble to effectively time manage workloadsKeen problem solverExcellent attention to detailFast learner and methodical thinkerStrong communication skillsStrong understanding of web delivery technologyTo be the agency expert in programmatic & Ad Ops",,0,1,0,Full-time,Associate,Unspecified,Internet,Marketing,0
17482,Agile tester,"GB, LND, London",Product development,,"Founded by serial entrepreneur Clive Jackson and launched in 2011, Victor is one of the worlds most trusted names in private jet charter. With only a 5% fee for online bookings, Victor offers the broadest selection of quotes for charter flights at the best prices directly from the operators. With access to over 700 contracted aircraft, Victor can get you airborne in less than 2 hours from almost any airport around the world on any aircraft type. We're a small and growing agile team. As a team we aspire to the hacker culture and we care about what we do. We want to produce simple, elegant solutions to difficult problems. We take pride in getting the job done right. We want to improve as individuals and improve the team and wider organisation around us.Our development team use modern technologies, tools and methods to produce a product that our customers love. We want our applications to be ubiquitous; any time someone is booking a jet they'll be using the Victor platform.We choose the best tools for the job and right now that's mostly node and Angular, with MongoDB and a smattering of Python.For vacancies for our other companies, #URL_8b5fe34131f015b09e2b4b01097392477242e0de74786a62a71e91e1e5dbfba7# and Global Beach, please click on links below:#URL_c31909b471fce3a2c3ea962a074a37e919edf78b8dfb90c4a3a9ace570542adf#http://global-#URL_c4958175db594f9b8c88259f8f6fa850085a15c4723021f19596b2a9752dc62f#","We're a small and growing agile team. As a team we aspire to the hacker culture. We care about what we do. We want to produce simple, elegant solutions to difficult problems. We take pride in getting the job done right. We want to improve as individuals and improve the team and wider organisation around us. We use modern technologies, tools and methods to produce a product that our customers love. We want our applications to be ubiquitous; any time someone is booking a jet they'll be using the Victor platform.We choose the best tools for the job and right now that's mostly node and Angular, with MongoDB. We have a smattering of Python, and a .net application that's slowly being retired.","We're looking for a couple of agile testers. Whilst we trust them, you can never be too sure so we need testers around to keep them honest. We have a Continuous Deployment process based on GIT and Heroku, a well documented suite of manual tests for what we've built so far and the start of an automated test suite. We believe automation is a way to ensure we can run tests more frequently, quicker and keep our testers focused on continually adding value.If you've got experience of build and deployment processes too that would be great; we use wercker, but if you've used Jenkins or team city it's not a million miles away.","We offer an exciting challenge and an enjoyable working environment, with opportunity to grow as we do. We'll even pay you (fairly)!",0,1,1,Full-time,Associate,Unspecified,Airlines/Aviation,Information Technology,0
16344,Mobile App and Web app programming positions (all levels),"GR, I, Athens",,,,"Programize, a dynamic software development house seeking to boost Greeces technology exposure, is looking for motivated architects, senior and junior developers in Greece. The candidate developer is expected to:Develop, maintain and optimize features and functionality for mobile apps on iOS and/or Android platforms, but also dip into web app and back end development, as necessaryConstruct of high-performance APIs for back-end interfacing and back-end developmentCooperate with UI designers when creating web and mobile User InterfacesWork with customers to extract requirements, co-design application and agree upon deliverableCooperate closely with customers and other team members in Quality AssuranceIdentify and address functionality bugs, limitations and performance bottlenecks of the softwareParticipate in design and code reviewsContribute in team management or project management roles","The candidate must have:Formal education in a traditional science subject, ideally computer science, engineering, or information systems from a well regarded universityExcellent communication skills, both written and spokenExcellent command of the English languageA strong capacity for logical reasoning, and creativity when it comes to finding solutionsA high motivation for learning the internals of complex software projectsAn analytical, structured, and self-reliant way of workingTechnical skillsExperience in at least one of the following            -  native mobile app development for the Android and/or iOS platforms            -  hybrid mobile app development frameworks (Javascript / AngularJS based,  etc)            -  HTML-5 mobile app development            Experience in any other mobile app development platform is considered a strong plusWell-founded knowledge of at least one of Java, J2EE, Objective-C programming, as well as OOD, OOP, and software designExperience in building web applications (e.g., HMTL/CSS, Javascript, AJAX) and working with remote data (e.g., REST)Database design and development experience (of any SQL-like database provider)Comfortable working on Linux based environment as well as Bash, Perl, PHP and PythonBasic understanding of internet protocols and TCP/IP network fundamentalsExperience using version control systems (Git/Github, CVS, Subversion, etc.)Experience of all stages of software lifecycle, especially requirements capture and analysis","Programize offers:Constantly new challenges and projects in a vibrant company of ingenious developersA friendly, creative and stimulating working atmosphere in a very resourceful environmentContinuous training programs on new technologies and personal growthCompetitive salary, commensurate with experience and levelA solid career advancement path",1,0,1,,,,Computer Software,Information Technology,0
8755,Bilingual(English/Spanish) Certified Nursing Assistant,"US, FL, Delray Beach",,,"Senior Nannies Home Care Services was founded nearly a decade ago by Claudia Wechter with the goal of providing the best in-home care possible for Florida residents. We provide knowledgeable, intelligent, experienced caregivers to a patients home to help them with activities of daily living. Activities of daily living include assistance with bathing, dressing, transferring and medication reminders. Also, the caregiver will assist with every day activities including meal preparation, light housekeeping, laundry, changing bed linens, accompanying a patient to a doctors appointment and running errands such as grocery shopping. Our team of in house care managers ensure that your loved one receives the best care possible by monitoring their care to determine if any additional services are needed. We pride ourselves in providing the most personal in home care throughout the industry. Senior Nannies Home Care Services are truly Home Care At Its Very Best!","Helps patients by supporting personal hygiene and daily living needs; providing comfort, transportation, and vital sign monitoring.Certified Nursing Assistant Job Duties:Provides patients' personal hygiene by giving bedpans, urinals, baths, backrubs, shampoos, and shaves; assisting with travel to the bathroom; helping with showers and baths.Provides for activities of daily living by assisting with serving meals, feeding patients as necessary; ambulating, turning, and positioning patients; providing fresh water and nourishment between meals.Provides adjunct care by administering enemas, douches, nonsterile dressings, surgical preps, ice packs, heat treatments, sitz and therapeutic baths; applying restraints.Maintains patient stability by checking vital signs and weight; testing urine; recording intake and output information.Provides patient comfort by utilizing resources and materials; transporting patients; answering patients' call lights and requests; reporting observations of the patient to nursing supervisor.Documents actions by completing forms, reports, logs, and records.Maintains work operations by following policies and procedures.Protects organization's value by keeping patient information confidential.Updates job knowledge by participating in educational opportunities;participating in professional organizations; maintaining licensure.","Valid Driver's LicenseSocial Security CardProof of Citizenship (Passport,Voters Registration, Birth Certificate, Work Permit, or Resident Card)CPR CardValid Proof of Car InsuranceCNA State LicensePhysical ExamTB Test ResultDomestic Violence CertificateOSHA CertificateAlzheimer's CertificateHIV/AIDS CertificateLevel II Background Screening",,0,1,1,Contract,,Certification,Hospital & Health Care,Health Care Provider,0
17024,Job opening for SFDC Consultant,"US, NC, Charlotte",,,"e-Solutions Inc. is a talent-driven consulting company providing individual consultants, project teams, and strategic outsourcing services to clients in a wide range of industries. We leverage our recruiting expertise to deliver high-end consulting services for a variety of #URL_a4ff1be4219038ee0b69a0d728becbea0371cc1dede5a41ed0210ed3f8502d3b#-Solutions Inc. understands the technology, work culture and the working standards of the clients. Some of our esteemed clients to whom we are providing effective services in the area of staffing ","Title                       : SFDC Consultant Duration               : Full time                                                                                                                                                                         Location               : Dubuque,(Iowa) and Charlotte, (NC), Richmond/VA ","SFDC Design experience including  experience in administration and development of #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86#.Salesforce Certified Architect / DEV401 / Service Cloud / ADM201- Should be able to define and design the Sales Force road map and ArchitectureExperience with the #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# web services APIProficiency in HTML, XML, JavaScript, SQL, Java, PHP or C#, SOAP-based web services (SOA)Experience with the SFDC toolkit (e.g. Visual force, Apex, S-controls, Triggers, Chatter, etc.)Ability to communicate complex analytical results, both written and verbally, in a clear and easy-to-understand way by e-mail, and phone.In-depth understanding of the capabilities and constraints of the Salesforce Service Cloud application coupled with good understanding of the business processesExperience working within a team environment and independentlyExperience in thinking through problems creatively",,0,1,0,Full-time,,,,,0
10822,Data Engineer - Risk,"GB, , London",Risk,,"MarketInvoice is one of the most high-profile London based fin-tech companies. The Company is Europes leading P2P invoice finance platform that allows SMEs to quickly and flexibly sell their invoices, releasing critical working capital in the process.Our mission at MarketInvoice is to modernise the way in which SMEs finance their working capital and fund their growth. We are seeking to bring much-needed innovation to the banking industry in much the same way that online retailers disrupted the high street.You will be joining a diverse, multi-talented, fast-growing team that is passionate about using technology to help businesses access funding and change the world of business finance.","COMPANYMarketInvoice is one of the most high-profile London based fin-tech companies. The Company is Europes leading P2P invoice finance platform that allows SMEs to quickly and flexibly sell their invoices, releasing critical working capital in the process.Our mission at MarketInvoice is to modernise the way in which SMEs finance their working capital and fund their growth. We are seeking to bring much-needed innovation to the banking industry in much the same way that online retailers disrupted the high street.Based in central London, you will be joining a diverse, multi-talented, fast-growing team that is passionate about using technology to help businesses access funding and change the world of business finance.At MarketInvoice you will:Have a meaningful impact on the company's future and participate in rewards accordinglyWork in a fun, dynamic environment that has innovation at its coreBe part of a motivated team and work with people who get stuff donePlay your part in changing an archaic industry for the better THE ROLEWere looking for a curious and meticulous data engineer with a keen interest in finance, risk and data management and a good background in computer programming.This is a key role as the candidate will be an integral part of structuring the company's Risk policies. The strong growth of our company requires unique and innovative risk models which are based on internal and external data. The candidate would work closely with the Risk Officers and the Quantitative Analytics Lead to structure these policies and determining the company's risk strategy.DUTIES & RESPONSIBILITIESAssistance in building tools to collect, process, manage, analyse and present data relevant to the risk department.Responding to new data-related requests and delivering results under short deadlines and high pressure.Research additional data sources that can benefit the risk analysis within the business, perform simple integrations and management of these data sources with the companys own data systems and CRM to deliver relevant information to the risk team.","ABOUT YOUYou will have at least two years experience in an analytics or a quantitative role within a financial institution or a data-driven technology company. Youwill also be willing to work with and handle multiple technologies that form the infrastructure of the risk team. You will be dedicated to being meticulous about the quality of data that forms the basis of any results that you produce.You will be independent and enterprising and will be able to work on your own with little guidance. Furthermore, you will have a thirst for knowledge and drive to further develop your skills.Most importantly, you will appreciate the challenges that come with managing data and will love developing an understanding of the business and its customers through the data that is available to you within the company and the wider world. You should enjoy being challenged and solve data-based problems on a daily basis.SKILLS & QUALIFICATIONSBachelors or Masters degree in a quantitative discipline.Experience in a financial institution or a data-driven technology company of at least two years.Able to find, extract and organize large datasets, as well as present data in the required formatTechnical skills: SQL, R/MATLAB/Octave (or other commonly employed statistical and computing languages), Excel, Web programming (HTML, JavaScript, APIs), Python.Team player while being self-sufficient. Able to work closely across departments and with senior management. Excellent communication and good presentation skills.Able to assist in documenting requirements and tools as well as resolving conflicts or ambiguities within the data sources.Basic understanding of financial products, credit and risk. Knowledge about the SME finance sector is an advantage",BENEFITSCompetitive basic salaryPrivate healthcareHalf price gym membershipThe opportunity to progress your career at one of London's hottest FinTech startups.,0,1,0,Full-time,Associate,Bachelor's Degree,Financial Services,Engineering,0
1222,Local Representative,"US, FL, Bonita Springs",,,"ERG is a business and consumer law firm dedicated to helping its clients navigate the BP Claims Process. Almost every type of business on the west coast of Florida, and many individuals and professionals, may be qualified to particpate under this new BP funded program established as of June 4, 2012. The purpose of the program is to help Florida businesses and consumers recover from the direct and indirect impacts of the 2010 Deepwater Horizon Oil Spill, and ERG and its team of lawyers and financial professionals are dedicated to ensuring that all of those impacted receive the quality representation they deserve. The ability to file a claim is time limited, so investigate your rights today to ensure that the intended benefits of the program are realized as Florida's economy continues to struggle. Visit #URL_70030a244afb9e0151ea2dcb7fe41f3026a714b37eef7f5e2494abafa40ae1fa# for more about ERG and whether you or your company may have a claim.","If you are in a direct marketing, consulting, advising, commission, service, non-profit job, this is a tool that you need to have in your kit for your clients, associates, friends and neighbors. It is an eleven month opportunity to earn as much or as little as you are interested in, simply by communicating with your friends and business associates along the coast of Florida.","Brief Outline of Local Representative Position: Economic Recovery Group, LLC is a Florida law firm devoted to spreading the word to potential claimants about the new BP Horizon Settlement Program. Essentially everything you need to know about that is in the FAQ, will be covered in the one hour webinar training and will be emailed to you in a variety of updates. We are looking for businesses, nonprofits and independent contractors who can produce 60 months of P & L statements, had a downturn in 2010, and in 2011 show an upturn. There are 63 other ways to make a determination, but the general claim will follow that pattern. There are a few excluded classes  bankers, insurers, BP Station owners, investment advisers, casinos and local governments are probably the most common. If you find somebody who might be interested, refer him to a regional representative who will encourage him to fill out the paperwork to evaluate whether he may have file a claim. A staff attorney from our Winter Park office will contact him, assist him in putting together his documentation and filing a claim if there is one. We are also looking for groups to present to, and any leads that come from those meetings will belong to the local representative. We have presentations that someone from ERG lines up and will invite the local representatives to be there and give claim forms to those interested. These will become yours as well. There are about 20 Billion dollars worth of claims walking around out there. This is the biggest settlement agreement ever filed. We have until April 22, 2014 to file claims or be barred. A rough estimate is that there are 800,000 potential claimants in Coastal Florida. There is no production requirement. This is just an opportunity that you can take as much or as little advantage of as you want. You will be signed up as an employee of Economic Recovery Group as the State Bar of Florida requires that you be an employee. We will furnish business cards and marketing materials. Let me know if youre interested. Email me at #EMAIL_3f00b4e20c8bbab14a7c183dc3ab173b04ce72fcefe59c98b255f84561925ba4# or call me if this is something that might be of interest to you. Cell: #PHONE_2c9e2730fd93423ce8b7a871ebeeaef430843c21c17585b0181eda889db552b5# or #PHONE_75ae6759d433d010ff7bbb7f46a83587f6befc19df50986f4d1fbab112862582#  All the best,David_______________________David K Easlick Jr, JD MBACommunity RepresentativeRegional Human Resources RepresentativeEconomic Recovery Group, LLC1936 Lee Road, Suite 105Winter Park, Florida 32789#URL_70030a244afb9e0151ea2dcb7fe41f3026a714b37eef7f5e2494abafa40ae1fa#  E: #EMAIL_3f00b4e20c8bbab14a7c183dc3ab173b04ce72fcefe59c98b255f84561925ba4#W: 888/270/6288C: 843/522/0679","As a local representative you will receive 1% of the claim amount when the client receives it from the BP Settlement. You have to do nothing other than refer the client to us.  Attorney client privilege attaches when they sign the documents and no-one but our staff attorney will be privy to any of the financial information. Your compensation comes from the attorneys fee and in no way diminishes the recovery of the client. Also if you should happen to recruit others interested in being local reps, you would also get a 25% referral of their 1% commission. ",0,1,1,,,,,,0
8496,Technical Recruiter,"US, CA, San Francisco",,,"Mashape is the largest, most trafficked API marketplace/hub in the world.Mashape is a revenue generating startup powering thousands of APIs (both private and public) and Applications - its used in almost 100 countries by thousands of developers and adopted in every major industry including finance, healthcare, military, agriculture, insurance, government, media, e-commerce, retail, aviation, manufacturing and telecom.Mashape is funded by tier 1 VC firms including Index Ventures, NEA, CRV, Stanford University, Jeff Bezos and Eric Schmidt.","We're seeking an outstanding Technical Recruiter that will help us in growing our talented team.  You will develop, implement, and execute the hiring strategy. You will be an active participant throughout the recruiting process, ensuring a smooth and positive candidate experience. You will source candidates, screen resumes and interview qualified candidates.You will facilitate interview and hiring discussions with the interviewers and the CEO, drive the offer process, including generating offer letters, extending offers, and closing candidates.","2-5 years technical recruiting or sourcing experience for a search firm or in-house recruiting teamPassion for APIsExperience recruiting in a startup environmentFlexible, positive, communicative team player with outstanding interpersonal skillsDetail orientation with a high sense of urgencyAbility to work with a fast-paced teamBSc in CS, Economics or similar"," As an early employee you will get a true stake in the company Competitive salaries  Poker nights  Apple equipment Italian Food cooked by the founders Free lunch 2 times a week. 1 week/year in a spiritual retreat with the team somewhere in the world A 2nd family  - whatever problem outside of the work, well be there Vacation days & time off Awesome medical, dental, & vision insurance 401k Much more…",0,1,1,Full-time,Not Applicable,,Internet,Information Technology,0
6077,Marketing Manager,"US, MA, Boston",Marketing,,"The smarter way to investPicking from thousands of stocks or funds is hard. Finding an investment manager can be even harder. Weve built a smarter way for savvy investors to find managers they can trust.We believe investing should be transparent, fair, and a pleasure, not a chore.Our investorsThe companys investors include Union Square Ventures, Spark Capital and Amadeus Capital Partners.Learn more on #URL_e69aa09a90250fdf472550ec4ae5a6795a6f09d317f44b9beda100daf3203e0b#","Covestor is seeking a talented, hands-on individual to lead our relationship marketing and digital client acquisition efforts. Solid knowledge of how to engage prospects online and exceptional copywriting is required. The Manager of Client Acquisition Marketing will report to the Chief Marketing Officer.Your background should demonstrate proven success in creating and delivering high-impact consumer marketing programs. The ideal candidate will have experience with the nuts and bolts of online marketing and experience with customer acquisition. Experience in asset management or wealth management is preferred. You should be comfortable in a fluid start-up environment and bring an energetic, fun and creative approach to your work.This job will be based in our US headquarters in downtown Boston.The role:Develop marketing campaigns, content marketing plans, website calls-to-action and other relationship formation marketing programs to drive new leads and clients.Produce compelling content that attracts and engages new clients to Covestor. Programs focus on the topical concerns of affluent and high net worth investors.Evolve the retention and development marketing programs targeted at Covestor clients.Develop marketing programs that re-engage older prospects.","Very strong organizational, writing and communication skillsCreative flair and willingness to experimentEfficient digital worker chops5-10 years of relevant experience managing consumer marketing programs in an online environment and/or ad agencyExperience with content marketing and email marketingComfortable in a small, intense and high-growth start-up environment","We offer a competitive compensation package including stock options, bonus, and benefits.",0,1,1,Full-time,Mid-Senior level,,Investment Management,Marketing,0
5244,Administrative Assistant,"US, OH, Celina",,,"MRSI is a nonprofit organization established in 1977 by a group of parents and professionals as a local alternative to the institutionalization of people with intellectual or emotional disabilities. We provide an array of services from group homes, respite care, affordable housing, homemaker/personal care services, vocational, recreational, and social activities.","       Normal  0          false  false  false    EN-US  X-NONE  X-NONE                                                                                                                                                                                                                                                                                                                                                         /* Style Definitions */ #URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0in 5.4pt 0in 5.4pt;mso-para-margin:0in;mso-para-margin-bottom:.0001pt;mso-pagination:widow-orphan;font-size:10.0pt;font-family:""Times New Roman"",""serif"";}MRSI has immediate opening for an Administrative Assistant for the Celina office. The Administrative Assistant works cooperatively with all staff in expediting the general flow of all matters pertaining to the office including, but not limited to: reception, word processing, general office/clerical duties, computer equipment/systems, payroll, and office décor/appearance. Assure proper and professional reception of all visitors and callers to the office. Answer incoming phone calls and assure the calls are routed to the correct parties, as assigned. Establish and maintain assigned files in an orderly, complete, and confidential manner as applicable. Maintain tracking systems for company (license renewal, contracts, client information, etc.) requirements and notifications as applicable. MRSI is a leader of quality and affordable housing and support services for people with intellectual or emotional disabilities in the communities of West Central Ohio. Starting pay is 13.50 and up depending on experience.","2-3 years experience in a top-level, high-pressure, deadline-oriented executive environment as an administrative assistant.Intermediate to advanced MS Office, HR liaison, travel, corporate correspondence, personal errandsProven clear thinker, well organized, level headed, solution orientedSelf-starter, efficient, productive, works well with a teamNot physical labor but must be able to lift 25 pounds for paper storage.    ","       Normal  0          false  false  false    EN-US  X-NONE  X-NONE                                                                                                                                                                                                                                                                                                                                                         /* Style Definitions */ #URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0in 5.4pt 0in 5.4pt;mso-para-margin:0in;mso-para-margin-bottom:.0001pt;mso-pagination:widow-orphan;font-size:11.0pt;font-family:""Calibri"",""sans-serif"";mso-ascii-font-family:Calibri;mso-ascii-theme-font:minor-latin;mso-hansi-font-family:Calibri;mso-hansi-theme-font:minor-latin;}MRSI's employee benefits are among the best in the human services industry for full-time employees in this area. MRSI offers competitive salary and benefits package, including life and dental insurance, short term disability, paid vacations and sick time, a 401(k) retirement plan, and incentive bonuses.",0,1,1,Full-time,Mid-Senior level,Unspecified,Nonprofit Organization Management,,0
12961,Infrastructure Engineer,"US, CA, San Francisco",Engineering,,"Flite delivers ad innovation at scale to the world's top publishers and brands. Marketers use the self-service Flite Platform to reduce the complexity and cost of delivering differentiated ad products that engage their audiences. The Flite Platform serves, updates, and measures advertising in real-time and integrates web applications directly into online ad units fueling digital advertising innovation at scale. Flite enables marketers to be agile, and respond immediately to real-time performance metrics and daily events by updating their paid media creatives with relevant content and functionality. ","Flite is looking for a Infrastructure Engineer to join our growing Infrastructure team to make a significant and meaningful impact.As an Infrastructure Engineer, youll be making a significant impact by developing highly available, quickly scalable, and performant infrastructure within Flites cloud-based infrastructure. Flite's greater Engineering team is a small group of talented and fun people who believe in being proactive, building sustainable and sane service oriented architecture, and loves to learn. A balance between work and life is paramount. We maintain that by building incrementally, thoughtfully managing what we have, automating ""all the things,"" continuously integrating and delivering, prioritizing the many exciting projects on the roadmap, and, above all working together. We are firm believers of Infrastructure as Code and believe that the same tools to build our applications can be leveraged to build our infrastructure.Some responsibilities include:Designing, scaling, and automating Flite's cloud-based infrastructure.Building resilient and self-scaling systems so that you can sleep through the night even in case of instance and datacenter failures.Monitoring site stability and performance.Participating in on-call production support rotation.","We're looking for someone who:Has experience with configuration management (Chef) and knowledge of monitoring tools (Nagios, collectd)Has experience with Amazon Web Services (EC2, VPC, S3, etc)Has strong Linux administration skillsFirm believer in source control management and uses GitProficient in either Python or RubyBonus points if you:Have experience in MySQL administration and/or with MySQL replicationHave experience with Cassandra",Competitive baseAttractive stock option planMedical/Dental/Vision insuranceUse as much as you need vacation/PTO401K enrollmentCommuter checks or pre-tax transportation reimbursement optionsFlexible Spending Account optionCasual and fun working environmentOpportunity to work with smart people and learn a lot about one of the fastest growing industries,0,1,0,Full-time,Mid-Senior level,,Computer Software,Engineering,0
10050,Juniper Sr. Network Engineer,"US, CA, pleasanton",,,"Infolob Solutions is a leader in Managed IT services, Enterprise Application Software, IT staffing and Consulting and Application Portfolio Management. When we envisioned that the future IT consulting company we wanted to blend service, and technology to deliver measurable business results for our customers. We founded the company in 2009, and our team is composed of highly qualified and devoted professionals, with diverse backgrounds, creating a powerful mix of high quality specialists dedicated to providing our customers with one of the best products in the industry.",Juniper Sr. Network EngineerPleasanton CA24 months+Top 3-5 MUST HAVE technical skills:1. Juniper SSL VPN 2. Juniper Firewalls3. Palo Alto Firewalls .Top 3-5 daily responsibilities1. Implementation and troubleshooting of Juniper Firewalls and routers2. Design and implementation of security and network infrastructure3. Nice to have - able to provide escalation supportJuniper-Palo Alto firewalls-Cisco and Wireless routers and switches-F-5 load balancer- ,"Data Center implementation-Responsible for designing and implementing enterprise networks and related hardware/software. Design, document and implement systems/network architecture.Perform assessments on existing technologies to determine performance and capacity gaps. Establishing security (firewalls, intrusion detection), connectivity and access parameters and provide recommendations to optimize network infrastructure. Test and tune security devices and systems.Program routers and switches. Implement communications technologies (data or voice). Manage network services from planning phase through implementation.Solid knowledge of routing protocols, network hardware and software, security technologies and network infrastructure is required. 5-10 years experience required. Additional Notes from Manager:Responsible for designing and implementing enterprise networks and related hardware/software. Design, document and implement systems/network architecture.Perform assessments on existing technologies to determine performance and capacity gaps. Establishing security (firewalls, intrusion detection), connectivity and access parameters and provide recommendations to optimize network infrastructure. Test and tune security devices and systems. Program routers and switches.Implement communications technologies (data or voice).Manage network services from planning phase through implementation.Solid knowledge of routing protocols, network hardware and software, security technologies and network infrastructure is required.5-10 years experience required. ",,0,1,0,Contract,,,,,0
1524,Head of Marketing,"DK, 84, Copenhagen",Marketing,,"Tradable's award winning platform helps brokers solve the needs of their most demanding clients. Born open, our APIs allow third-party developers to build and deploy all types of awesome trading applications which sit seamlessly within the Tradable platform. Traders can discover and install new trading apps from the Tradable appstore with the click of a button to fully customize their trading experience. The result is a constantly evolving platform where traders and brokers alike benefit from all the great and innovative features being developed by the Tradable developer community.","We are looking for a marketing leader to join our team. You will be responsible for all the marketing activities in the company. We expect that you have some previous marketing experience, ideally marketing startup software products. We expect that you are passionate about working in the startup environment. Responsibilities:Drive customer acquisition across online and offline channelsAnalyse competitors and market behaviorPlan marketing activities in the USA, Europe and JapanWork with brokers to co-ordinate marketing campaigns","Requirements:Excellent EnglishPrevious marketing experienceFamiliar with SEO, CPA/CPC, referral and affiliate programsExperience with marketing metrics and data analysisInterest in the trading industryExperience using social mediaExperience using online collaboration and communication toolsBachelor degreeValues:Positive can-do attitudeGoal-orientedFast and effectiveWell-organizedEarly adopter of new technologiesInnovative thinker",What we offer:Hands-on experienceCompetitive salaryCozy office in central Copenhagen,0,1,1,Full-time,,,Computer Software,Marketing,0
1201,Business Development Director  - Fashion Wearable Technology,"US, CA, Santa Clara",,0-0,"Human capital is usually the biggest asset and expense for a company. Making the right hire  the first time  is critical to an organizations ability to succeed in a competitive market. At Talence Group, we take the time to understand our clients and their mission, and match the right talent to this mission.For candidates, we want to make sure that the opportunties we present are a perfect fit for you.  Our goal is to match your core competencies, passion and career focus with great positions that our client companies offer.","This is an exclusive retained search being conducted by Talence Group for our Fortune 500 client.If you have a passion for the latest electronic gadgets, are fashion-forward thinking and you have been working in this realm, read on…In this critical role as the leader of business development, you will be the innovator for conceptualizing and productizing wearable and accessory devices in conjunction with the global fashion industry.You will work closely with the leadership team of this Fortune 500 company that is an innovative technology leader for mobile devices.  You will be instrumental in bringing together the leaders in technology with the leaders in fashion to develop functional, stylish, killer products!If this fits your background and you are a fashion and technology innovator, please contact me immediately.  This is a highly visible role where you will influence the direction of future consumer products and modern wearable technology trends.  If you are a dynamic leader with great communication and influencing skills then this could be a compelling career opportunity.What you will do…Bringing together the technology industry with the fashion world to develop and grow new business opportunities by identifying and qualifying prospective B2B partners.Shaping the future of mobile devices as fashionable products through innovative ideas and partnerships.Developing long-term strategic roadmap to develop market position and achieve financial growth.Building key customer relationships, identifying business opportunities, negotiating and closing deals.Establishing a well-qualified pipeline of prospects, cultivating business relationships and turning those relationships into long-term strategic partnerships.Presenting and consulting with senior executive team on new business development ideas, business and fashion trends and opportunities to develop new products.Building demand for wearable device technology within the fashion industry and driving new product innovation in womens wear, menswear and accessories.Networking and actively participating in industry conferences, trade shows and associations.Identifying trendsetting ideas and exploring opportunities through market research.  Building extensive internal knowledge and understanding of market dynamics and trajectory for fashionable electronic devises.Developing negotiating strategies and managing clients to build successful partnerships. ","Business development experience in the world of fashion.Proven track record of turning ideas into successful, profitable consumer products and understanding of the value chain in the fashion industry.Passion for technology in wearable devices and fashion-forward concepts.Strong influencer with outstanding communication and presentation skills.Ability to set strategic direction, manage to goals and drive business development to increase shareholder value.Track record of building strategic, long-term B2B partnerships across industries.10+ years of experience in business development and product innovation.BS, MBA preferred",Compensation Level: 250K+,0,1,1,Full-time,Director,Master's Degree,Apparel & Fashion,Business Development,0
5796,Security Officer (SO),"US, WA, Seattle",Department,,"At SMGI we believe that 'Our People are the Difference'. Working for SMGI is a great opportunity for our employees to learn and grow in their career, while supporting clients in communities where they live. Our company pledge to our clients is ""Your Satisfaction is our Success."" Our ability to accomplish this goal is based primarily on the people we hire.There is no firm like SMGI. We employ only the most qualified individuals—and they choose to remain with us for many years. We have one of the lowest turnover rates in the industry. This is a result of our commitment to our team. Only satisfied employees can provide the exceptional customer service our clients deserve.At SMGI we have a unique pay structure. Employees have the opportunity to take classes and additional training to increase their base wage. Our employees learn and grow with us. As a result, our clients receive the best service in the industry. In addition, we develop and promote our security officers into supervisory and management positions at SMGI. Our employees have career advancement opportunities that are real and attainable. We recruit highly qualified and highly skilled personnel, including professionals from the private sector as well as former U.S. military and law enforcement. We search for people of the highest caliber; accountability, integrity and professionalism.At SMGI, ""Our People are the Difference.""","SMGI Employment OpportunitiesSecurity Management Group International (SMGI) currently has exciting career opportunities for qualified candidates in the Private Security Field in the greater Seattle area. We have both full-time and part-time positions available at a variety of locations. Setting the industry standard for integrity means having dedicated and professional people to serve our clients; our skilled officers are the reason why we are regarded as one of the most trusted security consulting and protection firms in the world.A career at SMGI means you'll benefit from an employee retention plan where continued training is available to help you evolve personally and professionally, and one that recognizes individual and team achievements with a merit based competitive pay program.  You will work alongside industry veterans, as well as motivated and career orientated newcomers to security consulting, and become a part of a growing family and ever evolving firm dedicated to protecting our clients.SMGI leads the industry in mitigating risk to our clients.  Examples of the services SMGI provides are:  Uniform Armed/Unarmed Security; Plain Clothes Security; Physical and Electronic Surveillance; Due Diligence and Business Investigations; Workplace Violence Prevention; Disaster Preparedness and Emergency Response Planning and Services; Personal/Executive Protection; Maritime Security; and GSA/Federal Government Assignments.","What are we looking for? SMGI employs highly trained protection professionals, including uniformed and plain clothes officers. We are currently welcoming candidates for Security Officer and Protective Security Officer positions. In order to become a Security Officer (SO) at SMGI, you must be able to meet the following criteria:Minimum Requirements (SO):18 years of age Must obtain and maintain state security officer credentials (CA BSIS Guard Card or WA DOL Security Officer License)Submit to and successfully pass a comprehensive background check, including drug screeningBe able to speak and write effectively in EnglishMeet and successfully interact with co-workers, supervisors, clients, and the publicUnderstand and apply designated post ordersBe able to maintain self-control and professionalism in stressful situationsHave a high school diploma or GED equivalentU.S. citizenship is required due to government contract assignmentsBe able to demonstrate a stable work and employment historyHonorable discharge from the military, if you served in the Armed ForcesThree (3) years experience in the Security Industry or related field (Law Enforcement, Military, etc.)","RANGE OF PAY:  $11.00 - $20.00 PER HOURIf you meet all of the above requirements, we encourage you to apply online.  Please send copies of required certificates to the SMGI Operations Manager, Ralph Cabuco (#EMAIL_f106d0bba06554f1e3db294331fc3c0d3508ba93f43e2dbc7e6a4e27bc2c37e4#), after submitting your application online .SMGI is an Equal Opportunity Employer.",0,1,1,Full-time,,High School or equivalent,Security and Investigations,,0
3617,Technical Lead - Content Management & Integration ,"US, NY, NYC",Product & Innovation,,"Persado is the leader in persuasion marketing technology for Fortune 500 and enterprise digital marketers. Persado maps the genome of marketing language and generate the messages that work best for any customer, any product, any time. ","The successful candidate will lead the design, development, deployment and assimilation activities of an integration strategy with customer CRM / CM and advertising platforms. Reporting to the SVP, Product & Innovation, this role centers on the development and implementation of a seamless integration strategy for Persado’s core product.Key AccountabilitiesDefining, planning, coordinating and overseeing activities related to integration of Persado’s core technology with customer CRM / CM and advertising platformsResponsible for working with product development and the business development, sales and operations teams to design, plan and execute integration strategiesManaging the on-going development Persado’s integration capabilities, ensuring quality, on scope and on time attainment of milestonesProactively scopes the technical solution required to address customer requirements, assesses customers’ met and unmet needs, and recommends solutions that optimize value for both the customer and the firmEngages in the sales cycle and clearly articulates our technical solutionRepresents the organization in customer-facing communicationDevelops templates to assist in sales effortsAssists project managers in handling integration with new systemsDocuments the process for integrating with the Content / Campaign management systems of our customers","The ideal candidate will be bright, ambitious, self-driven, hard-working and flexible and have the following qualifications:5+ years of experience in Presales or similar environments Previous experience in integrating with Content / Campaign management systems such as Adwords, Responsys, Marine, KenshooBSc/MSc in computer science or similar Strong technical backgroundGreat technical writing skillsProject management skillsPrior experience in client facing would be a plusStrong communication skills coupled with an open-minded attitudeAbility to work as part of the team, motivating others and bringing out their bestAble to perform under pressure and deliver results in a demanding and fast-paced environment that requires fresh thinking and innovationBe a self-starter, a highly motivated person able to work in a fast paced environment that is continually changing.Willingness to learn ","Includes attractive competitive base salary and benefits, working closely with a highly motivated team in a dynamic and fast paced environment that provides the opportunity for rapid career development.",0,1,1,,,,,,0
3704,International Sales Coordinator,"TR, 34, İstanbul",Sales,80000-84000,,"Calling all stylish, ambitious and fun adventure seekers! Are you bored of the daily routine of your 9 to 5 job?Do you want to see the world but cant find the time or money? An international career in advertising sales awaits you at Wildcat International. We are offering entry-level advertising sales positions for those who want to discover the world and earn an enviable salary.As a member of one of our business development units, you will be based abroad for 11 months a year, in any of our 33 global destinations. You will spend an average of 3-5 months in each country conducting research, interviewing top-level business executives and producing an in-depth report on the oil and gas sector. You will receive intense training and continuous on-the-job development and support from our management whose combined years of experience will give you the skills, knowledge and confidence to prosper on the global stage.Who we areWildcat International is publisher of The Oil & Gas Year (TOGY), an exclusive series of annual books dedicated to the global energy industry. These comprehensive country-by-country reports cover the entire value chain of the oil and gas industry, country by country, from the Arabian Gulf to Africa to Latin America and South-East Asia. TOGY presents the latest news, developments and hot issues in the energy industry through the opinions and visions of its most relevant and influential actors. The books feature a mixture of interviews, articles, forums, project highlights, statistics, and investor resources. They introduce the whos who of the market and describe the major projects, key issues, legal frameworks and best investment opportunities within the oil and gas industry. TOGY has an audited distribution of more than 69,000 readers around the world, including senior oil and gas executives and their affiliates in the financial, services, supplies and electricity generation sectors. We also distribute heavily to industry associations and governments, both essential partners of our publications.The position we are offeringTOGY is looking for International Sales Coordinators. The ideal candidate would be responsible for all sales and marketing activities in their country of operation and achieving ambitious sales objectives.","Your responsibilities•Responsible for all sales and marketing activities within their region•Building up contact lists and profiling the key companies in each country•Establishing and executing business strategies •Analysing market data and defining sales potential •Working abroad 11 months of the year•Negotiating and closing communication campaigns with government institutions and private sector companiesQualities we are looking for•Candidates who are hard-working and can work effectively within a team•Excellent adaptability to an international environment with a wide exposure to various cultures and customs•Ambitious, results-driven, competitive, selfstarter•A highly independent and vivacious personality•A desire to earn a very competitive salary and live a jet-set lifestyle•Year-round availability and the flexibility to live anywhere in the world for 3-5-month at a time •Excellent personal and professional presentation•Can meet tight deadlines and keep a cool head under pressureCandidate requirements•Bachelors or Masters degree from a reputable university with a sound knowledge of international political, business and economic affairs, and marketing and communication•One to three years of work experience (preferably sales) •Fluent in both written and spoken English (with additional languages such as Spanish or Portuguese a major plus)•Results-driven, ambitious, energetic, flexible•No travel restrictions•1 to 4 years work experience","What we offer•Travel for 11 months a year•Attractive compensation package, including international health insurance•Dynamic working environment•Excellent financial package with a base salary and high commissions•Work expenses covered including accommodation, communication and travel •Full initial training and fast-track promotion to mid-level team member, and subsequently to project director•The opportunity to meet world leaders, senior government officials, top CEOs and the most influential contacts worldwidePlease send us your english CV, cover letter and your recent photograph.START YOUR INTERNATIONAL CAREER TODAY!",0,0,0,Full-time,Entry level,Bachelor's Degree,Publishing,Sales,0
14279,Communications Director - USA,"US, NY, New York",,,"Launched in 2010, Lyst is an innovative way to shop for fashion online thats used by millions every month. Lyst partners with the worlds top fashion brands and stores - from Topshop, Balenciaga, Alexander Wang and Burberry to Saks, Net-A-Porter, Lane Crawford and Barneys - to provide people with a personalised way to discover the fashion they love. Lyst has raised over $20million from a range of all-star investors including Accel Partners (Facebook, Spotify), Balderton (Yoox, MySQL), DFJ (Skype, Hotmail) and the teams behind Michael Kors, Oscar de la Renta and Tory Burch.","The OpportunityLyst is looking for its first US Communications Director to lead, develop and execute a creative and impactful communications strategy in the US. Reporting to the Head of Brand & Communications ( who is based in our London HQ), this person will be responsible for delivering key brand messages to the press and wider marketplace in our largest market, generating strong brand awareness, evangelism and engagement. This is a core role, leading communications in the principal market for a company that is leading the fashion technology vertical, disrupting the space and creating a lot of buzz generating millions of dollars in sales for the industry. This also coincides with Lysts re-branding and positioning work, and at a time of continued growth for the company. The right candidate will be well connected, entrepreneurial and highly driven, with a strong understanding of the fashion digital landscape, the importance of storytelling and creating impetus to build a powerful brand.Key ResponsibilitiesResponsible for US communications plan and the delivery of relevant, tactical and impactful communication campaigns.Secure a consistent, strong level of press coverage in the US market - across tech, fashion trade and consumer media genres.Concept and deliver local events with clear KPIs for consumer, industry and press.Build and develop Lyst relationships with key local influencers/bloggers/etc to build strategic partnerships that have measurable impact.Initiate, develop and manage region-specific brand partnerships and promotions both on and off site with clear business objectives.Drive innovation and idea generation internally as well as providing a source of competitor analysis and industry trends.Work closely with the Partnerships and Editorial teams to support and bring local point of view on creative campaigns, brand partnership activity and customer engagement activity.Work closely with the Acquisition team to deliver revenue generation, focused on digital PR and link building.Work with Strategy and Product teams to utilise Lyst data effectively to engage with existing customers /target prospective customers and promote Lyst industry intelligence.Identify and secure relevant thought leadership opportunities for Lyst senior team.Budget planning and management with clear ROI measurables.Be an ambassador and cheerleader for Lyst brand.","Extensive experience in leading a communications/PR function ideally in the fashion digital space.A solid and developed network of both fashion and digital industry media contacts, with proven experience in securing significant, brand building press coverage.A creative thinker and ideas generator with strong experience in concepting and delivering successful communications campaigns.A deep understanding of the fashion landscape and consumer, with a keen understanding of ecommerce and the fashion tech space.Proven leadership qualities, with the ability to motivate and inspire team members at all levels.Proven track record of creating positive impact on business through PR and global communications strategy.Excellent interpersonal skills, entrepreneurial, proactive and tenacious spirit - highly self-motivated.Desire to work in a fast-paced, results-oriented, dynamic start-up environment.Education: BA or above, ideally from a top-tier university.",,0,1,0,Full-time,Mid-Senior level,,Apparel & Fashion,,0
6650,Graduates: English Teacher Abroad (Conversational),"US, IN, Bloomington",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
9751,English Teacher Abroad ,"US, NC, Pembroke",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
6671,.NET Developer,"US, MO, St. Louis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)#URL_94f805e93a6fa859cd8540e9767e187e295d764a384221d77f8880142b6416b7#-#URL_dbdb917780b325918ec611d0f47d6a0dff5ee871b96bc71180616d0d0570925b#.NET DeveloperThis individual must have sound programming background with a firm grasp of object oriented concepts and techniques. An understanding of industry standard design patterns and best practices with the .NET platform is desirable.Requirements:Experience developing applications with .NET 3.0 framework or laterKnowledge of object oriented concepts, patterns, and practicesExperience with a RDBMS (Oracle, SQL Server, MySQL) experience writing SQLDesirable:Experience building automated unit tests (NUnit or MSTest frameworks) in a continuous integration development projectPHP experience a plus",,,0,0,0,Full-time,,,Computer Software,,0
17811,"Business Opportunity P/T,F/T Available","US, , ",,,,"We have the demand. We are looking for people that are quick learners, and are very efficient, to handle the demand. We have the best mortgage protection program in the business, and we have serious demand nationwide; especially in the 703/202/301 area. After all, we are in a market where 95% of all Americans, if they added up all the insurances that they hold, would not have enough to cover their mortgage. Can you imagine a product that everybody NEEDS (not just wants), and all you have to do is meet with people that have already requested our service. No Cold Calling or Door Knocking! We are looking for someone that is very professional and is able to learn quickly, because you can't make six figures in a year by moving slowly. We will train you in every aspect of the business, and will show you how to personally produce a monthly commission of at least 7,000-10,000. We have the tools, and we are looking to bring on someone that we can groom into management. You will learn this business, and eventually we plan to teach you how to build a strong staff, that you will train to move product the same way that you do. This combination should easily push you into a yearly compensation of 150k+ within your first 3 years in the business if you move at a steady pace. Industry experience is not necessary, but a track record of success is. Our company mails out over 1.5 million letters each week and our homeowners fill out a questionnaire and mail back the request for coverage to us. We simply call that exclusive lead and set up an appointment with them. We meet the customer in their home and go over what type of mortgage protection the homeowner needs and then write up the policy. We also market and promote fixed indexed annuities that solve most of the baby boomers retirement issues. We have many full time agents making over 6 and 7 figure incomes! We are primarily looking for those that desire to move into management, though, if you are looking for part time or full time warm sales, please send your resume as well. We have a serious demand all over the 703/202/301 area that consistently outweighs our number of agents. Typically our employees make 30k+ part time, 75-100k full time, 100k+ Management. Though, we design a specific plan to make sure you hit whatever compensation YOU seriously desire, and are willing to work for. What we are looking for: * A passion to honestly help families. * Positive attitude with a strong desire to become wealthy. * A person who can follow a step by step selling system. What we are not looking for: *Someone who isn't accountable to their word. *Someone who says they are a great salesman, but doesn't have a penny to their name. *Someone who has had a recent foreclosure or bankruptcy (you can't get licensed in those cases) We need you and you need us. Contact us with your resume (preferred), or call the Hiring Manager  with your information for possible interview.•    Compensation: 30k+ part time, 75-100k full time, 100k+ Management",,,0,0,0,,,,,,1
9196,Sr Network Engineer,"US, NY, Brooklyn, NY",,,,"Sr Network Engineer at Brooklyn, NY24 MonthsJob DescriptionUnder the direction of the NOC Supervisor, with latitude of independent initiative and judgment is responsible for the configuration and maintenance of the Wide Area Network (WAN), TCP/IP protocol, servers and related  software.  Serve as the lead Network Operations technical support person responsible for troubleshooting hardware and software devices such as Access-points (AP), controllers, switches and routers in order to maintain efficient functioning of all information technology equipment and to minimize downtime and possibility of system failure.Duties and Responsibilities:Maintains the Wide Area Network (WAN) including connectivity, servers, applications and network hardware and software to ensure system stability, reliability, availability and connectivity to the user community. Troubleshoots and recommends improvements to network including operating systems and related software and provides tactical and strategic input to overall network planning and related projects.  Isolates and resolves network problems in a timely manner.Responsible for working with vendors and resolving network outages in a timely fashion in order to meet internal SLAs and / or Metrics.Lead technical person responsible for the NOCs trouble ticket queues and associated processes.Ability to configure and support the following in a large production environment:Cisco Series Routers, including but not limited to Cisco 3600, 3700, 3800 and 7000 series routers.Cisco Catalyst Switches, including but not limited to, Catalyst 2900, 3500 and 3700 series, (including Power switches, 6500 series).Performs analysis of the Transmission Control Protocol/Internet Protocol (TCP/IP) networking communication protocol and configures and maintains network switches, VLANs, Servers, Routers, Controllers, Cisco Wireless Access Points, Load Balancers (CSS, F5 & Extreme) Cisco Secure PIX Firewalls (520/525) and Cisco ASA Firewalls.Ability to troubleshoot Wide Area Networking protocols/technologies such as T1, T3, Frame-Relay, ATM, and Metro-Ethernet as well as assessing cabling issues such as Token Ring, Ethernet, and Fiber Optic.Strong understanding of Layer 2 & 3 IP addressing and associated subnetting.Ability to perform complex technical tasks associated with the creation of new network designs.Knowledge of routing protocols such as BGP, RIPv2, EIGRP, OSPF, etc.Serves as the lead technical resource person in the diagnosis and correction of LAN and WAN problems for all school-based and administrative personnel.  This includes failure analysis in a complex networking environment which may include mainframe applications, web applications, traditional wide area & DWDM SONET telecommunications network.Ability to communicate effectively with end users and external vendors on all aspects of both LAN/WAN related issues while using a Call/Ticket management ticketing system.Performs day-to-day network administration tasks including network equipment installation, configuration, upgrades, testing and troubleshooting.Diagnoses problems, verifying servers/switches/router status, testing device connectivity, path trace, etc., using different network monitoring software, such as CiscoWorks.Provides documentation and tactical assistance regarding developmental, technical and operational support to the DOE.Monitors firewall performance and integrity and maintains and resolves all internet related technical issues.Prepares network diagrams, floor plans and schematics using Visio.Provide operational support for IPDVS infrastructure related issues.Qualification Requirements:Minimum:CCNPMinimum of 5 years experience providing hardware support, implementation and testing, technical and user documentation.Preferred:CCIEExperience in configuring routers, switches and firewalls.Experience in troubleshooting routers, switches and firewalls.Experience installing routers, switches and firewalls.Experience working with end-user support environment with more than 2,000 employees.Experience with Cisco networking devices such as routers, switches firewalls and wireless controllers.Knowledge of networking technologies such as T1, T3, Frame-Relay, ATM, Metro Ethernet and Wireless.  Knowledge of the following networking protocols: BGP, RIPv2, OSPF, EIGRP, DNS, HTTP, and NAT.Experience supporting large numbers of both managed and unmanaged workstations.Ability to work independently.Excellent troubleshooting skills.Good communication skills. ",,,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer & Network Security,Engineering,0
139,Customer Service Technical Specialist- Reprographics Specialist ,"US, NY, New York",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Technical Specialist will be based in New York, NY. The right candidate will be an integral part of our talented team, supporting our continued growth.Key responsibilities include:Production Print operations  maintains a working knowledge of all production print and copy equipment on site.Operates various Production scale printers and organizes the daily print flow.Assists technical support and onsite techs with trouble shooting and basic maintenance.Perform any and all duties as assigned by management to include; mail services, reprographics services, fax services, and messenger services.Establish operating procedures and quality standards.Responsible for all aspects of equipment.Remain informed and adhere to all established safety and security procedures.Perform necessary adjustments as outlined in the operators manual for set equipment.Follow start-up, shutdown and clean-up procedures for equipment.Monitor operations and make mechanical adjustments as needed, to ensure proper quality output.Create excel spreadsheets, mail merge projects and word documentsComplete multiple projects at one timeLift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (answer phones, outgoing shipments, etc)Shipping & ReceivingLift large bundles of mail, overnight packages and shipments of paperMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudePerform other tasks as assigned","Position Requirements:High school diploma or equivalent (GED) requiredExperience with a production print and copy operationMinimum of 1 year customer service related experience requiredAbility to communicate both verbally and written with customers and company personnel requiredComputer proficiency in email environments, MS Word/Excel or similar programs preferredAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills preferredAbility to adhere to employee attendance policyHandling up to a maximum of 55 pounds with or without accommodationsAbility to walk, sit, stand or sit for long periods (possibly entire shift)",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
4905,IT Support,,,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"FunctionThe IT Services-department serves our more than 35 million members, who generate 120.000 hits per second or more than 6 billion pageviews a month, and 650.000 dbase-queries per second or 8gbps. As Internal IT Support, you will contribute to this success by providing our internal staff with desktop support, and assist with a wide range of upcoming IT projects. You manage the good working in the company but also you will have responsibilities concerning software licenses, domain-names,.... Our infrastructure is based on more than 1.500 high-end Dell PowerEdge servers with more than 10.000 cores and more than 15.000 GB ram operating under Linux (Debian),  MySQL- enterprise databases, Apache & LightTPD application servers, Cisco 7600 routers,… Daily tasks will be:Installation and support of our hard- and software (Mac/Apple);    Support to our intern employees;    Analyzing licenses;    Maintain and engineer VOIP.Skills and ExperienceYou have an academic degree;     You have a profound knowledge of Linux, PHP, MySQL, Apache Webserver;      You speak fluently Dutch and/or English;     A good knowledge of networking is a plus. Personal ProfileYou have outstanding analytical and problem solving skills;    You work in a methodological and structured way, and you pay attention to details;    You respect deadlines, and you are able to set the right priorities;    You are a team player and you enjoy working in various and dynamic teams;    You can cope with pressure and tight deadlines;    You have no ""9 to 5"" mentality.",,,0,1,1,,,,,,0
10793,Software Support Engineer,"US, PA, Pittsburgh",,,"S2scout, is a Pittsburgh-based talent aquisition firm providing services to small, medium, and large organizations across several industries.S2scout is comprised of seasoned recruiters, A.K.A. “Scouts”, who are dedicated to helping clients AND candidates find the right talent or opportunity without wasting their time.Our dedication to providing clients AND candidates with fresh talent or unique opportunities is in the “S2scout” name. “S2” is the military term for intelligence. “Scout”, by definition, is a person sent out ahead of a main force so as to gather information about the target's position, strength and movementOur recruiters dont sit and wait for candidates to come to them, our Scouts are in front of the search utilizing tactics that are…well…CLASSIFIED.","Douglas Adams wrote, ""if you really want to understand something, the best way is to try and explain it to someone else. That forces you to sort it out in your own mind. And the more slow and dim-witted your pupil, the more you have to break things down into more and more simple ideas. And that's really the essence of programming. By the time you've sorted out a complicated idea into little steps that even a stupid machine can deal with, you've certainly learned something about it yourself.""In this way, the Software Support Engineer is the very best place to start your career in software engineering. For years to come, you will have a distinct advantage over your counterparts. You will have an in depth understanding of end users, the way their minds work, and how to best engineer solutions. You get a chance to see life on the other side. Many engineers will tell you this role should be a requisite to all software engineering jobs because of the valuable perspective you gain. In the end, your products and your code will simply be better. Below is a rundown of what to expect.You will be responsible for providing a high level of customer service and satisfaction on a technical and functional level in resolving customer problems related to their products.  On a normal day you'll track issues, diagnosis, replicate, troubleshoot, resolve and escalate a wide array of mostly data related issues using sound judgment and creative and innovative ways to solve problems. This role is a part of the software developer career ladder. Extensive training will be provided. ResponsibilitiesProvide expert solutions to complex customer problems in a timely manner by receiving, clarifying and investigating incidentsTake ownership of and follow-through with all priority customer incidentsObserve trends with technical issues and recommend improvements Offer timely technical explanations to customers throughout the incident resolution process Ensure that all internal and external customer interactions are handled professionally and with the highest level of service, follow-throughConsistently keep commitmentsClearly and concisely document all customer interaction and all steps taken to resolve incidentsAttend training sessions offered and develop your skills as a software engineerQuickly learn new platforms ","Experience Bachelors degree in computer science/engineering or in a related field 3+ years of application support experience 2+ years with stored procedures Understanding of relational database concepts and architectureExcellent communication skillsStrong analytical problem solving and decision making skillsAbility to handle difficult or sensitive situations with diplomacy and tactBasic understanding of .NET framework and MVCExperience debugging one or more of the following: HTML, XML/XSL, JavaScript, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#, C#Experience programming one or more of the following: .NET (C#, ASP), Java, T-SQL / SQL*Plus, XML, HTML, Ruby, Python",100% employer paid benefitsCompetitive bonus and 401k programInterested in learning more? Apply today and one of our Scouts will be in touch with you shortly. ,0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
15621,UX Engineer ,"US, IN, Bloomington",,,"We run #URL_34e817f2a402b90fa03423a629596c1d7d48cd15c859b303842003275d56829c#, a SaaS form management solution that helps businesses and professionals create online forms, and collect data without the need for technical skills, or IT.We're bootstrapped, profitable, growing rapidly, and hiring employee #10 and beyond!We embrace remote work. Our team is spread across 3 different countries. You're welcome of course to move to Bloomington, Indiana, where the majority of the team is located. It's been named one of the best cities for doing business and perhaps more importantly, is the #7 in the US for best places to bike!We run a lean operation with an impressive roster of customers, so your work will not go unnoticed. Everything you'll work on will make our customers happier and give us a better competitive edge.As so many customers depend on our service, and as our team grows, we also value process-oriented people who can help us make our product even more reliable and easier to maintain.","Designing user interactions around web form building and data collection is a real challenge. If youve ever had to fill out a form online and cursed at your screen, you know what were trying to improve.Were looking for a front-end developer / user experience designer. You have the technical skills (JavaScript, HTML, CSS) that allow you to imagine, design, and implement new features. You pay attention to details, and youre an empathetic person, capable of evaluating your work with the eye of a typical user.Position is full-time, local or remote.",,,1,1,0,Full-time,,,,,0
7884,Customer Service Associate - Part Time ,"US, NY, New York",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in New York, NY. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping & ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsXRAYProvide backfill when neededEnter information daily into spreadsheetsSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredKeyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
2980,Caregiver-Lawton,"US, MI, Lawton",,,"""Our mission to our clients is to preserve their independence, enhance their quality of life, promote health & wellbeing and have them consider us a part of their family.""","Home Sweet Home In-Home Care is currently seeking Caregivers in the area for 1st and 2nd shifts. Duties may include personal care, homemaker services, companionship, transportation, etc.. Growth with our company is based on availability, reliability, and stability of the caregiver. It is important that applicants be familiar with and close to the service areas in an effort to schedule clients effectively and efficiently. Experience is preferred but we will train the right person.",,Competitive Compensation Opportunity for Advancement Mileage Reimbursement,0,1,1,,,,,,0
2283,English Teacher Abroad (Conversational),"US, WI, Milwaukee",,,We help teachers get safe & secure jobs abroad :),Vacancies in Asia$1500 + monthly ($200 Cost of living)Housing providedAirfare reimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#(+1) (314) 394-8741 #URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#Skype:gs-gabriel,"University degree, TEFL / TESOL / CELTA, and/or teaching experience preferred. Positive attitude required",See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
2802,Computer Programmer,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company, located in Virginia Beach, VA is seeking an experienced Computer Programmer to join our team.  Our mission as a company is: To provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork and an uncompromised level of customer service.The position requires the following qualifications:Knowledge of servers and server operating systemsStrong VB.Net, C#, and Microsoft technologies understandingExcellent communication skillsTime management skills in a fast paced environmentMay require a Bachelors Degree in area of specialty and 3-5 years experience in field or related areaPrimary responsibilities include, but are not limited to the following:Application development and some UI developmentCreating, reviewing, analyzing and modifying application systemsEncoding, testing, debugging and documentation of application systemsWe offer a competitive salary as well as a comprehensive benefits package to our full-time employees to include:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life and disability insurance, as well as AFLAC supplemental insuranceA 401k plan with a company match after six months of employment based upon a quarterly entry datePlease submit your resume and salary requirements to: Tidewater Finance Company, 6520 Indian River Road, Virginia Beach, VA 23464Attn: Human Resources Department If you prefer, you may submit your resume via email to #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117##Faxes may be submitted to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Finance Credit. ","The position requires the following qualifications:Knowledge of servers and server operating systemsStrong VB.Net, C#, and Microsoft technologies understandingExcellent communication skillsTime management skills in a fast paced environmentMay require a Bachelors Degree in area of specialty and 3-5 years experience in field or related area","40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Networking,Information Technology,0
880,DAS Field Technician,"US, AZ, Phoenix",,40000-85000,Tel Tech Networks is a Voice/Data/Video/Security systems contractor operating primarily in the Southwestern United States.  We are a telecommunications firm dedicated to exceeding costumer expectations through critical infrastructure installation and leading technology integration,"Tel Tech Networks, Inc. is currently seeking Wireless Field Technicians to join our team.  We are a full service communications integrator based in Phoenix, AZ, and we are currently recruiting team oriented wireless technicians who have experienced installing DAS/RF Systems.  Successful candidates will be able to read and interpret design plans to ensure smooth deployment of systems, while collecting and delivering close-out information, including but not limited to, antenna radiated power readings, installation pictures, BDA/DAS installation system screen shots and cable sweep results.  "," ·         Terminate, test, and fusion splicing MM and SM fiber.·         Operate an Anritsu Site Master.·         Must be proficient with Microsoft Office.·         Must have a clean MVD Record·         Must be able to pass criminal background check and drug testing.·         Be able to lift more than 50 lbs.Pay DOE.",,0,1,1,Full-time,Mid-Senior level,Unspecified,Wireless,Project Management,0
13815,Automotive Technician,"US, MA, Wilmington",Service,,"We are a family run business that has been in operation for nearly 40 years.  We value long term relationships and want to have team members that can be treated like family.  We are strong believers in a deal is a deal and we don't change pay plans after you crush it!  We want our teammates to enjoy mutual success.  We put the dealership first in everything we do.  That means above the ownership or the associates.  The needs of the Team are number one!We are located in Wilmington MA -- 15 miles from downtown Boston.  It's a great town with great people we have a primary market area of about 255,000 people.  This means plenty of people to help with their transportation needs.","Bill Dube Hyundai in Wilmington MA just outside of Boston, is a growing Hyundai dealer that is building a team of driven professionals.Our desired culture is about: constant personal and professional growth; a climate of accountability that directly challenges drama, entitlement and gossip; rewards enthusiasm, integrity and team play; where managers take responsibility for daily training and coaching; and where we all take responsibility for learning new things and improving our professional skills.We value people that posses the following traits:Positive energyIntegrityHonestyCompassionLoyaltyStrong sense of teamDesire to not just satisfy, but delight coworkers and customersHigh levels of enthusiasmDesire to constantly improveHigh StandardsDesire to achieve the next levelWhat we dont value:Teammates who feel entitledTeammates who thrive on dramaTeammates who like to gossipTeammates who are not reliable","This is a great opportunity for a skilled technician to work in a very busy shop!Experience in imports, especially Kia/Hyundai, strongly preferred",Great pay plan and benefits,0,1,0,Full-time,,,Automotive,,0
4283,TapHunter Intern Leadership Program,"US, CA, San Diego",Marketing Intern,,"TapHunter (#URL_95b1bf876c79465b7a563292438e8293a651920b605b528509c8d4c14bfc174e#) provides bars, restaurants, bottle shops, tasting rooms, and breweries with time- and money-saving tools that automatically update beverage inventory on social media channels, websites, and print, digital display, and tablet menus. We empower our customers with quantifiable insight into consumer behavior and local market trends, leading to informed beverage inventory decisions and transforming beverage menus into valuable profit-producing tools.#URL_a9808e66e9d8564d6374f86d393d400193ebd72c05b91d8a7a3a55405b708c55# and the TapHunter mobile app, available on iTunes and Google Play, enable beer, spirits, and cocktail enthusiasts to locate their favorite beverages in markets across the United States.","TapHunter is a fast growing startup that sits in the middle of mobile, social and web technology in the craft beverage industry. We were recently mentioned in the New York Times, Travel + Leisure and #URL_5925ae3cfe5a25318ec5a44ccb2c69f66694960b60c7b8380dc13fa28998b44d#. Were building products that help connect people and places in hopes of making the world of craft beer easier to explore… and we could use your help.We are looking for 1-2 rock stars to join the Fall Semester Intern Leadership Program.What youll need to know:The program typically runs 12 weeks, but often times will be extended for a select fewRequires 10-20 hours per week in office and out of the officeThis is an unpaid internshipIts a fast-paced program that combines work and fun, what youll learn:The world of beer and spiritsReal world digital marketing and web technology applicationsWhat it takes to get into the beverage and digital marketing industryYoull execute on projects through, social media, blogger outreach and engagement, content creation, your own initiatives","What were looking for: - Must be 21 years to apply- College student or recently out of college- Studying in Communications, Marketing, Business- Basic understanding of marketing concepts, SEO, Social Media, Google Analytics, Customer ServiceIt is also an advantage if you have the following:- Love of craft beer- Love and use the web- Youre a hustler that breaks the rules (in a good way)- Youre a stats geek- Youre social on and offline","Top Reasons to Intern at Tap HunterDays often end with sipping a few pints from the company kegaratorOther perks include tickets to beer festivals, tastings, dinners and more",0,1,1,Other,Internship,Some College Coursework Completed,Marketing and Advertising,,0
17566,Home Based Payroll Typist/Data Entry Clerks Positions Available,"US, NY, Accord ",Clerical,,,"We have several openings available in this area earning $1000.00-$2500.00 per week. We are seeking only honest, self-motivated people with a desire to work in the home typing and data entry field, from the comfort of their own homes.The preferred applicants should be at least 18 years old with Internet access. No experience is needed. However the following skills are desirable:  ","Basic computer and typing skills, ability to spell and print neatly, ability to follow directions.",All you need is access to the Internet and you can participate. This is an entry level position and we offer full online training. You do NOT need any special skills to get started.Earn as much as you can from the comfort of your home typing and doing data entry.  Complete Training provided before you start working and its easy to start!  ________________________________________Dont let this opportunity pass you by.POSITIONS ARE STILL AVAILABLE - GET STARTED RIGHT NOWApply at: #EMAIL_c9b5d8a60f3d80be13dd02ffe5d212c047b92ba679c296dfae7c102952fbb534#,0,0,0,,,,,,1
15524,Corporate Controller,"US, NJ, Piscataway",Finance & Accounting,100000-125000,,"NO RECRUITERS PLEASEHeadquartered in central New Jersey, Edgewood Properties (""Edgewood"" or the ""Company"") is a leading real estate development and property management company with several million square feet of retail/commercial space, over 3,000 apartments and various for sale communities, existing and/or under construction throughout New Jersey. Founded on the principles of family tradition, superior design and great customer service, the Company supports its aggressive growth via in-house engineering, architecture, leasing/sales & marketing, excavation, legal and property management departments. For further Company background, please visit our website #URL_eb17c70ccaa143000248a88b6070eb877dad37e432056a2efb82bad33c014fcc#.Given ongoing construction and development plans, our current apartment portfolio of 3,000 plus units is expected to double in the next couple of years and rise to over 10,000 units in the next five years. Given our rapid portfolio growth, coupled with ownership's desire to develop and hold rather than sell, the timing is ideal for qualified candidates to come onboard.Edgewood is currently seeking an experienced Controller with Real Estate Development and Property Management industry experience and the ability to audit Trial Balances for more than 200 business entities and manage, daily, the cash flow for more than 50 bank accounts to minimize interest expense.Edgewood is currently seeking an experienced Controller with Real Estate Development and Property Management industry experience and the ability to audit Trial Balances for more than 200 business entities and manage, daily, the cash flow for more than 50 bank accounts to minimize interest expense. THESE ARE KEY TO THE POSITION.In addition, the successful candidate will be responsible for the following General Accounting focuses in addition to others:Monthly Journal Entries for all Trial Bal. and Bank Recs.Monthly Reconciliation of all inter-company accounting.Create & Maintain all prepaid insurance, fixed asset depreciation & amortization schedules.Re-review all tax returns back from Withum and make any adjusting journal entries.Office computer purchasing.Review weekly payroll for over 250 employees.Year-end W2 reconciliation and payroll tax filing.Review and process all closing statements from new real estate purchases.Process new entities State and Federal registrations.Monthly Journal entries for all management fees.Weekly cash-flow reports of all entities to owners.5-7 years previos Controller experience in Real Estate Development and or Property Management firms.Degree in Accounting from an accredited College or University. Advanced degree, preferred.In return, we offer competitive compensation, along with comprehensive benefits, including medical and dental and vision benefits, life insurance, a 401(k) with company match and a corporate team environment. For immediate consideration, please apply online or email your resume with salary requirements directly to our Human Resources Dept.All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, national origin, disability, or protected veteran status.","5-7 years previos Controller experience in Real Estate Development and or Property Management firms.Degree in Accounting from an accredited College or University. Advanced degree, preferred.In return, we offer competitive compensation, along with comprehensive benefits, including medical and dental and vision benefits, life insurance, a 401(k) with company match and a corporate team environment. For immediate consideration, please apply online or email your resume with salary requirements directly to our Human Resources Dept.All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, national origin, disability, or protected veteran status.","In return, we offer competitive compensation, along with comprehensive benefits, including medical and dental and vision benefits, life insurance, a 401(k) with company match and a corporate team environment. For immediate consideration, please apply online or email your resume with salary requirements directly to our Human Resources Dept.",0,0,0,Full-time,Executive,Professional,Real Estate,Accounting/Auditing,0
15732,DevOps Engineer,"DE, BE, Berlin",Engineering,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","We are looking for a DevOps Engineer (f/m, full-time) to start immediately in our office in Berlin-Kreuzberg, Germany.With millions of regular users and more than 7000 hours of premium content, #URL_8e4e9897aecb1980ec96e296864343a4402263bc440bec39377381446da44dc8# is growing fast while shaping the future of learning.Our flexible cloud-based infrastructure is serving more than 20.000 requests per minute to users worldwide via different clients (Android, iOS, Web) while expanding on a global scale.As a DevOps Engineer you will be a part of our engineering team responsible for continuous integration, continuous deployment and management of our virtualized infrastructure based upon Amazon Web Services (AWS).Responsibilities:Design, deployment and maintenance of our AWS infrastructure using Chef/OpsWorksSupport and implementation of our continuous integration and deployment infrastructureDirect involvement in the development process of all our backend and frontend applicationsSupporting developers and management during project and business decisions regarding new and ongoing projects","Requirements:Broad knowledge and understanding of Linux: Virtually any and all of our web applications are running on Ubuntu Linux. Its a vast and complicated architecture and you should be familiar with setups at the magnitude of 150+ instances.Youre a zealot when it comes to Amazons Web Services: Amazon is our exclusive provider of computing resources. You must be intrinsically familiar with their services, especially OpsWorks, EC2/EBS, RDS, S3, VPC and CloudWatch (Redshift/MapReduce/Route53/SQS are a plus)You eat Chef and Ruby for breakfast: We are a Ruby/Rails driven company and we also use Chef exclusively for instance management. We love them, and so should you!Attention to detail and an analytical working style: Youre not satisfied when something just doesnt work. You want to get to the bottom of it. Period.English is a must: Youll be working with people from all around the world. Interacting with and understanding each other is paramount.Open-minded, easy-going and respectful attitude towards all kinds of (unusual) requests: You are part of a broader team, with people coming from different countries, backgrounds, experience levels and preferences. We demand a high level of professionalism and focus while not neglecting and appreciating a culture of tolerance and understanding.You love open source as much as we do: Our company is built on it, we really enjoy working with it and so should you!","Tackle high volume,  scaleable problems with a cloud-based setup and latest cutting-edge technologies The potential to change the way of learning for millions of users worldwideResponsibility from day one and professional and personal growthPotential within a fast growing teamParticipate in hackdays, technology brown-bagsA lively startup atmosphere with friendly working hoursLong-term prospectsA vibrant international team from over 20 different nationalitiesExcellent working conditions with a location in the heart of the Berlin sceneGreat company benefits (High-End company bike, fresh fruits & drinks, Hackathons etc.)Check out our jobs page, our blog and our techblog to get an impression of how we work at Babbel! ",0,1,1,Full-time,Associate,,E-Learning,Engineering,0
12992,Languages & Communications Manager,"GB, LND, ",,,"Fabrily makes it easy for campaigners/communities/charities/causes/artists. etc… to raise funds and awareness with custom merchandising (T-shirts, Hoodies, iPhone covers, etc…) Users design a merchandise with Fabrilys help and sell it to their network/community. Fabrily handles the printing and shipping and give the profits back to the sellers. It is absolutely free for the sellers to use.This 60 second video explains how it works  #URL_39f7a1fd2a9c4e06693f732e976b7ec6011a6484aa0363b7a85cf5f2968742d5#","Are you looking to join an exciting and high-growth start-up? Are you up for a challenge? Do you enjoying working hard and making a dent in the universe? If you answered yes to these questions, then please read on….Fabrily is on the hunt for an energetic, creative and service-minded Languages & Communications intern to join our existing team. We want someone to join our friendly and hardworking team to assist us in making Fabrily services truly international and by letting more people know about our fantastic new service. What is Fabrily?Fabrily is a start-up that makes it easy for campaigners to raise funds and awareness with custom merchandising (T-shirts, Hoodies, etc…). Whether they are artists, musicians, charities, sports teams, sportsmen, celebrities, or anything else you can image, we can help.Our services are absolutely free for sellers to use, and the profits can go to any cause, be it charitable or for profit. Fabrily handles all the printing, shipping, and customer service, and gives the profits back to sellers. Users design merchandise themselves or with Fabrilys help, and sell it to their network, community, friends or family. This 60 second video explains how our business model works  #URL_850ac80fb1cdb4e0a89c79a9ce7a3d03c57c733c45af5bb20455dd30755cc8b3#  The opportunities are endless, and we have helped people from everywhere, and every walk of life. We have already seen an amazing take-up of the service and have big plans for the future.Because we serve people around the world, we ourselves need to be able to provide the best service possible to our campaigners. This consists of two parts: being able to communicate and explain our own services in different languages, and offering translation services to our campaigners.You will also be responsible for growing and managing our translation services offering to our campaigners. This includes identifying scalable solutions for translations services (partnering with third parties, virtual services, etc.), recruiting external translators as needed, and managing translation services requests from campaigners and from our campaigners customers. You will also need to ensure our website is accurate, up-to-date and consistent in different languages (currently English, German, Spanish, Italian and French, with more coming!)In other words, while this job is focused on languages, we need someone with solid management skills to pull it off!This will be a paid internship for 3 months with a potential full time job offer at the end. ","•                Self-motivated, proactive, well organized and efficient•                Should take the initiative, pick things up and run with it•               Good networker with impeccable written English and fluent in at least one other language (Arabic, Russian or Polish or  would be a great bonus, but your other personal qualities are what we will value most!)•                Experience with translation work•                Experience managing or working with virtual teams desirable ","•                Work as a paid intern in an exciting new start-up•                Be a part of taking a fast paced start-up to the next level•               Lots of learning and networking, and playing an instrumental role in allowing us to expand our services to new markets•                Potential inputs and involvement in other parts of the business",0,1,1,,,,,,0
17045,Small Electronics Tester - NEEDED IMMEDIATELY ,"US, NV, Minden",,20000-24000,,"We are a local company looking for an energetic, full-time Small Electronics Tester. We are growing quickly and need someone who can handle the fast paced, rapidly changing technology environment.Experience:0-3 yearNo weekends or nights requiredMonday-Friday 8am-5pm",Skills:- Hands-on experience testing electronic equipment- Ability to learn new electronic equipment- Manage multiple testing tasks- Able to follow procedures; keeps precise records- Highly skilled in representing employer intelligently and professionally- Sincerely enjoys working with customers and co-workers- Works well with technology- $10-$12  per hr. pay based on level of exp.,,0,0,0,Full-time,,,Warehousing,,0
10763,Customer Service Technical Specialist ,"US, NY, New York",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Technical Specialist will be based in our New York, NY client location. The right candidate will be an integral part of our talented team, supporting our continued growth. Responsibilities:Identify objective data and enter (""key what you see"") at a high level of productivity and accuracyPerform data entry task from a paper and/or document imageUtilize system functions to perform data look-up and validationPerform entry of data presented in structured forms, correspondence text or presented out of context in character stringsPerform document image quality validation, including document type confirmation and verification of image quality and clarityIdentify, classify and sort documents by assigning document types within the data entry applicationPrep documents for scanning to include; separate document by type, separate multiple page documents from single page documents, ensure all paper clips, staples and other fasteners are removed, and sort individual document types by size of the document and make copies as necessaryScan/Image to include; scan documents, ensure each document is scanned, interact with scanning software to indicate when a batch is complete, perform quality assurance and review images, perform quality assurance of documents that have been flagged by the system, and complete Productivity Sheet to track project progress and provide numbers for billing purposesHandle time-sensitive informationHandle confidential informationPerform duties and special requests as assigned by team leader and managerEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careIdentify and refer sales-cues leading to potential add-on businessEnsure adherence to business guidelines, safety & security proceduresSupport financial results by minimizing site waste and reworkCross-train in other areas as required","Qualifications:Minimum of 6 months customer service related experience requiredExperience performing data entry, word processing, remittance processing or related functionsProficient Keyboarding skills required - 7,000 keystrokes per hour alphanumeric, 12,000 keystrokes per hour numeric, with high accuracy levelsExperience in IPRO, Imaging, batch printExperience with Fujitsu and/or Kodak scanners requiredExperience with OracleCapture, MySup and/or Optika applications requiredStrong computer proficiency and work experience in email and Microsoft Windows environments is requiredAbility to communicate effectively both in verbal and written formAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyAbility to adapt to change in a fast-growing production environmentStrong organizational and administrative skillsDemonstrated ability to show initiative and accept ownership of projectsAbility to use problem-solving skills in order to resolve client issuesAbility to meet employer's attendance policyAbility to lift and/or move items weighing up to 50 pounds or the maximum allowed by current State Law with or without accommodationsAbility to sit, stand, and/or walk for long periods of time with or without accommodationsHighschool Diploma or Equivalent required",,0,1,0,Full-time,Entry level,High School or equivalent,Legal Services,Customer Service,0
8522,Bookkeeper/Staff Accountant,"US, CA, Los Angeles",Operations,,"GPL Technologies is a solutions provider focused on the design, implementation, and support of high-performance information technology systems.  Founded in Los Angeles, California in 2003, we draw on over a decade of expertise as trusted technology advisers, adding value for our customers by offering unique methods of improving IT efficiency, streamlining complex systems and environments, and reducing the costs associated with acquiring and maintaining IT systems. GPL cut its teeth serving the intense requirements of customers in the media and entertainment industry.  We bring that work ethic with us to every customer: time is money, deadlines are non-negotiable, and the show must go on.  Our company is comprised of creative, independent thinkers with a passion for technology.  We love big data, fast networks, and solving the problems posed by today's digital media production pipelines.If serving clients who think a quarter petabyte of storage is a starter system sounds like fun to you, or if you love dealing with the dynamic people and personalities in the fast-paced media and entertainment industry, we might be a great fit for each other.  Send us your resume and lets talk.","FULL CHARGE BOOKKEEPER/STAFF ACCOUNTANT Are you an accounting craftsman who enjoys working in an environment where precision and attention to detail are critical?  Do you believe in doing the job 100% or not at all?  Do you keep the books in a meticulous fashion, working carefully and reflecting on the results until youre satisfied that the job is up to your exacting standards of accuracy and expertise?  If so, we'd like to meet you.  Complete our employment survey at the link below:#URL_0f9315db6d4d95df29896ed19097c6509188e110bb52fe281743f28b62e0277f#Lets see if there's a fit.  Be sure to select the ""Bookkeeper"" position.We are an IT services firm that caters to some of Hollywoods most notable movie studios, gaming companies, and visual effects houses. Our specialty is providing high-performance IT systems tailored to the unique needs of todays media companies, along with the integration know how to make it all work together.  At the end of the day we lay the technical foundation used to make great movies and leading edge games. We are looking for a sharp, self-disciplined bookkeeper to manage every aspect of our company finances.  From AP to AR, payroll to tax time, youll be the expert we rely on to make sure our accounting is thorough and on target.  Yourll need to create and maintain financial reports for the executive team, invoice and collect from customers, coordinate vendor payments, reconcile bank statements, and ensure deposits and payments are processed in a timely manner.  Well also count on you to review statements and cash flow patterns and recommend any changes that will increase our bottom line. Additional responsibilities in this position include: Full-cycle accounts receivable, including preparation of invoices and maintenance of customer and vendor recordsAccounts Payable processing, including submission of purchase orders, payments to vendors, and vendor records maintenanceMultiple Bank reconciliations for depository accountsReconcile all balance sheet accounts, review for input accuracy, and prepare weekly/monthly/quarterly reports and journal entries as neededMaintain company general ledgerPrepare bi-weekly payroll and coordinate with outsourced payroll processingAdministration of annual 1099s and quarterly business/payroll taxesMaintain all business documents required for legal complianceCoordinate annual budget and audit processMaintain financial document retention filesVendor statement reconciliation and discrepanciesDeposit and cash reportsPrepare and/or review of all expense reports for accuracy and proper expense disclosurePerform other related duties as may be requested by executive and operations teams","To be a successful candidate, youll need extensive knowledge of GAAP with at least three years of full-charge bookkeeping experience.  Proficiency in accounting software is crucial, and candidates with experience managing environments with multiple integrated software packages will be given preference.  Youll also need to be an Excel master who is comfortable digesting mountains of numbers into easily readable charts suitable for executive review.  This position requires college level communication skills and the ability to create reports and presentations suitable for delivery in an executive forum.If you haven't done so already, be sure to complete our employment survey at this link:#URL_0f9315db6d4d95df29896ed19097c6509188e110bb52fe281743f28b62e0277f#Applications received without a completed survey will not be considered.","We provide health benefits for all of our full-time employees.  We also believe in a positive work-life balance and have a very liberal vacation and personal time policy.  Above all, you'll be a part of a great team of people serving a vibrant and exciting community of creative media companies around the globe. Note that this is an office-based position in Downtown Los Angeles, CA, and you'll be expected in the office from 8:30am-5:30pm daily.  We will check out your resume if you currently live out of the area, but be aware that there is no relocation package attached to this position.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Accounting/Auditing,0
10664,Studio Sr. Visual Designer ,"US, CA, Manhattan Beach",,,"The Boston Consulting Group (#URL_45423e1e16703aa4d8ee444d3ad69bb51525f1dad0b3115713cf80dc496299e2#) has launched a new digital business, BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) - a digital innovation, product development, and commercialization firm.  This first-of-its-kind firm will bring unique capabilities to create and grow disruptive digital products and platforms.  BCG Digital Ventures goes beyond providing consulting, design, and technology services to form strategic venture teams with its clients in order to rapidly develop, launch and grow transformational digital products, platforms and businesses.The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy.  BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises.BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries.  Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a world-class professional services organization.","BACKGROUND:   Our Visual Designer translates business objectives into strong online graphic design solutions with a solid understanding of visual communications for both digital and print. He or she will work in a dynamic group environment to support a multi-disciplinary team in accomplishing both internal and external client tasks. Some example tasks include designing interface screens, information graphics, and iconography; creating design style guides; designing print collateral and logos. Our purpose is to help C-level executives imagine, bring-to-life, & stand-up digital business and solutions that have transformative customer impact.  To do this, weve assembled a group of world-class consulting and operations professionals with deep knowledge and experience in digital business and solutions  from mobile applications and e-commerce, to new and emerging digital solutions yet to be realized. RESPONSIBILITIES:Meets design standards for brand, consumer-focused creative for print collateral, digital interfaces, PowerPoint/Keynote presentationsManages all creative responsibilities of assigned projects with little or no supervisionResponds well to feedback, art and UI direction providedPresents work to Creative Director or Art Director, communicating design solutions that meet strategic goalsEffectively works on multiple projects at the same time and meets overlapping deadlines as they arise. Must know the status of jobs being worked on, and be able to prioritize their completionCollaborates with cross-functional teams: Creative, User Experience, Technology, and Project Management, to ensure compelling print and online solutions and seamless executionsPrepare Powerpoint presentations for C-level executives for various business unitsCreate production-ready digital assets","QUALIFICATIONS:2-5 yrs Graphic Design Experience. AA - BFA Concentration in graphic design and multi-media web designMust be highly proficient in Photoshop, Illustrator, InDesign and Powerpoint. Knowledge of HTML, Word, Dreamweaver, After Effects is helpfulExcellent written and verbal skills. Able to receive direction, and to communicate effectively with peers and managementAble to plan, prioritize and organize work effectively and efficientlyAccurate attention to detail: must be aware of evolving creative guidelines, and adhere to the latest direction","“Top 5 Fortunes Best Companies to Work for”Named #1 ""Best Firms To Work For"" by Consulting Magazine96% of the staff is proud to be part of BCG92% of alumni recommend BCG as place to workBCG works with 2/3 of Fortune 500 Companies ",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Management Consulting,Design,0
290,"Intern with Google, Microsoft, Facebook and more! at Studyhall.com","US, DC, Washington",,,"StudyHall creates opportunities for college, university students, and recent graduates, to work with the best companies in America - such as Google, Facebook, Twitter, Microsoft and more.  Our project-based internships allow students to work remotely on various projects such as Adwords, Writing, SEO, Video production, Wordpress, Photoshop and Research.  But, the best part about StudyHall isn't just that you can do creative projects with mentors from amazing companies, but you can also earn money and show off your skills at the same time.  And if you do a great job, these companies can hire you full-time.APPLY NOW!#URL_4d9c394961f5f716f8dc7e4ba1431e46e14fa0d1018aa93d8b3019a56f1bcd65# (Click ""Apply to Intern"" on Homepage of #URL_ab309fb672a2b26317bd303c09c3c6762986d45c2bb1b4970cac579d697432e2#)","#URL_ab309fb672a2b26317bd303c09c3c6762986d45c2bb1b4970cac579d697432e2# is the #1 intern connection to companies like Google, Facebook, Microsoft and Twitter!  We're hiring current college students and recent graduates!  You must be digitally savvy and willing to get things done on time!StudyHall's mission is simple: to connect students and companies with new opportunities to get projects and tasks done.  You can become a remote intern with amazing companies, while  earning money too.We're hiring 30 more interns for our projects with companies above.  Do you have what it takes?If you want the opportunity to work with companies like Google, Facebook, Twitter, Asana, and Oracle, Microsoft and more...>> Now's your time to apply by visiting #URL_ab309fb672a2b26317bd303c09c3c6762986d45c2bb1b4970cac579d697432e2# and clicking ""Apply to Become an Intern."" <<","Your must have core skills in ONE of the following:  Writing Blog + Articles: be able to write on interesting topics, with excellent grammar Social Media: be socially savvy with Facebook and TwitterMarketing Videos:  know how to create fun, creative YouTube videos Wordpress Help:  know how to design, install and integrate Wordpress CMSGoogle Adwords:  know how to start adwords campaigns, edit, refine and improve CPCWebsite and iOS Design (Photoshop PSD):  know how to design creative, visuals using PhotoshopResearch:  look-up, analyze and perform research using Microsoft Excel","-Build Portfolio-Earn Money (Part-time or full-time)-Work with Top 25 Companies in America>> Now's your time to apply by visiting #URL_ab309fb672a2b26317bd303c09c3c6762986d45c2bb1b4970cac579d697432e2# and clicking ""Apply to Become an Intern."" <<",1,1,1,Other,Internship,Bachelor's Degree,Computer Software,Engineering,0
3922,iOS/OS X Senior Software Developer,"DE, BE, Berlin",,,PROJECT ZETAWe're a small group of designers and engineers building something nice in the heart of Berlin.,"We are looking for an engineer with solid experience with Cocoa, animations, and application development for our iOS and OS X engineering team.The ideal candidate will be a highly-motivated and proactive individual that combines deep technical knowledge with strong collaboration and excellent communication skills.Successful candidates will have deep knowledge of the iOS and / or OS X application development environment and will have demonstrated experience developing and releasing mobile or desktop applications into the consumer marketplace. This experience will include knowledge of application development, testing methodologies, and preparing products for deployment to app stores.","Key QualificationsExcellent problem solving, critical thinking, and communication skills3+ years experience with mobile applications and mobile development environments5+ years product development experienceExpert knowledge of C and Objective-C is requiredStrong applied software methodology, process and quality skillsObject-oriented analysis and design experiencePerformance analysis and tuning experience including memory usage, CPU utilization, leak checking, etcExperience with developing custom UITrack record of shipping products Additional RequirementsPassion for software engineeringGood teamwork and interpersonal communication skillsExcellent analytical and quantitative skillsEnthusiasm for learningExperience with Agile developmentExperience with OpenGLStrong work ethicEducationBS in Computer Science or equivalentMS preferred","About Zeta Project GermanyWe are an early-stage product company. At Zeta everyone has a voice and we expect you to change our world. If you like coming up with creative solutions then this is definitely the place for you.Weve built a team of passionately experienced and curious engineers and designers who are dedicated, hardworking and wholeheartedly embrace technical and design challenges of all types.We are located in Berlin Mitte and are looking for talented individuals who share our passion.",0,0,1,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
3705,Senior .NET Back End Dev,"US, CA, Walnut Creek",,,,"Major League Fantasy needs a senior .NET server-side engineer to complement its team. We have front end developers that can take care of the client-side details of our web application and we need a server-side engineer to work with our systems architect to implement scalable architecture and client-agnostic API for our site.The API development is one of many awesome functional blocks we want to implement, we are a young startup launching to production very very soon (before this job posting expires) and we will need many other functional blocks implemented, in several languages and platforms, not just .NET on Windows.This is a great opportunity for a rockstar solid engineer. You will be joining a well-funded startup very early in its development, there are going to be a ton of opportunities to set your own career path and influence the engineering direction of the project.For this position we prefer on-site engineers at our Walnut Creek, California USA headquarters. We are open to explore remote work options for exceptional candidates. When submitting application, please state your ability to work on location in our HQ.","Tool and technology proficiency requirements:Visual Studio 2013GitMS SQL Server and its toolsIISProtocol analyzers (Charles Proxy, Fiddler, etc)Agile methodsC#/.NET.NET MVCHTTP protocol and HTTP request lifecycleHTTP session managementSQL languageDatabase programming (MS SQL Server)API design and implementationUseful, but not required additional experience:Scalable architecture designSharded database access patternsPerformance measurementTest-driven designHTML/css/javascriptDatabase designMulti-platform systems designPHPMySQL",,0,0,0,Full-time,Mid-Senior level,,Online Media,,0
9826,Work for Point Nine (VC),,,,"Point Nine Capital is a Berlin-based venture capital firm focused exclusively on early-stage Internet investments in areas like SaaS, marketplaces and mobile. The fund and its managers, Pawel Chudzinski and Christoph Janz, have backed a number of highly successful Internet companies such as Delivery Hero, Clio, Shiftplanning, Vend, Westwing and Zendesk from their earliest stages.If you are interested in one particular portfolio company, it is best if you check out their respective job pages or our portfolio job section. If you are just browsing, this is the right place as all our portfolio companies will have access to your application. Please choose what you are looking to do below and you will hear back if there is a fit. ",Sounds like what you want to do? Then apply to our talent pool and we will get back to you if there is a suitable opening!  ,,,0,1,0,,,,,,0
6861,Business Objects-BI ETL Tools,"PH, 00, QUEZON CITY ",Information Technology,20000-60000,"Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking & Financial Services, Telecommunications & Media, Technology, Energy & Utilities and Manufacturing & Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development & Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence & Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.","Job Title :- Business Objects-BI ETL Tools [Business Intelligence]Location :- Quezon CityYears of experience :- At least 5 years.Job Description :Requirements:• 5 years of SAP Business Objects XI R2/XI 3.x experience, • 1-2 years of SAP BI 4.x experience Experience in Web Intelligence (WebI),• Dashboards/Xcelsius,• Design Studio,• Information Design Tool and Universe Design Tool using SAP BW as a source","• 5 years of SAP Business Objects XI R2/XI 3.x experience, • 1-2 years of SAP BI 4.x experience Experience in Web Intelligence (WebI),• Dashboards/Xcelsius,• Design Studio,• Information Design Tool and Universe Design Tool using SAP BW as a source","Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking & Financial Services, Telecommunications & Media, Technology, Energy & Utilities and Manufacturing & Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development & Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence & Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.",0,1,0,Full-time,Internship,Bachelor's Degree,Information Technology and Services,Information Technology,0
11063,"[Denver, CO] Fundraising Consultant","US, CO, Denver ",Sales,25000-30000,All American classics is a leading fundraising as a service (FaaS) company that helps nonprofit leaders raise money through different types of consignment auctions. For the last 20 years we have raised nearly 25 million dollars for our charity partners.   ,"Position: Fundraising ConsultantLocation: Denver, COReports to: Director Of Sales and MarketingAbout All American ClassicsAll American classics is a leading fundraising as a service (FaaS) company that helps nonprofit leaders raise money through different types of consignment auctions. For the last 20 years we have raised nearly 25 million dollars for our charity partners.   Our ValuesAAC is defined by continual improvement—not only of the products and services we deliver to our clients, but also of ourselves. Each member of our team is held to the same expectations: keep learning, experimenting, and iterating. Succeed or fail, but grow by it either way. Collaborate and ask questions. Be resourceful. Be restless. Have fun. We believe the joy you take in your work will be reflected in the outcome.Were growing our remote sales force to help us increase our reach and take advantage of a massive market opportunity. AAC is based in Hot Springs Village, AR where we have a rapidly growing team. Most of our charity consultants work remotely and we have a proven record of success working in areas that include: Northwest Arkansas, Memphis TN,  and bigger cities such as Atlanta and Seattle (just to name a few).Our TeamOur team is a balanced mix of seasoned veterans and young talented sales and marketing professionals. We all love to serve others and we are talented at challenging people to make a positive change in their fundraising efforts. Were a team of self-starting individuals with a knack for cooperation and collaboration. We work (really) hard every day, but we also know when its time to take a break. We place a high value on personal connections amongst ourselves, our clients, and the outside world.The RoleThe sales team works with nonprofits and charitable organizations to provide them with the best consulting on fundraising using the All American Classics services.As an All American Classics Fundraising Consultant you will initiate and build relationships with prospective and existing clients and aggressively pursue new business opportunities within your territory. The position will report directly to the director of sales and marketing.The goal for this position is to continue to grow All American Classics sales effort into a larger, revenue producing initiative by adding new clients to our current client base.ResponsibilitiesCreate new business opportunities and cultivate existing relationships.Must be able to meet sales goals.Setup and takedown auction displays Attend charity and other industry related events.Call on existing clients and new leads on a daily basis.","Must be able to work remotely.Must be self-driven.Must have strong relationship and communication skills.Must have general computer skills (Gmail, Google Drive, Excel)Must have a passion for charity work. ",Competitive Quarterly Bonus Structure  Weekly Fuel Stipend Work Remotely ,1,1,0,Full-time,Entry level,Unspecified,Fund-Raising,Sales,0
15746,Event Coordinator,"AU, QLD, Brisbane",,,"Nectar Creative Communications is a Queensland based specialist events and communication agency that believes in the strength and energy of real, face-to-face connections.There are two sides to our business - consultancy and production.Our experienced consultants work with clients to develop their events program, identifying areas of improvement both with the internal processes and the visitor/audience experience. We are content designers, strategically writing programs for events and tradeshows. We are experienced event marketers who can help identify your audience, and how best to reach them.Our production team focuses on the seamless delivery of special events, meetings, conferences and exhibitions, sponsorship management and activations and digital solutions.As a team we are bound by our passion for clear communications and exceptional event experiences, and we believe in the power of events as the most impactful way to deliver a message and influence an audience.","Nectar Creative Communications is a Queensland based specialist events and communication agency that believes in the strength and energy of real, face-to-face connections.As a business we have five core values that drive the team:Customer FocusAgilityResponsibilityTeamworkPerformanceNectar Creative Communications requires an experienced, take-charge professional with the ability to manage administration and logistics in support of two  three event specialists in a busy event management agency.A casual position of 15 - 20 a week, Mon - Fri we offer a flexible working environment. You must be able to anticipate project needs, discern work priorities, and meet deadlines with little supervision, and be willing to work occasional evening and weekend.The event coordinator should have a love for special event and conference management, provide outstanding customer service, be an enthusiastic professional, and be able to build relationships with internal and external customers and suppliers.","Event / Conference Planning and ProductionAssist with negotiations for space contracts and book event space, arrange food and beverage, order supplies and audiovisual equipment, make travel arrangements, order event signs, and ensure appropriate décor and theming to meet the quality expectations of our clients.Prepare and monitor event schedules to ensure projects keep to a strict timelineConduct research, make site visits, and find resources to help staff make decisions about event possibilities.Manage the creation and revision of room layouts for each event.Propose new ideas to improve the event planning and implementation process.Serve as liaison with suppliers.Assist with managing on-site production and clean up for events as necessary.Prepare nametags, materials, showbooks, packages, gift bags, registration lists, seating cards, etc as required for each event.Close out all events as requiredEvent Administration:Assist with preparing budgets and provide periodic progress reports to producers for each event project.Keep track of event finances including time sheets, invoicing, and reporting.Prepare and modify event contracts as requestedPrepare event meeting agendas and contact reportsSkills Required:Excellent communication skills, including writing, proof reading skills, and speaking.Ability to manage multiple projects and work assignments from a variety of staff and volunteers.Excellent interpersonal skills both in person and by phone, with high professionalism.Ability to accomplish projects with little supervision.Fantastic customer service ethic and high expectations for quality.Qualifications:Event Management Qualification preferred (Cert III or above) however significant work experience including volunteer roles can substitute for the piece of paper.At least 2 year experiences coordinating business and/or special events.Proficient using the latest versions of Microsoft Word, Excel, PowerPoint and mail merges; email and web searches.Experience with online project management tools such as Google Drive and Google Hangout an advantage.","Join a growing Queensland based company with passion to succeed.As a team we enthusiastically devote significant time researching and attending industry events to ensure we are up to date with the latest trends and developments both nationally and internationally.As a business, our vision is to bring together a team of creative, passionate and energetic event specialists who thrive working in a dynamic environment to produce measurable and memorable experiences for our clients.",0,1,0,Other,Associate,Vocational,Events Services,,0
2874,Seamstress,"GB, WOR, ",,,"A-Z Wedding Services is a busy family owned bridal and meswear shop that has been established for more than 20 years, we specialize in bridal gowns, bridesmaids and mens formal suit hire. We pride ourselves in customer service with a personal, professional yet down to earth approach. We like to ensure our clients are made to feel as comfortable and special as we can, while they choose their bridal gown, bridesmaids, accessories and mens formal wear from our extensive collection ","This is a seamstress role including all aspects of sewing from shortening a pair of trousers, a jacket sleeve, a dress hem and progressing when competent, if not already into bridal work. The bridal work can include taking in boned bodices, taking gowns down a dress size or out, possible recuts, reshaping of necklines etc. There is also a requirement for making scrunch ties, stoles and boleros etc.Training can be provided to improve or enhance your current knowledge and ability but the overall starting point must include all works stated above, before bridal work is mentioned. This is currently a part time position but there is easily enough work available to make this position full time, this would depend upon the candidate and their ability.","High quality sewing and finishing to enable you to work on bridal gowns etcBe able to conduct a fitting - including advising what work is required, pinning the work and liasing with the clientBe confident in pricing work according to our price structureTime management ",,0,0,1,Part-time,,,Textiles,,0
7800,English Teacher Abroad (Conversational),"US, TX, Laredo",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#We are looking for friendly people. If you do not plan to take part in a 3-5 minute interview, kindly do not waste your time applying :-)","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferredCanada/US passport holders only",See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
6709,Administrative Assistant,"US, NY, Brooklyn",Operations,,"AREA 17 is an interactive agency. We take an interdisciplinary approach — blending the practices of design, technology and branding — to create modern interactive systems.We care about the web and its future. It has become a large part of our lives  entering our offices, living rooms and even our pockets. It is changing the way our world operates. We believe the web should be an extension of our daily lives, not a distraction from it. To this end, our mission is to make the web a better place — for work and for life — by delivering solutions that are equally valuable, sustainable and enriching.AREA 17 is located in New York City and Paris, France. We are independently owned and creatively motivated. Learn more","We seek a part-time Administrative Assistant in our NYC office to support the office manager and financial controller. The candidate must have experience working within a design/technology agency or similar environment.OverviewReports to — Office ManagerLocation — Brooklyn, NY (Williamsburg)Salary — Based on experienceFull-time — 40 hrs per weekPrimary Responsibilities:Operations: Assist Office Manager in overall management of the NYC officeHuman Resources Support: Assist Office Manager with benefit managementAccounting Support: Assist financial controller with accounts receivable/payableAdministration: Filing and clerical duties","Required Skills:1-3 years of administrative/clerical experience (or similar)Process and detail oriented with expert organizational skillsSelf-motivator, dedicated and responsibleComputer savvy, Macintosh experienceImpeccable communication skills (written/verbal)Must be able to think on their feet and adapt different situation with easeDesired Skills:An interest in and understanding of design and the InternetA basic understanding of IT issuesUniversity degree preferred, but not required","Generous Health and Dental PackageMetroCard Program (Pre-tax, 50% co-pay)12 vacation days, All bank holidaysClosed between Christmas and New YearPing Pong",0,1,1,Part-time,Associate,Unspecified,Executive Office,Administrative,0
10505,Mortgage HELOC Underwriter ,"US, , Woburn",Mortgages,,"Outstanding Member Service Starts With Outstanding PeopleIf you are committed to the concept of “above and beyond” and enjoy interacting with people either in person or on the telephone, then we want to talk to you.Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.","Analyze information to determine risk involved in lending money for HELOC mortgage loans; responsible for analyzing/verifying income, assets, credit and all supporting documentation to ensure sufficient to loan standards and guidelines; includes verifying all documentation is correct, complete and in the loan file; that the information has been correctly calculated.  Review appraisal to ensure value of collateral is sufficiently documented and appraiser has correctly completed forms. Assists in making decision to either deny loan or, depending on the loan's attributes, approve loan or make recommendation to approve loan. Keep current on regulations and policies and guidelines and on changes of agencies.Assume additional duties as they arise, cross-train within the department, and be responsive to the department.All positions must adhere to standard BSA/AML policies, procedures and processes.  Employees must demonstrate awareness of business functions and how business decisions affect financial and/or non-financial work results.","3 years HELOC loan experience with a minimum of 2 years manual underwriting experience. Thorough knowledge of reviewing Residential appraisals.Through understanding of mortgage loan processing functions, including conventional lending. In-depth knowledge of mortgage related requirements, procedures, & underwriting criteria.Excellent verbal and written communication skills. Strong interpersonal and organizational skills. PC Skills, including loan software, Outlook and Microsoft Office. Ability to prioritize and meet deadlines.Candidates must live within commuting distance to Woburn, MA office.       ","Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement programEOE",0,1,1,Full-time,Associate,,Financial Services,Finance,0
13167,Mid-level Airframe Structures Design Engineer,"US, MI, Ann Arbor",,,"Vayu builds affordable unmanned aerial vehicles (UAVs) for healthcare supply chain management and post-disaster aid delivery.Currently, healthcare providers and disaster relief responders depend on costly, slow, and unreliable transportation by motorcycles, cars, and trucks to serve remote communities. One billion people live all or part of the year without access to all-weather roads. Vayu's UAVs will provide affordable, fast, and reliable delivery of vital goods to these isolated areas, both on a regular basis and in times of crisis.Our offices are in Ann Arbor, Michigan, USA and New Delhi, India.","Vayu is seeking an Airframe Structures Design Engineer to join our growing team in Ann Arbor, MI. This position requires an action and results oriented individual who can effectively achieve demanding development and production goals.Serve as a mid-level member of a design team for design of airframe structural elements. Primary product lines for design activities will be unmanned aerial vehicles, modification of existing aircraft structures, and design-to-build activities for commercial aircraft.Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. The opportunity for upward mobility at Vayu is tremendous, but only if youre willing to put forth the effort.Responsibilities:Responsible for engineering activities for assigned projects for development and production of aerospace composite structure and systems fabrication and assemblies. Maintains documentation for technical development process to support design decisions and to resolve issues.Preparation of design files in CATIA V5, XFLR5, and other modeling software.","Qualifications:Bachelors with +2 years experience or Masters in Mechanical or Aerospace Engineering from an accredited university.Experience with the composite part detail process, including composites stacking sequence, lamination theory, bonded assemblies, manufacturing assembly and fabrication processes, post-cure machining operations.","Selected candidate will be offered an excellent base salary commensurate with experience, along with competitive equity stake.",0,1,1,Full-time,Associate,Master's Degree,Aviation & Aerospace,Engineering,0
12199,Experienced Optician,"US, IN, Indianapolis",,,"Dr. Tavel Family Eyecare is one of Indiana's premiere eye care providers. We are proud to have been serving the people of Indiana for almost 75 years. We currently have 19 full service locations providing exam services, contacts, and glasses. If you are looking for an outstanding work environment with lots of room for advancement you are in the right place.","Dr. Tavel Family Eyecare is seeking an experienced optician to join our staff in several metro Indianapolis offices. Dr. Tavel provides Indiana families with an extensive array of high quality, yet affordable, eye care products and services. Dr. Tavel has been family owned and operated from the heart of Indianapolis since 1940.Being an optician with Dr. Tavel is a rewarding career with great opportunity for advancement. Opticians are responsible for selling glasses and contacts, adjusting and repairing glasses, and also general store operations. We are looking for both full and part time team members.We have openings at the following locations:Greenwood Park MallIndianapolis - South 3636 S. East Street Indianapolis, IN 46227Indianapolis - East 10th St. 982 N. Mitthoeffer Indianapolis, IN 46229Indianapolis - Pyramid Place 3536 W. 86th Street Indianapolis, IN 46268Kokomo - 1164 S 17th St, Kokomo, IN 46902",At least 1 year of experience as an optician/dispenserOutstanding customer serviceStrong communication skills and an engaging personalityEagerness to learnReliable and honestKnowledge of optics and lens technologiesReliable means of transportation to and from workAbility to work nights and weekends.Experience with Compulink a plusAbility to speak Spanish or Burmese a plus,Competitive hourly compensationBonus point planVacation pay (full time only)Holiday pay (full time only)Company 401K (full time only)Health insurance (full time only),0,1,1,Full-time,Associate,Unspecified,Hospital & Health Care,Sales,0
2076,Industrial Mechanic,"US, TX, Houston",,,"Aker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.","Corporate overviewAker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.We are looking for individuals who are prepared to take a position. Not only a position within Aker Solutions, but also a position on the exciting challenges the global oil and gas industry faces now and in the futureAker Solutions' Subsea based in Houston,consists of a high tech manufacturing centre and an extremely innovative engineering environment. The plant is tailor made for development and manufacturing of subsea systems. Our products are in demand throughout the world and the prospects for the future are promising. We need Operators who can strengthen our team and support our ambitious growth plans within the Subsea systems for oil and gas production market.We are looking for: Industrial Mechanics/ Test OperatorsResponsibilities and tasks- Perform assembly & test functions to associated Subsea procedures.- The position requires active interfacing and communication with shift leader, test technicians and team leader- Ensure all procedures are executed right and completed and give inputs to test technicians & shift leader- Report non-conformities","Qualifications & personal attributes-Certificate of apprenticeship or other relevant experience in mechanical industry or other relevant industry- Committed to HSE - Good communication skills - Structured - Drive and enthusiasm - Dedication, and willingness to drive change- Team player with high sense of cooperation - English languageIn some departments shift work will be needed.We offer• Friendly colleagues in an industry with a bright future.• An environment where you are encouraged to develop your skills and share your knowledge with your colleagues.• Competitive benefits and strong focus on work-life balance.",,0,1,0,Full-time,,Certification,Oil & Energy,Engineering,1
974,iOS Developer (C++ focus),"US, NY, Brooklyn",,,"Our team is made of designers, physics wizards, educators, writers, musicians, and illustrators. We work together to build elegantly engineered iOS apps that incite wonder in curious kids over the globe.Small teams mean you get a real say in how we solve problems, with plenty of autonomy. We have an ambitious product line-up, but we also understand the importance of work-life balance. We offer a flexible office environment, competitive salaries, and monthly team outings. We also include health care benefits (medical, dental, vision) and vacation for full-time employees.If you love pushing the boundaries of whats possible with mobile devices, spinning interactive stories, and collaborating on creative projects, youll fit right in.","Dive deep into codeWere looking for an iOS Developer with a strong C++ focus to make our apps faster, better, and more efficient. Youll get your feet wet tackling gritty details and optimizing processes, including porting code from Objective-C to C++ or graphics from CoreGraphics to OpenGL. Youll transform proof-of-concept code implementations into robust production code and code libraries, with an eye toward speed and maintainability. You should love crafting elegant, well-documented solutions to thorny problems.In this role, youll develop apps alongside our stellar dev team, who pushes the boundaries of what iOS devices can do. Youll work closely with the product, research, and animation teams, so communication and organizational skills are key. You should be a detail-oriented thinker, whos also good at seeing the big picture.Our award-winning first app, The Human Body, reached the #1 spot on the education charts in 143 countries and was named one of the App Stores Best of 2013. With each app, were looking to best ourselves and are looking for the developer wholl help us do so.This is a full-time job with benefits, based in Brooklyn.","You should have:A love of toys, games, puzzles, and picture books.An impressive portfolio, including apps youve shipped.Fluency in C++, Objective-C, and the iOS dev environment.Fluency in graphics APIs like CoreGraphics and OpenGL.Experience architecting, developing, and testing iOS apps.Strong communication skills.Extra points for:Experience with animation, gaming, and physics engines.Experience with either Cocos 2D or Unity 3D.Active contributions to open-source projects.Experience in kids and education apps.An eye for typography and design.Decent backend architecture skills.A sense of humor and a love of storytelling.Awesome side projects.","What to expect:A collaborative, team-based approach. All ideas are given consideration.Real respect for a work-life balance.Lots of autonomy.Tinybop offers competitive salaries, comprehensive healthcare benefits (medical, dental, vision), and vacation days for full-time employees.",0,1,1,Full-time,,,,,0
6537,Senior Java Developer,"GR, I, Athens",Engineering,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity & TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","As a Senior Java Developer you will be part of a very competent software team and you will be able to contribute in all phases of the development process. You will be responsible for Java/Java EE application development, supporting business objectives while providing expertise in full software development lifecycle, from concept and design to testing. You will analyze, design and build component-based applications in an enterprise Web/internet delivery environment, including introduction of an application layer, modelling techniques, component and object-oriented design, complex algorithmic coding, and systematic approaches to application integration. You will perform hands-on coding and, mentor junior developers and assist in architecting solutions. You should have acquired in-depth knowledge of working on high volume, low latency, real-time multithreaded platforms of mission-critical systems. Key AccountabilitiesDesign and develop high-volume, low-latency applications for mission-critical systems, delivering high-availability and performance.Contribute in all phases of the development lifecycle.Write well designed, testable, efficient software modules.Ensure designs are in compliance with specifications and that specifications cover all needed aspects of the implementation.Prepare and produce releases of software components.Provide support for production systems.Create design documentation and review and provide feedback on test plans.Support continuous improvement by investigating alternatives and technologies and presenting these for architectural review.Support and coach junior developers.Contribute in hiring process. ","Knowledge, Skills and ExperienceBSc/MSc in Computer Science or equivalent.5+ years of full time Software Development experience in a product company is required, along with a minimum of 3 years on Java.Hands on experience in designing and developing applications using Java EE platformsObject Oriented Analysis and Design using common Design Patterns.Profound insight of Java and JEE internals (namely Classloading, Memory Management, Transaction management etc)Experience in the Spring Framework (Spring Core, Spring Batch, Spring Security, Spring JMS)Excellent knowledge of Relational Databases, SQL and ORM technologies (JPA2, Hibernate)Experience in developing web applications using at least one popular web framework (JSF, Wicket, GWT, Spring MVC)Writing Unit Tests with proven experience of Unit Testing best practices.Creating and maintaining Maven projects.Basic Linux administration and Bash shell programming.Work experience in the following areas would be preferredExperience in NoSQL databases and managing large data sets.Knowledge of a scripting language (Python, Ruby, Groovy)OSGI programming using any OSGI container.Continuous Delivery methodologies and Continuous Integration tools.Proven experience in application development using agile methodologies.Personal CharacteristicsAbility to work well under pressure with track record of meeting deadlines in sometimes challenging situations.Strong team player with excellent written and verbal communication skills.Must be dependable, reliable, and have attention to detail.Balance time to market with the perfect solution","We offer a very competitive base salary and benefits, directly dependent on candidates qualifications and skills. By joining the development team, you will be exposed to an international environment in a very dynamic and progressive group.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Telecommunications,Engineering,0
476,Assistant to Head of Music,"GB, LND, ",,,"DICE gets fans the best tickets at face value with No Booking Fees. We're based in Shoreditch, London and 100% mobile so we're driven to transform ticketing globally. We're fully funded by successful entrepreneurs from music, consumer and technology - all very well known.DICE is currently only for Londoners but expanding to other cities soon. It's an exciting time to join and if can't see a role here right now for you email #EMAIL_f1ba6a31a1a8d33d113e24df320a8276792ed6ae6dd1dda3078b91d41c1164d3#.  You can download DICE at #URL_ec4eb3e790f2097c38259c96ef1a89331f5412f40c1f1dcd33772a0e2db7a014#","DICE is recruiting an assistant to the Head of Music.You're obsessed with music, brilliant with people and are looking to grow your connections with the entertainment industry. This is an administrive role but you're a creative person brimming with ideas of your own.You're extremely confident with Google Docs, spreadsheets and budgets.Administrative duties will include managing relationships with and providing support to dozens of promoters, coordinating meetings and schedules, preparing events listings, proof reading, preparing reports, work on special projects and events, research, data analysis, and compiling information on current events as needed.We're building something huge and this suits your ambitious nature. You're joining DICE at an early stage and that comes with highs and lows but you're joining a company that will impact millions of people. And that's exciting.","Proven experience with Google Docs, Spreadsheets and BudgetsStrong analytical skillsExtremely adept with content management systemsProfessional written and verbal communication and interpersonal skillsAble to craft and deliver executive-level presentationsWell organised with the ability to plan and deliver on tight deadlines with exceptional attention to detailAn existing involvement or history in promoting and managing events",,0,1,1,,,,,,0
10522,Senior Java Web Developer,"US, VA, Alexandria",,,,"Position Title: Senior Java Web DeveloperLocation: Alexandria, VAClearance Level: Public TrustKey Responsibilities:Build highly interactive web applications using the latest techniques Java, J2EE, JSP, EJB, XML, JSON, PL/SQL, HTML, JavaScript)Develop high-level concepts for web features and functionalityResearch the latest technologies, best practices and online trends providing guidance to both internal staff as well as clients.Improve customer's overall site experience including Section 508 complianceOptimize HTML, JSP's and CSS implementation across all tools.Requirements:Translate high level UI requirements into technical requirementsDemonstrate a creative vision for organizing interactive information systems for web portalsExperience in analysis, design and developing applications such as: Java, J2EE, JSP, EJB, XML, JSON, PL/SQL, HTML, JavaScriptExperience in one or more of the following Java Development Frameworks: Spring 3.x Core, Spring 3.x Data Access And Integration, Spring 3.x AOP, Spring 3.x MVC, Spring Security, HibernateExperience with software development tools such as: Eclipse, Subversion, Hudson/Jenkins, Nexus, Maven,  PMD, Sonar, JUnit, Cobertura/JaCoCo, Checkstyle, Find Bugs, SeleniumExperience designing and developing web services (ie: RESTful/JSON, SOAP/XML)Excellent written and verbal communication skillsAbility to work independently6+ years professional experience in software development (JSP, Java Script, JQuery, AJAX)Candidates must be innovative, creative, flexible and self-motivatedExperience in communicating with users, other technical teams, and management to collect requirements, describe software product features, and technical designsPreferred:Front end application / screen design experience and Wireframe notation (compliance, interaction, standards, etc.)Experience using the JBOSS and Tomcat Web Application ServersExperience with or understanding of CassandraExperience with or understanding of SAP Business Objects SDKExperience in a Unix/Linux environmentBachelors Degree in Interaction Design, User Experience Design, Information Architecture, Fine Arts, Human Factors, Human-Computer Interaction or Information Technology with relevant experience preferred",,,0,0,0,Contract,Mid-Senior level,,Information Technology and Services,,0
5398,Entry Level .Net Developer-US Applicants,"US, NJ, Jersey City",IT,,"Cavalier It Inc. is a global IT consulting company, head quartered in New Jersey with over 1200 employees globally. Our Service portfolio includes offering solutions across Industry and Technology verticals; we ensure quick design to deployment strategy. Our Technology and Domain expertise combined with customer centric approach has been catalyst in making us one of the fastest growing IT consulting and professional services Company.Our clientele includes most of the Fortune 500 companies spanning the Finance, Insurance, Pharma /Life Sciences, Healthcare, Manufacturing, Media, Retail, Hospitality and Government sectors.We are IBM, Oracle and Microsoft Gold partners and are recognized as one of the top talent suppliers by most of the clients and vendors."," Bachelors degree required in IT/Computer Science or related field· Excellent interpersonal and communication skills· Detailed problem solving and troubleshooting skills·  Basic knowledge using the .NET Framework, C#, VB.NET, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#, XML, WCF, SQL Server, Office and other components of Microsoft technology. · Basic knowledge of SOA and multi-application / cross-platform integration· Basic knowledge of Software Development Life Cycle (SDLC)· Basic knowledge of UML and design diagrams",Bachelors/Masters  degree required in IT/Computer Science or related field,Cavalier IT Inc. is an E Verified company. We are a US centric global IT service provider offering a wider range of customized technology solutions for our fortune 500 clients on various verticals and horizontals. We provide end to end system integration and strategic consulting right through to IT implementation for our #URL_55e629367693ddaf349df53ca993884907f1476a96d74ceae4f6d54de4e10393# selected employees will be given comprehensive training if needed. Competitive salary and benefits ·         H1B & Green Card sponsorship for eligible candidates ·         H1B Transfer ,0,0,0,Full-time,Entry level,Master's Degree,Information Technology and Services,Consulting,0
10718,Agent-Inbound Sales Position,"US, WV, Beckley",,0-0,"Delivering superior customer services for over 10 years, IBEX Global is a business process outsourcer offering an integrated suite of services that supports an expansive array of customer facing solutions.Headquartered in Washington, DC. IBEX Global delivers onshore, near shore and offshore solutions in 5 countries, across 18 call centers employing over 9000+ customer service professionals.  The recipient of several client and community awards such as the Goodwill Industries Employer of the Year, IBEX Global is one of the most forward thinking companies in the BPO industry.","Are you ready to start your sales career with a growing organization in a call center sales and customer service role,Then consider joining our growing inbound team at IBEX Global!IBEX Global is rapidly growing and were looking to quickly ramp up our Call Center Customer Sales & Service Representative positions that will be responsible for taking inbound calls from clients, selling telecommunications products and servicesAt IBEX Global weve been delivering superior customer services for over 10 years, as a business process outsourcer offering an integrated suite of services that supports an expansive array of client facing solutions. Representatives are responsible for generating sales of telecommunications products in an inbound sales center.In this role you will be responsible for fielding inbound calls from customers providing quality support , making sales offers on every transaction and suggestive selling telecommunication products and services based on customers needs","As a Customer Service Sales Representative you should be driven to succeed and exceed customer service and sales goals. In this role you should have strong interpersonal communication skills with a confident and outgoing personality.• Generating sales for our clients services and products and representing IBEX Global and its clients with the utmost integrity and professionalism• Providing exceptional service and maintaining established acceptance rating on quality monitoring tests• Resolving customer concerns immediately and effectively, displaying professionalism and courtesy at all times• Having full knowledge of features and benefits of products and services; quickly and correctly answering product questions seeking to stay informed of program changes• Attending and actively participating in all required training programs; showing eagerness and capacity to learn new products and programs.• 1 year of sales experience STRONGLY preferred• Proficient in computer skills• Excellent verbal and written communication skills• Ability to utilize basic math computation skills, preferred• Background and Drug screen required.","We offer our employees the following comprehensive benefits plan:• Medical, Dental and Vision Insurance• 401(k) with company match• Paid Time Off• Paid professional training• Career Advancement",0,1,0,Full-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
5961,Sales Executive - Office Equipment ,"GB, LND, ",,18000-25000,,"SE1, London Bridge - Laserlife, part of the Vitesse group.Office equipment, photocopiers, printers - those little grey boxes full of clever technology that buzz, whir, collect dust and always need somebody brave to change the toner. Theyre so underrated.That humming box in the corner of the office brings ideas to life. It lets businesses thrive, it increases speed and impresses customers. It makes office christmas parties the stuff of facebook legends. Efficiency, elegance, humour and growth - all boxed up and ready to roll.So were not just looking for someone to knock on doors and push these incredible boxes of kit. Sure, theres some cold calling and warm leads from a crack team of telemarketers, but we want someone who can think bigger, help businesses see real benefits. Someone wholl share their excitement and vision.I know, I know, were talking about photocopiers and printers - not a cure for malaria. But this stuff can transform a business from an also-ran to a successful, exciting, fast organisation. It can take something tin-pot and make it solid gold.You might be working in a small, provincial firm and seeing the limits. You might be selling something similar. Wherever you are now, your attitude, advice and ability to sell can make good businesses great. Ours included.Youre going to have tough targets. Youre going to have tough meetings. And youre going to have to be even tougher - not in the way you sell, but in the way youre made. Sales isnt easy. Get it right and those hard knocks become best-quality, full-colour, high-resolution opportunities.","You'll need to have at least 12 months office equipment sales experience and live wihin commutable distance to Lonodn SE1.  If you don't have this type of sales experience, contact me anyway and convince me you're worth meeting.",Basic salary of between £18-25K with a progressive commission strucure and lots of telemarketing support and appointments made for you.,0,0,0,Full-time,Associate,Certification,Business Supplies and Equipment,Sales,0
2916,Contact Center Representatives,"US, NV, Las Vegas",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company, located in Henderson, NV has full-time positions available for Contact Center Representatives.  We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork and an uncompromised level of customer service. The position requires the following qualifications: A minimum of 1 year in a Call Center environmentCollections experience requiredAuto or furniture collections a plusAbility to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights Monday  Friday as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish a plus Primary responsibilities include, but are not limited to the following: Make and receive calls through automated dialerTake payments on past due accountsHandle customer service related issuesProcess related paperworkAdherence to company policies and procedures in addition to compliance of state and federal regulations We offer a competitive salary based on experience and a comprehensive benefits package.  Please submit your resume via e-mail to #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117## or fax your resume to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#.  Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services. ",The position requires the following qualifications: A minimum of 1 year in a Call Center environmentCollections experience requiredAuto or furniture collections a plusAbility to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights Monday  Friday as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish a plus,"We offer a competitive salary as well as a comprehensive benefits package to our full-time employees to include: 40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goalsBilingual Spanish eligible for differential pay",0,1,1,Full-time,Entry level,Unspecified,Financial Services,Customer Service,0
17778,Hiring Part-Time Workers (CASH PAYING),"US, CA, Los Angeles",,,,"Hiring Part-Time Workers (CASH PAYING)You can do it all from home, in your free time, at your own place.Spend 30 minutes or 1 hours a day & Get biggest cash.You can work in the morning, afternoon, or at night.Perfect for everyone then start immediately.Can earn $400 to $450 extra per day.No any experience required.Zero start-up fee, Visit here:-#URL_7ebe37f71633be1b80547d6f213cb0075a63b6ced35281bfa5c067b5c685f04c#-rg.info",No any experience #URL_5a85681305d45d339fe029922fee6c08b4d9cd37e7636890b644d90489b4093a# any experience required.,,0,0,0,Part-time,,,,,1
7545,Contact Center Representatives,"US, NV, Las Vegas",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company, located in Henderson, NV has full-time positions available for Contact Center Representatives.  We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork and an uncompromised level of customer service. The position requires the following qualifications: A minimum of 1 year in a Call Center environmentCollections experience requiredAuto or furniture collections a plusAbility to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights Monday  Friday as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish a plus Primary responsibilities include, but are not limited to the following: Make and receive calls through automated dialerTake payments on past due accountsHandle customer service related issuesProcess related paperworkAdherence to company policies and procedures in addition to compliance of state and federal regulations We offer a competitive salary based on experience and a comprehensive benefits package.  Please submit your resume via e-mail to #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117## or fax your resume to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#.Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex (including pregnancy), marital status, religion, disability, genetic information, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.",The position requires the following qualifications: A minimum of 1 year in a Call Center environmentCollections experience requiredAuto or furniture collections a plusAbility to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights Monday  Friday as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish a plus,"Our company offers a competitive salary plus BONUSES as well as a comprehensive benefits package to our full-time employees including:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.",0,1,0,Full-time,Entry level,Unspecified,Financial Services,Customer Service,0
16352,"DevOps Engineer - Linux, Java,AWS,Python or Ruby","GB, LND, London",,,,"DevOps Engineer - Linux, Java, AWS, Python or Ruby  Central London Offices  My client, a fast growing and very well respected Big Data software vendor are looking to recruit a DevOps Engineer to join their development team supporting the product development function for both the UK and U.S teams.  This company provide a leading Big Data product and have huge ambitions to grow their business and product portfolio. They are looking for engineers to join the team.  They are looking for an experienced DevOps engineer with good Puppet/Chef and Java/Ruby skills.  You will help build and support their Big Data product hosted and running in the Cloud (AWS) as part of a global team. You will work with the leading-edge technologies: Puppet, AWS, Ruby, New Relic, and other tools from the DevOps community. You will also get to help shape their global operation.","Key Responsibilities:  - Constantly improve the Cloud Stack so that they provide the most reliable service in the world to their customers. - Solve customer problems and implement proactive solutions. - Constantly improve their operational intelligence. - Improve the Continuous Delivery pipeline. - Be an awesome member of our global team.  Technical skills:  - Puppet or Chef (they use Puppet). - Proficient in Ruby or Python, and UNIX shell. - Unix systems administration including strong TCP/IP networking. - Solid understanding of Java and databases. - Experience with Amazon EC2, PaaS services (e.g. Heroku, Azure) a very strong plus.  Experience and General Skills  - A good background of working in a production administration or support role. - Hands-on, proactive, and customer focused. - Strong communication skills (written and verbal). - Practical approach to troubleshooting.  This a highly innovative company with an amazing product who are building a world leading DevOps/Cloud/NoSQL technology stack so this is a superb opportunity to join a growing and dynamic organisation where you can take your career to the next level.",,0,1,0,,,,,,0
17014,Escrow Officer / Closer,"US, CO, Loveland",,,"Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Your satisfaction, as our client, is important.  We are here to assist you and ensure a efficient, cohesive transaction.  Our experienced settlement officers and attorneys will travel anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.  For resume review, email to #EMAIL_bbbab0052922a3a922939af2180ff4d9f034ba04634bd573870818da62a0d151# or call Office: #PHONE_113b1bd5d3e5e087c3480c458e2ef299fd3a272612224463899e65ab2fe73995# x7141 Cell: #PHONE_c3853c0e034b798989b048d3a55a28d03177bfde5db40af1c435f6fa5a867868#","Need a change? Looking for growth opportunity? Then give us a call!!This is who we are: Network Closing Services, Inc., a full service Title Company with headquarters in Orlando, Florida.  We are currently in a nationwide expansion.  Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Our Client satisfaction is very important.  We provide experienced settlement officers and attorneys anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.  We operate in an automated paperless environment  Faster ServiceWhat we need: An established Tile/Escrow Closer with a Book of Business What you will do:Close residential purchase/sale transactions, in accordance with closing instructions from lender and sellerWork with our processing team to ensure steps are successfully completed such as: order title commitment, clear title, package docs  to to ensure recordings, HUD statements and funding transactions. Conduct closing with customers, realtors, lenders, and attorneysMaintain existing clients and establish new client relationships by constant marketing/sales activityEmmanuel Sanchez#PHONE_dc5cff94cbcc4ac18e1234a2e6521946ae7d722bdf66cfc1af3aeca9255aee0d##URL_1bdaa45fd1ec77ea7879abfceafd610ca4fa9b4eb30eb6fa5f39b9ffac8a8c5a#Seeking candidates in several Colorado markets",What you should know:Are you a top Closer in your market? Are you a go-getter and able to market for new business? Are you a dedicated customer service oriented Title Professional? If you answered yes to these questions then we want you!Experienced Title Settlement CloserMust have a client following/Book of Business and ability to MarketMust be accountable and must have the ability to change a process or method as needed.Ability to market our services.  Go out and see lot's of potential clients.Knowledge of RamQuest a plusNotary a plus or ability to pass a background check.                                     Independent/Mobil Closer's or Mortgage Closer's NOT being considered,"What's in it for you?We provide a team oriented and rewarding atmosphere, our employees are supported at all levels to achieve a common goal.  We also have the traditional benefits including:Competitive compensation packageVacation PaySick PayHoliday Pay401k PlanHealth, Dental, and Vision Insurance",0,1,1,Full-time,Mid-Senior level,Unspecified,Real Estate,,0
5038,Mystery Shopper - Montana,"US, MT, Great Falls",,,"SDI Marketing started in 1988 as a small marketing agency with one simple philosophy: make our client's business our business. Our approach is what makes us one of Canada's most innovative marketing companies, and we take pride in continuing to represent our original clients. Our dedication to maintaining and growing relationships leads to better access to markets, innovative programs and proven results for our clients.","SDI Marketing is currently looking to hire Merchandisers!The main purpose of this position is to represent one of our top tiered client!. The position will require you travel to different store locations to complete merchandising audits as a Merchandiser! Each location takes approximately 15 minutes.Duration: May 16th - June 6thLocation: MontanaPay: $20 per completed shop. The more you can complete, the more $$ you make for yourself!RESPONSIBILITIES• Work in a store as a Merchandiser to complete audits• Remain discreet at all times when conducting mystery shops• Ensure all notes are documented while in store• Report back to Supervisor • Travel to different store locationsPlease visit our website at #URL_38d7bc705361b0d8e204db8850b552751dfd206a12a9dd3e1a92b603c2f18c76# to learn more about our organization. We thank all those who submit applications; however only those candidates selected for an interview will be contacted.",• Must be 18 years of age or older• Must be able to work flexible hours; including weekends throughout the duration• Must have access to a vehicle or access to another reliable means of transportation• Must have a valid driver's license• Must be willing and able to travel to different store locations,,0,1,0,Temporary,Entry level,High School or equivalent,Marketing and Advertising,Marketing,0
16453,Home-based Inbound Sales Representative,"NZ, , ",Homeworker,,"CallCentre People Recruitment is recognised as being specialists within the CallCentre industry.  We provide permanent, temporary, contract and management staff for a number of large national and multi-national businesses in various industries.",- Work from home anywhere in New Zealand! - 25  30 hours a week - 7 days a week available  - Competitive hourly rate - New Year Start Your skilled approach to relationship building and problem solving will assist you in achieving your goals each and every time you are working. This is an exciting opportunity for experienced customer service and sales reps that are looking for the flexibility of working from home.,The following experience and personal qualities would be advantageous in securing this role: - Proven experience in a call centre position (required) - Excellent communication skills and rapport building skills - The confidence and the resilience to close a sale  - The confidence to deal with difficult customers - A drive to exceed sales targets and proven experience of having done so - Motivated and capable of working independently - Good work ethic and time management skills - Strong computer skills,"What do you require: - Landline home phone - Broadband Internet - Laptop or PC running a windows operating system - Internet Explorer version 8, 9 or 10 (11 not compatible) - Wired home phone with headset (recommended) - 13”(or larger) laptop or PC Monitor for ease of viewing (recommended) You must be able to work a minimum of 25 hours a week and meet our clients technical skill requirements.  We are looking for people who are available 8am to 10pm, Monday through Sunday on a rotating roster Training and ongoing coaching will be provided via webinars and e-learning. Applicants are able to be based anywhere in NZ! If this sounds like you or you want to know more get in touch with us now. Applicants for this position should have NZ residency or a valid NZ work visa.",0,1,1,Temporary,Entry level,,Consumer Services,,0
15526,Senior Windows Security Analyst ,"IN, KA, Bengaluru",,,"We design, deploy, and maintain advanced technologies that enable our customers to achieve operational excellence at substantial OpEx savings. Our core strengths include a concentration on networks, their security & optimization with a laser focus on redefining the fulfillment experience. We are driven to add value at every step by providing access to inventory, streamlined credit, integration with your procurement organization and real time status information. These are all reflective of our value-added philosophy.We have developed solutions for leaders from Wall Street to the Web. What makes us different is our concentration and commitment to exclusive relationships with leading vendors.","We are looking for a highly motivated and qualified Senior Windows Security Analyst to join a fast-paced, dynamic and challenging environment.The ideal candidates must demonstrate a very strong conceptual understanding of Windows security and the ability to work in a global team environment. The role primary focuses will be on supporting products/tools related to platform security for a global firm.As a Sr. Windows Security Engineer, your responsibilities will include, but are not limited to, the following:Consult internal teams and clients on security tools and provision tools for the different IT security teams.Provide 3rd level support for production application. Proactively ensure the highest levels of systems and infrastructure stability.Response to mitigate risks by utilizing companys tools, policies, process and procedures.Perform operational support, and participate in the 24X7 weekly rotation of the on-call emergency response.Contribute to the central knowledge base by constructing, reviewing and improving documents & publishing corrections related to security.Inspect, troubleshoot and resolve problems, become the “go to” person for sufficient resolutionCooperate and communicate effectively with clients and colleagues around the globe to ensure procedures and processed meet best practices.Design, develop and execute visionary ideas for efficiency and effectiveness, and provide ideas for automation when necessaryContribute to all business planning and practices by providing insightful ideas.This is for direct full time employment in Bengaluru, India. Salary is open, based on experience.","Qualifications:5-10 years of related experiencesBachelor's Degree or Master's Degree in Information Technology, Computer Science or a related disciplineFluent in EnglishSkills & Experiences:Must possess in-depth understanding and prior experience on the following:Scripting and development in Powershell, VBscript and/or c# development skillsMicrosoft Active Directory, LDAP, Group Policy, DNS / WINS, IIS, clustering, SCCM, SQL (query).Knowledgeable in one or more of Security technologies: Symantec Endpoint Protection, Symantec Endpoint Encryption, Varonis DataPrivilege, Varonis DatAdvantage, Hitachi Privilege Access Management, Microsoft Public Key Infrastructure, and Microsoft Threat Management Gateway, and etc.Firewalls, Routing, NAT, OSI Model, packet trace and analysis, and etc.Troubleshooting windows operating systems including debuggingProject Management skills with ability to plan and execute effectively    Web Development (HTML, JavaScript)RedHat Linux, and virtualization technologyInterpersonal Skills:Ability to communicate with people of diverse backgrounds and locationsMust be able to demonstrate problem solving/troubleshooting skillsAbility to handle multiple projects and meet aggressive deadlinesAbility to work and thrive in a fast-paced environment, learn rapidly and master diverse security technologies and techniquesStrong written and verbal communication skills","At Fivesky, our employees are our greatest asset and the focal point around which we operate, therefore, we always want the best for our employees.  In addition to offering competitive compensation plans and long-term career opportunities.  We offer an attractive mix of benefit plans to our employees that include: 401K, vacation, holiday pay, and sick days.  Employees qualify to join these plans and other sponsored benefits after 90-days of employment.Fivesky is an equal opportunity employer and will consider all applications without regard to race, sex, age, color, religion, national origin, veteran status, disability, genetic information or any other characteristic protected by law.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Networking,Engineering,0
14493,Back-End Ruby Developer,"GR, , ",SW,,"Crypteia Networks delivers a patent-pending technology that identifies zero-day threats on their infancy along with misconfigurations on the already deployed defenses, as to provide visualizations of the threats paths and provide suggested mitigation actions (MOREAL™). This enables enterprises to add a new layer of security in their environment by expanding the intelligence of their already deployed security mechanisms, maximize the value of the logs they are already generating & collecting without any change in their infrastructure. The solution is non-intrusive, OS independent and comes with zero integration pains. Crypteia Networks is a PCCW Global company. ","Crypteia Networks is looking for a Backend Developer who is passionate about building well written, tested, secure software. Your major reposnsiblity will be building an API that delivers big data security analytics, using Ruby, Elasticsearch, Cassandra and TitanDB. Apart from the Software Development team, you will also be working closely to the R&D team, in order to port working prototypes to production environment.","3 + years experience with rubyExcellent knowledge of RailsSolid understanding of Elasticsearch DSLGit userpractices TDDSuperb communication skills, team playerSelf motivated, fast learnerAdvantages:Experience with other ruby frameworks such as Event MachineExperience with any system languageExperience with any Graph DB",Continues training and certifications enrollments.Pleasant working environment with young people.Ability to grow with the company.,1,1,0,Full-time,,,Computer & Network Security,,0
4888,English Teacher Abroad,"US, ID, Boise",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
3471,Controls - PLC Programmer,"CA, AB, Edmonton",MX,,,"CONTROLS  PLC PROGRAMMEREDMONTON, ALBERTAPackers Plus is the pioneer of open hole, multi-stage horizontal fracturing and we provide technology-based solutions to the oil and gas industry around the world. We are currently recruiting for a Controls  PLC Programmer to join our team at our Edmonton manufacturing facility.Primary Role FocusMaintain and update existing software programs on automated equipment.• Reports to Automation Production ManagerKey Tasks and Responsibilities• Maintain software programs (PLC and/or PC based) specific to project requirements• Debug and commission control systems changes as required• Collaborate with the Engineering department and other groups to continuously improve methods of integrating controls technology, focusing on enhanced safety, functionality and reduced cost• Provide thorough testing of machine functionality to verify software program completeness• Advise Maintenance group on technical problems• Provide training support to specific projects (i.e. machine operation, programming and troubleshooting)• Travel as required to other facilities to perform installations, commissioning and training • Adhere to all health and safety rules and procedures","Experience and skills• Minimum of 2 years experience with Rockwell Automation Facility• Strong programming, mechanical and electrical problem solving skills• Knowledge of automation, control systems and machine operation with hands-on experience is an assetEducation and Training• Post-secondary engineering degree, diploma or equivalent, specializing in mechanical, electrical, systems or computer engineeringCompetenciesCore Competencies• Customer Intimacy• Operational Excellence• Innovation• InitiativeDepartment Competencies• Ability to work effectively as part of a team• Works well under pressure• Attentive to detailRole Competencies• Excellent oral and written communication skills• Analytical Problem Solving• Trouble Shooting• Ability to work with minimal supervisionTechnical Competencies• Advanced proficiency with Microsoft Office applications (Excel, Word, Outlook)Physical and Safety Requirements• Safety Sensitive, and subject to pre-employment, post incident and reasonable cause drug and alcohol testingTo apply, please email your cover letter and resume referencing the above position",,0,0,0,Full-time,Mid-Senior level,Certification,Oil & Energy,,0
9200,Staff Interpreter (Part-time),"US, MN, Central/Southern Minnesota",Interpreting Services,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","The Staff Interpreters provide sign language interpreting service for deaf, hard of hearing and hearing consumers based in a variety of settings including but not limited to legal, medical, mental health, employment, educational, one to one, group platform and/or via video remote interpreting (VRI) channels (video relay service, web conferencing, etc.).We have two positions currently available, one serving Central Minnesota and the other Southern Minnesota region.Some essential functions include:Providing sign language interpretation to customers requesting interpreting services in the community (legal, medical, employment, educational, platform, individual, etc).Providing video remote interpreting (VRI) service between deaf, hard of hearing and hearing consumers utilizing videoconferencing technology.Maintaining and adhering to a flexible schedule on average ranging from 20 to 29 hours per week, depending on customer requirements and CSD business needs, dedicating primary schedule adherence to CSD interpreting assignments at all times.Completing, maintaining and reporting timely and accurate CSD assignment records, including regarding hours, travel and billing as daily required in accordance with CSD interpreting operations, finance and payroll policies.Demonstrating exceptional customer service, relationship building and professional networking skills to create positive public relations for CSD as the premier provider of interpreting and other services to our clients, consumers and the public","High School Diploma or equivalentBachelor's degree in American Sign Language (preferred)Two years of professional interpreting experience in diverse settings, including video interpretingStrong understanding of deaf culture and interpreter standardsNational NAD interpreter certification, or state certification Level 4/5; RID CI/CT or NIC Certified, Advanced or MasterValid state-issued driver's license and safe driving record","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career page.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, or national origin, including individuals with a disability and protected veterans.",0,1,1,Part-time,Mid-Senior level,High School or equivalent,Public Relations and Communications,Customer Service,0
1749,Customer Service Professional,"US, TN, Nashville",Customer Service,,"Parman Energy Corporation, headquartered in Nashville, Tennessee, has one of the United States' most comprehensive inventories of Chevron lubricant products, as well as other brands of bulk and packaged lubricants for any application. Chevron is a premium brand recognized around the world for quality, performance, and superior innovation in lubricant engineering. You have the assurance that Parman Energy, as the premier Signature Class Chevron Marketer in the Eastern US, will deliver the highest level of competency and will provide quality products recommended by experienced lubrication engineers. In support of the unique needs of fuel customers and their demand for uninterrupted supply of fuel to power their businesses, Parman Energy has expanded its onsite fuel storage and ""state of the art"" electronic fuel rack systems at both Nashville, Chattanooga, and Camden locations.",Parman Energy has a small team of tenured customer service professionals.  Were growing and looking to add a new member to our dynamic group.   Representatives work in a fast paced office environment providing service for customers within assigned territories and working with outside sales representatives and operations to ensure an excellent customer experience.  This position is not in a call center environment.    ,"The role requires computer proficiency, strong verbal and written communication skills, and at least one year of previous customer service experience. ",Competitive pay & great benefits.  ,0,0,1,,,,,,0
10676,Go Developer,"PL, MA, Kraków",,,,"#URL_c914851eb385d8c6471d602008fc4e84780de02287ca6ee67080b5f24864592f# is a developers tools provider based in LasVegas and Krakow. Were privately funded, and profitable. We already have a number of active, successful products (PandaStream, StillAlive, StatusHub,PointDNS, Statsmix, #URL_185d321c4bb13bb00e36e126a608448950d4febc526b34407ecd7725c3348442# and more) in the space.Were looking to grow our team in Krakow now  so if youre interested and fit the below guidelines  contact us!We are in an extraordinary age where we can take on science fiction and make it real. Why wait for someone else to invent the future when you can build it today? We are passionate about technology and the positive impacts it can bring to society.Everyone at the company takes a tremendous amount of pride in their work, following through an idea from conception to release. We have an imperative to do something truly significant, something that will leave a legacy.We make it a priority to ensure that everyone at the company is continually honing their skills and expanding their capability. You will wear many hats, and take on and conquer challenges you never dreamed of.We invest heavily in our culture  getting smart, experienced people is one challenge, getting team members that really want to participate in our project and add value to the whole, is another, and thats what we want. We find what works best for us is:ShareCommunicating is fun, useful, and not a chore. We run multiple offices, so being able to effectively communicate through a few different channels, regularly, and without effort, is essential.Learn and coachWilling to listen, even if you think you know the answer, and willing to coach anyone who doesnt.Nothing is impossibleBuy into the potential to do anything, even if at the first pass it looks like theres many reasons why it wont work.Fight and FriendlyBe willing to fight for your point of view, or say when something isnt right  while still remaining humble.","computer science degreeany experience with Go is welcomedevelopment experience with Java or C/C++solid understanding of systems programmingintimate knowledge of SQL, ORM and database optimizationfamiliar with Git version controlcomfortable working with Linux or other Unix operating systems",Good CoffeeOffice on the Market SquarePrivate healthcare,0,0,0,Full-time,Associate,,Information Technology and Services,Engineering,0
3135,PPC Wizard,"IE, , Dublin",,,"ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Barcelona, Dhaka and San Salvador. Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.","PPC WizardAre you stuck in a role where theyre still doing the same old PPC campaigns they were doing months ago? Nobody is really challenging the norms or questioning why we dont do something different!Bored? Lacking excitement in your job?Well how about this …ding* (still answering to the name ezetop also) is Irelands latest bit of hot online talent and its fastest growing online sensation! Were rapidly expanding our Digital Marketing team and now looking for a PPC Wizard to create and lead innovative and exciting online campaigns. We want someone who gets a real kick out of all things PPC! Is this you?Heres what youll do day to day: Look after our many accounts in Google Adwords and BingManage complex PPC campaigns across multiple markets and languagesExtensive keyword researchDesign brilliant Digital Content Ads for Mobile App AdvertisingManage bids and advise on bidding strategyGuide and mentor our awesome PPC ExecutivesWork with your team to create amazing  Ad Copy and testingSupport promotional activities in PPC, working with the Online Marketing teamCarry out budget and search volume forecastingDevelop strategies across ding* markets & identify new opportunities for campaign growth And heres what were looking for:You strive to achieve targets and deadlines, in fact you regularly come back looking for bigger targets and tighter deadlinesYou dont just have a marketing qualification but a genuine love for all things marketing relatedOut of the box thinking is a norm for youOnce youve had your morning coffee, there is not stopping your creativityYou get a buzz out of analyzing informationYoull match the Online Marketing teams enthusiasm and commitment level whilst partaking in the daily banterYoure fluent in English and renowned for your communication skillsYou have incredible attention to detail  youve even spotted the typo in this spec P.S.It would be great if you spoke a second languageIt would also be much appreciated if you bring cakes, sweets or any other treats to the interview (!) So whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun. ding*ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Barcelona, Dhaka and San Salvador.  ",,,0,1,1,Full-time,,,Telecommunications,Marketing,0
7445,PA / Executive Assistant to Chief Executive,"GB, LND, London",,27000-34000,"Inviting inspirational individualsWere fast becoming a world-class company, making a massive impact to charities. Were looking for top talent to join our growing London and Nottingham offices.5 Reasons to work for Raising IT1. Be part of a fast-moving tech companyWe love driving forwards innovation and everyone in the team contributes ideasWere still a small team, making a big difference2. Work with leading charitiesOur client list includes leading charities such as RSPCA, Macmillan and UNICEFEarn what you would elsewhere, but make millions for causes that count too3. Make a massive impact in the worldThe charity space is really struggling as government funding is decreasingThe future is web and social media and we are leading the way4. Have a huge opportunity not just a jobWe are onto something big, you will be a big part of it, not a corporate clogOur products have already hugely successful, but this is just the beginning5. Join a great, fun and friendly teamOur team is driven by the difference we are makingWe are passionate people that know how to work hard and have a good time","We are seeking an outstanding Executive PA looking for a fast paced, varied, stretching role working with a dynamic Chief Executive in a fast-growing, exciting social venture.UsRaising IT is growing quickly! We are one of the UKs leading digital companies working with non profits to build stunning websites and mobilise their supporters that take action and fundraise. Along with online fundraising and advocacy, our tools make it easy to create viral social campaigns for causes that really matter.As our product continues to improve and transform the lives of millions of people in need, we are looking to take on someone to join our fast-paced team. It will be hugely varied, and full of the thrills and rewards of working for a small, mission-driven company.Work with an awesome, young team in a laid back environment based out of Angel and, if you prefer, choose to spend some of your time working from home.","With such a varied and challenging role, the right individual will be a bright, talented, ambitious person who is looking for personal development and growth within a fast-paced environment.The role is demanding and requires a strong person who can multi-task and is capable of planning, coordinating and executing project plans. You should be able to prioritise and manage your projects and time effectively to add value. The confidence to work closely with the senior management team and be proactive in offering support is key to achieving in this role.Youre  probably someone with experience in a professional services environment or similar.  Youll be hugely motivated by delivering impact for leading brands in the non-profit space with our clients including RSPCA, RSPB and Guide Dogs.ActivitiesDiary management and other administrative and support tasks as required, including Directors personal administrationCompleting specific business improvement projects within the CompanyTroubleshooting and rapid problem solving of problems as they ariseCustomer and internal project administration including progress, resources and financial reportingSupport of recruitment processesDealing with correspondence, telephone calls and visitorsOrganising travel and accommodation for the teamProvide in house IT support and liaise with external IT support staff to troubleshoot larger issuesLiaising with the rest of the teamSkills and experienceStrong verbal and written communications skillsSound judgement, able to work with minimal supervisionCompetent use of Microsoft Office softwareA high degree of accuracy and attention to detailExcellent interpersonal skills  the ability to build and maintain relationships with high profile individualsStrong administration skills, with experience of complex diary management and production of high quality correspondence, reports and presentations.",Competitive salaryDynamic young team based near Central LondonWork with and help inspiring clients making a real difference Be a part of fast growth company ,0,1,1,,,,,,0
2554,Full-time Habilitation Specialist/Direct Care Staff,"US, MO, St. Louis",Hab Spec,,"Lafayette Habilitation Center creates a unique balance between creating a caring home environment and providing professional services needed for individuals with intellectual and developmental disabilities. Our facilities are designed to give residents the best of both worlds each day, every day. All residents are monitored by medical providers or care-givers who encourage and guide residents in activities which promote healthy social and relational interactions.","We are currently seeking, and will train, high energy, creative, motivated individuals who are self-starters and have a real interest in working with individuals diagnosed with a developmental disability to work as Habilitation Specialists. Our Habilitation Specialists teach functional life skills and independent living, social, direct care skills to our residents. Habilitation Specialists are important because they provide daily living skills and increased quality of life, serve as a liaisons between the organization and families, carry out administrative tasks related to their caseloads and may be required to drive an agency vehicle to pick up and drop off Residents.Essential Functions1.     Assist individuals with their daily activities to help them grow in their independence2.     Work one-on-one with individuals3.     Provide assistance with the personal care and physical care as needed by an individual to ensure their safety, health, etc.4.     Assist with the individuals community involvement, doctor appointments and acts as an advocate when hospitalization is required5.     Must be able to work independently6.     Reporting to work when scheduled, on time, with exceptional personal hygiene7.     Superior attendance is required8.     Follow all Physical Safety rules9.     Taking part in monthly and yearly training as requested by the company10.   Treat fellow associates and residents with respect and kindness11.   Other duties as assignedCompetencies1.    Customer/Client/Resident Focus 2.    Flexibility 3.    Time Management 4.    Communication Proficiency 5.    Stress Management/ComposureSupervisory Responsibility This position has no supervisory responsibilities.Work EnvironmentThis job operates in a residential setting.  This role requires regular walking to various locations around the facility.Physical DemandsWhile performing the duties of this job, the employee is regularly required to talk and hear. This position is very active and requires standing, walking, bending, kneeling, and stooping all day. The employee must frequently lift and/or move items over 60 pounds.Position Type and Expected Hours of WorkThis is a full time position in a residential facility which is open 24 hours a day, 365 days a year.  Holiday and work during inclement weather will be required. ","Required Education & Experience1.    High School Diploma or equivalentPreferred Education & Experience1.    Previous experience as direct care staffOther Competencies (skills, abilities, behavior)Ability to understand and follow both written and oral instructions.  Adaptability to perform routine, repetitive tasks within a specific period of time.  Prioritzes work assignments to be completed within time requirements.Cleanliness in person and habits, initiative, dependable, ability to work efficently and harmonisouly with others.  Must demonstrate a positive and caring attitude toward residents and staff.  Must remain flexible and adaptable in order to meet department needs.Background Check and Drug ScreeningIt is the policy of Lafayette Habilitation Center to provide and ensure a safe and secure environment for all members of the facility and its property. As part of this mandate, background checks and drug screening will be required of prospective employees who may or may not have already received a bona fide offer of employment.",Direct DepositOn site in-service training to develop and enhance knowledge and abilities,0,1,1,,Entry level,High School or equivalent,,Health Care Provider,0
189,English Teacher Abroad ,"US, OH, Columbia",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
5355,Java Ass Kicker,"US, TX, Houston",,,"Based in Houston, Texas, Palladium Consulting is a boutique software consulting firm with 12 years experience building bespoke scientific and economic applications for technology leaders. Our skill is taking high impact, high complexity research ideas and turning them into deployable software, maintained over many years. Our starting points are quant spreadsheets, massively parallel simulations, chalkboard sketches, and academic papers, whose ideas have not been or cannot be implemented in off-the-shelf software. We turn these into web-deployed software that brings this functionality to the masses.","The right design makes a problem look simple, works fast and handles change gracefully. The wrong design creates unintended complexity and runs slow. We are looking for a senior Java lead who can build the right designs. We are renovating an app with killer functionalty but an outdated architecture. We'll start with the read-side, projecting a fast reporting database from the main application so that it can be used by modern data analytics and visualization tools like Spotfire, Tableau, or QlikView. Then we'll attack the write-side by migrating the persistence store from an RDBMS (Oracle Database, Microsoft SQL Server) to a graph database engine (neo4J), or create a hybrid approach. All the while we will be building out a new RESTful API.Prototyping showed our approach speeding up our client's application by a factor of 100 or more. Can you make this prototype a reality?But that is only the beginning. We've got quite a roadmap of other features to work on once we get the data parts in place.  So if you like to solve interesting problems, and can do so with Java, come help us take the lead.","Mandatory: Strong Java coder happy in most of the Java tool chain, and with opinions about where it falls short. Aversion to XML a plus.Mandatory: Experience with relational database management systems (SQL) such as Oracle or Microsoft SQL Server and coding in SQL (PL/SQL or T-SQL). When to normalize, when to not; what a query plan looks like; how fast a query should be able to run.Nice to have: familairty with Java Expression Language, Spring Framework, ActiveMQ, Java Message Service (JMS) and Tomcat Server.Nice to have: Experience with graph databases, particularly Neo4j","We get better work done for our clients when all our employees are paid well and have excellent benefits, including group health, dental, and life insurance and a retirement plan. So that's the way we do it.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,,Information Technology,0
12721,Sr.SQL Server DBA ,"US, IL, Barrington",,,"Roland & Associates is a Corporate Recruitment Organization providing solutions to Global MNCs for the past 12 years.We specialize in High end Recruitments in IT, Telecom, Embedded, Chip Design and Non-IT (Manufacturing, Engineering, Oil & Gas, Infrastructure, BFSI,FMCG,Automobile,Aeronautics, Pharmaceutical, Healthcare and Life-Sciences and Business Advisory) domains.We have a strong team of more than 100 employees operating from multiple offices in Bangalore located on HAL Airport Road.Visit us also at #URL_1722ee2d0bc47c66058101f0a811deb4a0991ebf80d1cadd752ef83c41ebfd15# , #URL_1bf25f25493e97bdc8354cb65991bb196949302fd5331c01b896c04df7c80783# , #URL_387f3642127667d307b8bfb226e4fb0d52ab6c3140cc7d544b8027e21c4fc3a5#","This is a MS SQL Server DBA role responsible for providing both operational database services and development guidance to the organization. Some of the primary responsibilities of this role would include owning, tracking and resolving database related incidents and requests,  working with database developers and others in the engineering team to come up with strategic solutions to database related application issues.","Job Role : Sr.SQL Server DBA Job Type : Full time/Permanent Industry Type: Computer Software Experience : 8 to 15 Years Job Location : United States_Barrington_IL Education : Bachelor's Degree Required Skills: - Database Administration in SQL Server ( 2008, 2012+) - Write and troubleshoot SQL Code and design stored procedures, functions, tables, views, triggers, indexes, constraints. - Troubleshooting and resolving database integrity issues, performance issues, blocking and deadlocking issues, replication issues, log shipping issues, connectivity issues, security issues etc. - Performance Tuning, Query Optimization, using Performance Monitor, SQL Profiler and other related monitoring and troubleshooting tools. - Knowledge indexes, index management, integrity checks, configuration, patching. How statistics work, how indexes are stored, how they can be created and managed effectively. Note: For Visa Candidates,US Citizens, Green Card Holders and/or Valid EAD only. Interested professionals,please share your updated resume to #EMAIL_3acde4fc16546e0d5330b0fb787ad6ae35c6f3691e4f523833db5fa0442e0f66#",Salary: Excellent package with full benefits and bonus.,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Automotive,Information Technology,0
15124,Operations Engineer (DevOps),"GR, I, Athens",Operations,,"Workable is a venture-backed startup making cloud-based recruitment software for fast-growing companies around the world. We're looking for people who want to change the way companies and people meet each other.Life at WorkableAt Workable we are creating an environment that has all the excitement and intellectual stimulation of a startup, minus the fads and pretension. We don't work 80-hour weeks, but we do work in an efficient and disciplined manner. We don't have ninjas and rock stars, we have people who are outstanding at what they do. We don't think it's old fashioned to have a sensible business model and we enjoy working with smart people.> learn more about Workable and our employee benefits","Workable is a venture-backed startup developing recruiting software for SMEs and high-growth tech companies. The company grew tenfold over the past year and continues to grow at a rapid pace.To support this growth and make sure that we can scale from thousands to hundreds of thousands of users, we are expanding our Engineering Operations team.As an Operations Engineer, you will be responsible for the following:Investigate and resolve (or recommend solution for) production issuesRespond to technical customer questions escalated by the Customer Success teamDraft guidelines for and provide training to the Customer Success team, covering new feature functionality and common technical issuesPerform root cause analysis for production issues/errors; recommend changes to Product that will reduce future occurrences of errors or improve the customer experienceDevelop scripts that automatically verify the correct end-to-end operation of integrationsPerform any required maintenance operations in relation to third party integrationsContribute to testing of new integration capabilities in the productImplement reports, alerts and KPIs on BI tool, on both business and operational dataMonitor BI tool and investigate alerts or unexpected results","You must have:at least a year of experience as a software developer, or significant exposure to programming at university or open source projectsstrong working knowledge of databases and SQLa degree in Computer Science (or other engineering subject plus experience in software)fluency in written and spoken Englisha willingness to learn Ruby on RailsYou must be:diligent and rigorous, able to appreciate quality and deliver it on a daily basisanalytical, eliciting actionable insights from dataproactive, always thinking about ways to further contribute to the success of the organisationa good communicator, with the ability to express yourself clearly and effectivelyable to collaborate well in a team environmentpositive, optimistic and curiousBonus points for applied experience in ETL, Data Analytics, Business Intelligence, ITSM or ITIL, Operations, Quality Assurance","Our goal is to create a company where employees enjoy benefits that make them more productive and contribute directly to the development of their professional skills. We want to be able to attract the best of the best, and make sure they keep getting better. On top of an exciting, vibrant and intellectually challenging workplace and a competitive salary, we are offering:Stock option planPrivate health insurance planMobile telephony and data planFree meals, snacks and beveragesWorkstation hardware of your choiceLicenses for the software and working tools of your choice Educational expenses for buying books, online seminars, etc, so long as the educational benefit is related to our workAttendance expenses paid for at least one developer conference or workshop of your choice per yearFlexible working hours, ability to occasionally work from homeWorkable is most decidedly an equal opportunity employer. We want applicants of diverse background and hire without regard to race, color, gender, religion, national origin, ancestry, citizenship, disability, age, sexual orientation, or any other characteristic protected by law.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
13121,Commercial and Operations Executive ,"GB, LND, London",,30000-35000,"Founded by serial entrepreneur Clive Jackson and launched in 2011, Victor is one of the worlds most trusted names in private jet charter. With only a 5% fee for online bookings, Victor offers the broadest selection of quotes for charter flights at the best prices directly from the operators. With access to over 700 contracted aircraft, Victor can get you airborne in less than 2 hours from almost any airport around the world on any aircraft type. We're a small and growing agile team. As a team we aspire to the hacker culture and we care about what we do. We want to produce simple, elegant solutions to difficult problems. We take pride in getting the job done right. We want to improve as individuals and improve the team and wider organisation around us.Our development team use modern technologies, tools and methods to produce a product that our customers love. We want our applications to be ubiquitous; any time someone is booking a jet they'll be using the Victor platform.We choose the best tools for the job and right now that's mostly node and Angular, with MongoDB and a smattering of Python.For vacancies for our other companies, #URL_8b5fe34131f015b09e2b4b01097392477242e0de74786a62a71e91e1e5dbfba7# and Global Beach, please click on links below:#URL_c31909b471fce3a2c3ea962a074a37e919edf78b8dfb90c4a3a9ace570542adf#http://global-#URL_c4958175db594f9b8c88259f8f6fa850085a15c4723021f19596b2a9752dc62f#","The OpportunityCOMMERCIAL AND OPERATIONS EXECUTIVE ~ Up to 35k depending on experienceVictor now wish to appoint a Commercial and Operations Executive to support the Commercial and Operations team. The Executive would work closely with the existing Commercial and Operations Manager, on a day-to-day basis, and assist the Commercial and Operations Director where required. Constant liaison with the Customer Services team, with Victor members, and with partner aircraft operators, is central to the role. Shift work, currently between 08:00 and 20:00, and at weekends and on Bank Holidays, would also be required.Purpose of the RoleTo obtain charter quotations from Victors network of partner operators, transmit to Victor members, and liaise with the Customer Services/Sales teams to maximise conversionsTo maintain relationships with Victors partner aircraft operators, and identify possible new partner operatorsTo support other business functions with the Company, as requiredResponsibilitiesAll team members are expected to be extremely flexible, assisting other business functions within Victor as required. The successful candidate will also be confident working in a self-sufficient manner, and taking a hands-on approach. The close-knit nature of the Commercial and Operations team, however, does mean that colleagues are always on-hand for advice and assistance as required.The following is an indicative list of some of the activities that the successful candidate will be expected to undertake, along with on-the-job training and shadowing; primarily of the existing Commercial and Operations Executive, and Commercial and Operations Manager:Managing members requests for charter flightsObtaining quotations from operators within specific timescales, and liaising with the Customer Services team to resolve member queriesLiaising with the Sales and Business Development teams to support direct Sales/Business Development activitiesMaintaining online inventory of positioning/discounted flightsResponding to incoming email and telephone enquiries, in partnership with the Customer Services teamAssisting the existing Commercial and Operations Manager and Director with the process of maintaining and uploading operators fleet listings on the Victor platform","Skills and ExperienceThe successful candidate is likely to have direct experience of the private aircraft charter industry. Alternatively, candidates will be able to demonstrate an interest or background in aviation or travel, perhaps through their education. A graduate would be preferred, and an excellent level of computer literacy is essential.",,0,1,0,Full-time,Mid-Senior level,,Airlines/Aviation,,0
8636,Analyst,"US, NY, New York",,,"With $4 billion under active management, Bessemer Venture Partners is a global venture capital firm with offices in Silicon Valley, Boston, New York, Bangalore, Brazil, and Israel. Bessemer has backed groundbreaking businesses including Skype, LinkedIn, Yelp, Pinterest, Box, Twitch, Knewton, Shopify, Verisign, and many others. For more information, check out #URL_e0d25e120b5e6d086b690a72371bdd75c0aaa7c872c8f3f32a2ab0f6ab0fc1e8#.","About Bessemer:With $4 billion under active management, Bessemer Venture Partners is a global venture capital firm with offices in Silicon Valley, Boston, New York, Bangalore, Brazil, and Israel. Bessemer has backed groundbreaking businesses including Skype, LinkedIn, Yelp, Pinterest, Box, Twitch, Knewton, Shopify, Verisign, and many others. For more information, check out #URL_e0d25e120b5e6d086b690a72371bdd75c0aaa7c872c8f3f32a2ab0f6ab0fc1e8#.About the Position:As an analyst, youll help us identify and develop new investment roadmaps, conduct due diligence on potential investments and most importantly, find and connect with CEOs of emerging technology startups. Analysts are full-fledged members of our investment team  youll attend our partnership meetings and be counted on to provide insights and feedback as we meet new companies.This position is a full-time, two-year role based out of New York.",Ideal candidates for this role will:Have an outstanding academic track record and interest in technology and innovation.Be enthusiastic about talking to tech companies and able to effectively represent Bessemer.Be highly self-motivated and able to succeed in an entrepreneurial environment.,"As a Bessemer analyst, youll have the opportunity to speak with hundreds of entrepreneurs each year. Over time, you will develop a deeper understanding of how technology markets function and what makes tech businesses successful — critical foundations for a career as an investor or entrepreneur. The environment is highly entrepreneurial, giving you full rein over how to spend your time. Bessemer is a flat organization - everyone on the 8-person analyst team works directly with partners and attends the firms weekly partnership meetings. Former analysts have gone on to take senior roles at Bessemer, attend business school at Harvard, Stanford, and Wharton, join other firms like Norwest and Blackstone, found or join startups, and launch their own investment firms.",0,1,0,,,,,,0
8421,Lead Business Analyst,"US, NY, New York City",,,,"Job Title:    Lead Business AnalystLocation:    NYC, NYDuration:     6+ Months Contract In-person interview.Job Description:Business Analyst - API, Amazon SWF Elicit customer-focused requirements from stakeholders and subject matter experts for your area (initially focused around APIs/ Amazon SWF)Guide, influence and challenge stakeholders to understand the relative business benefit of their ideas and conceptsPrioritize business requirements with the wider team, in line with the program roadmap and delivery planDefine development-ready detailed requirements using user stories, acceptance criteria, and wireframesProduce quality, clear and consistent outputs that are required though all the phases of a deliveryDesign solutions that meet people, process and technology needs and provide a route from the As-Is to the To-BeManage requirements throughout the project lifecycle ensuring requirement traceability from analysis and UAT through to deliveryWork closely with internal development team and vendors/ third parties to implement and roll out successful projectsBe a domain expert in your area and a key member of the SMP Business Analysis team, contributing to consistent quality and excellence","Experience RequiredExperienced on integrating applications using APIs, where your ownership included identifying data sets that need to be communicated, error handling, etc. (required)At least 5 years working as a Business Analyst (required)Experience with Amazon SWF (highly desirable)Worked in the media, publishing or a similar consumer focused industry (highly desirable)Delivered projects in agile/iterative environments with experience of methodologies such as SCRUM (highly desirable) SkillsSkilled and structured in analytical thinking and strong at problem solvingAble to design solutions that meet a range of sometimes conflicting requirementsAble to use visual techniques in diagrams and informally on the whiteboard, that make it easier to understand and reason with difficult problemsAble to clearly communicate complex technical subjects to business and technology stakeholdersAble to learn quickly, adapt well, and self-organize Your BehaviorsPassion about technology and the solutions we are designingCommunication that is articulate, clear and concise in regular conversation with your team and the wider stakeholder groupsAttention to detail, focused on the finer details that make the differencePragmatic and driven to get solutions liveSelf starter who seeks out opportunities for yourself and your teamUp to date with industry trends, such as challenges and best practicesPositive attitude, generating enthusiasm among team members",,0,0,0,Contract,Mid-Senior level,,,,1
7741,BI Developer,"RO, CJ, Cluj-Napoca",Business Consultancy,,,"Job Summary:We are looking for an enthusiastic BI Developer for our fast-paced Business Consultancy department. You will receive on the job training from our talented BI developers/consultants, who want to share their knowledge and develop you into the next generation guru.What will your responsibilities look like?As a professional BI developer you will need to not only retrieve data, but analyse it and be able to draw informed conclusions based on your analysisContribution to the delivery of system documentation (functional and technical)Support of and contribution to the delivery of accurate project estimatesDevelopment of reliable, quality code aligned with best practice guidelines independently and with minimal guidancePlanning and execution of unit and integration tests including documented evidence of test plans and resultsWork within defined standards, methods and strategiesContinuous development of knowledge regarding one or more development environments (including associated tools)Support of project implementation, which may include checking results and problem resolutionProgress problem resolution, within own area of expertise by reference to procedures and/or precedents, through to successful implementation of solutionCompliance with company standards, quality assurance processes and system documentationEffective communication of project work progress and/or problems to supervisor in a timely manner",What abilities will you need? Knowledge of relational databasesOracle SQL and PL/SQL development skillsDatabase administration skills are an advantageBusiness Intelligence and/or Data Warehouse skills are an advantageDo you also have these qualities?A genuine interest in business intelligence and data warehousing  it is essentialBachelors degree in Economics/Business Data Processing /Computer ScienceTeam player with excellent communication skillsStrong leadership skills and wish to learn and grow professionallyFluency in English,What will you find in iQuest?Professional development through the iQuest Career Management programTrainings/certifications on the latest technologiesProfessional and collaborative working environmentCompetitive remuneration packageEnglish and German language coursesNote: ONLY selected candidates will be contacted!,0,0,1,,,,,,0
16162,Senior Quality Assurance Engineer (remote/telecommute),"US, , ",Engineering,,"InVision is a venture-backed startup working to create the world's best design communication and collaboration platform.We're passionate about finding ways to improve how companies think about their design process. That's why designers at so many of the worlds most beloved startups, agencies and corporations use InVision every day.","InVision is the worlds leading design collaboration platform.We enable companies of all sizes to discover the power of design-driven product development.  Thats why designers, product managers, marketers and other stakeholders at so many of the world's most loved designers, agencies, & corporations use InVision every day, including Zappos, Evernote, AirBnB, and Yammer.Built to foster collaboration and iteration, InVision helps our clients design, review and user-test a product before writing a single line of code, with tools for design prototyping, feedback, task management and version control.Were well-funded and venture-backed by prominent investors including FirstMark Capital and Tiger Global Management.We're looking for a Senior Quality Assurance Engineer who can participate in our product development process and ensure optimum quality of our application. The ideal candidate is well-versed in the very best QA and Test engineering practices to include both manual and automated testing techniques, has an extraordinary attention to detail, and a passion for ensuring the very best user experience.The Senior QA Engineer plans, schedules and executes manual and automated testing throughout the development cycle and during periodic releases. The Senior QA Engineer communicates frequently with team members regarding quality status, and helps to resolve project problems and issues to ensure the highest degree of customer satisfaction. The Senior QA Engineer will have broad experience in many aspects of software quality, and expertise in some.  The successful candidates will have a passion for quality, and the drive to accomplish their goals.","Duties and ResponsibilitiesBuild and execute manual and automated test plansWrite and track bugs as problems are found and correctedWork with developers and product designers to brainstorm and test new ideasDrive teams to continuously maintain high product quality and improve the processGeneral QualificationsStrong problem solving and decision-making abilityStrong software engineering fundamentals (unit testing, automated testing, white-box and black-box testing, agile development, etc.)Experience with industry-standard automations platforms like Selenium and TestNGExperience and preference for working in rapid development cycles (2-4 weeks)Familiarity with common tools like Jira, Testrail, Jenkins/CIWorking knowledge of Git, HTML5/CSS/JavaScript and JavaExperience with Continuous Integration/Continuous Delivery environmentsExperience with SaaS and On-Premise Enterprise productsWorking knowledge of Windows, OSX, iOS and AndroidObsessively thorough with an eye for detailExcellent oral and written communications skills",Highly competitive salaryStock optionsPremium health coverage  Macbook AirMembership at health club of your choiceUnlimited books from AmazonUnlimited Starbucks cardHalf-day Fridays!,1,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Quality Assurance,0
16695,Senior Financial Analyst,"US, DC, Washington",,,"Rose is a recruiter with TRAK Services in Washington, DC, an agency with 30 years of experience recruiting and placing candidates in the DC area. TRAK primarily recruits for professional administrative positions, such as Executive Assistants and Administrative Assistants, as well as mid-level specialized positions in fields of Marketing, HR, Accounting and Communications. Many of our clients work with us exclusively to fill openings, so we are connected with many of the most influential and well known corporations, non-profits, and associations within DC area.If you are interested in opportunities through TRAK, please don't hesitate to contact me at #EMAIL_312d5d85dfd4d8edaf5fffab314a56b1cde101922e623e8fdce0b7ceda62d37a# or call me at (202) 466-8850.","Large nonprofit in DC is seeking a talented Sr. Financial Analyst with 5+ years performing budget and finance analysis or professional auditing work.  Track financial commitments, review and analyze financial plan projections, and participate in the planning development and implementation of department budgets (Total budget approx $200M).  Also conduct fiscal management studies, assist in review and monitoring of capital development plans and budgets, and use spreadsheets & dbase to track accounts and budgets. Requires advanced Excel skills, outstanding people skills, and the ability to conceptualize and develop a coherent system to track financial commitments for the organization.  Please apply today for immediate consideration by submitted your resume to #EMAIL_f1af5d5446aeefd80632f70202432c88839b32d31bc56565247fee9f4f79d946#",,,0,0,1,,,,,,0
14213,Sales Operations Manager (Boston preferred - remote options available),"US, MA, Boston",Sales,80000-120000,"InVision is a venture-backed startup working to create the world's best design communication and collaboration platform.We're passionate about finding ways to improve how companies think about their design process. That's why designers at so many of the worlds most beloved startups, agencies and corporations use InVision every day.","InVision is the worlds leading design collaboration platform.We enable companies of all sizes to discover the power of design-driven product development.  Thats why designers, product managers, marketers and other stakeholders at so many of the world's most loved designers, agencies, & corporations use InVision every day, including Zappos, Evernote, AirBnB, and Yammer.Built to foster collaboration and iteration, InVision helps our clients design, review and user-test a product before writing a single line of code, with tools for design prototyping, feedback, task management and version control.Were well-funded and venture-backed by prominent investors including FirstMark Capital and Tiger Global Management.We're looking for a Sales Operations Manager to make our sales operations sing.","About YouMin of 3-5 years experience working in an operations capacity with an inside SaaS sales team.Strong organizational and analytical skills.Basic familiarity with Salesforce administration (new user creation, customization and configuration of fields, views, reports and dashboards).Obsessive attention to detail.Bias towards immediate action and results Willingness to learn and adaptConsummate multi-tasker who can wear multiple hatsResponsibilities Identify internal process gaps and work to implement solutions.Manage processes, infrastructure and tools that enable smooth functioning of sales team and visibility into performance.Oversee sales metrics reporting and forecasting.Manage Salesforce CRM implementation and user adherence.Develop strategic internal process to optimize sales scalabilityCollaborate with VP of Sales on management of internal sales playbook",Highly competitive salaryStock optionsPremium health coverage  Macbook AirMembership at health club of your choiceUnlimited books from AmazonUnlimited Starbucks cardHalf-day Fridays!,1,1,0,Full-time,Associate,Bachelor's Degree,Internet,Sales,0
17306,"Office Manager, Accountant, Europe","GR, I, Athens (Iraklio)",Operations,,"Transifex is a SaaS continuous localization platform powering the process of launching products into multiple languages for companies with rapid development cycles. To date, Transifex has simplified the localization process for over 15,000 projects and more than 150,000 users. Customers include Coursera, Waze, Eventbrite, reddit, Disqus and Firefox.","Transifex, a Greek-born company internationally recognized as one of the leading companies in the Globalization industry, is seeking to hire a passionate and responsible person to lead its Accounting and Office Management activities in its European office in Athens.Transifex builds a modern translation platform for tech companies going global such as Intel, Nokia, Atlassian, Coursera and Bitcoin. Transifex has offices in Patras, Athens and California. It has been recognized publicly by a former Greek Prime Minister, as one of the most innovative Greek companies.ResponsibilitiesOur Internal Operations team is focused on creating a great environment for the people working at Transifex which, in turn, has an impact on how successful our product and company are. We celebrate communication, appreciation and excellence between our team members and strive to provide a great workplace. The Office Manager in Athens will be responsible for overseeing all efforts related to providing a work home which is open, supportive, creative and inspiring.Your job is to keep us organized, prioritized and productive while we continue to grow. This role requires superior attention to detail, the ability to meet deadlines, great organizational skills, and the ability to juggle multiple requests.As part of the team you will have the chance to work in a fast-paced and exciting environment and experience first-hand what it feels like to work in a start-up company. We are looking for a person that get things done and is not afraid to take initiatives.As a small team, we typically wear many hats. In the beginning, your responsibilities will include office management. With time, we expect to hire someone else to help/manage the office-related tasks. At that point, you will focus mostly on accounting and financials.Accounting:Work with our Greece accounting office to assist with invoices, expense reports, payroll processing, setup of new employee paperwork.Interact with our US accountant controller and support in the consolidation of our financials across entities. Convert Greece financials to US GAAP.General, Administrative:Office management and administrative support including welcoming guests, answering the door/phone, managing mailHelp plan internal and external events such as arranging travel, meetings and appointments. Manage the office calendar and coordination of the day to day activities of the office. Coordinate Greece team events with the ones of our international team.Manage overall office supply budget. Payment of bills, suppliers etc.Team calendar managementGeneral HR:Compose a job description, post a job opening, prescreen candidates, schedule interviews, and on-board a new hire.Space and Culture: Be friendly, social and like the idea of planning outings and get togethers. Nurture and grow our local Transifex culture. Maintain a space that is inspiring and productive. Discuss problems with staff.Organize team and marketing events: team lunch, small hackathons at the office, open office, and so on. Take care of the office decor and equipment, food and all facets of employee experience. Source vendors & venues for events. Organize events materials & supplies inventory.Review and implement the company's health and safety policy.Useful notes: Tips on getting your resumé read.Resumés in English please.","The following are requirements (must-haves). If you feel your experience does not match one of them, we'd appreciate if you specified it on your application.Excellent knowledge of the English language (Proficiency degree).Proficient in using computers, especially Word, Excel, PowerPoint etc. Rich experience in working with complex Balance Sheets and P&L Statements (gr: ισολογισμοί, κλπ).Excellent planning and organisational skills.Ability to interact professionally with external partners and contacts.Ability to prioritise and be flexible, stay focused under pressure.Good communication and team working skills.Accounting and/or Finance backround/experience.Human Resources & recruiting background/experience.Being able to thrive in a fast paced, challenging environment and pick things up quickly and effectively.An enthusiastic and confident nature.Trustworthiness, reliability","Competitive, stable salaryPaid time offStock optionsFlexible spending accounts and hoursApple equipmentHighly equipped and inspiring officesCompany sponsored conferences and happy hours",0,1,1,Full-time,Associate,Bachelor's Degree,Accounting,Administrative,0
4553,iOS Developer,"US, NY, New York",,,"Industrial Color Software is a division of Industrial Color Brands, a family of vertically integrated creative production companies. Founded in 1991 from a culture of innovation, excellence and attention to industry trends and client needs, the brands operate independently and together to provide high-level holistic solutions for photography, advertising, retail, media, and production industries. Industrial Color Brands include GlobalEdit, Impact Digital, Fast Ashleys Studios, Industrial Color Software, and the M Project Gallery.","Are you a top iOS talent looking to work with other top talents on cutting edge products?Do you have a strong understanding of iOS frameworks and its idiosyncrasies?We are looking for talented people to join our team in building creative applications for creative individuals.What you will be doing:Working closely with the lead iOS engineer to take our cutting edge globaledit app to new heights.Writing awesome, clean code - minimalist, and easily understood by others.Working in agile scrum sprints - 90% coding, 10% collaborating on requirements with the product team.",Extensive iOS app experience (Must have worked with iOS 3+ years full time).Must have created iOS apps and have published them in the AppStore (please provide links).Excellent debugging skills and experience.Excellent communications skills.Experience with photo and video a huge plus.Experience with OSX a plus.,,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Media Production,Information Technology,0
9796,Membership Consultant for Arabic Desk,"GB, ENG, London",,,"Essence London is a members lifestyle club  offering bespoke concierge service and proactive assistance to a select few.We are tireless, we are well-connected, we are dedicated, we are, in fact, the mirror image of our clients - and we are the only concierge service today that can truly offer its members that elusive frictionless life. We take immense pride in extending membership to only a select few  ensuring we come to know our members not just by name but by their distinct personality as well as their preferences and tastes.","This role involves developing and maintaining successful relationships with potential and existing members from our Arab market on behalf of the company. You are required to take personal and complete responsibility for contacting a number of qualified leads on a regular basis  to educate these individuals on the services that our company provides  and to ensure that all requirements of current members are professionally and courteously handled, in compliance with company policies and directives.As a Membership Consultant, you serve as the primary internal sales representative of our organization, you must, therefore, transmit a sense of expertise in our range of services and capabilities, and you serve the key role of an external educator to our Arabic community, current members and potential members.As this role revolves around daily interactions with our Arab partners and potential members, both personal and corporate, it is absolutely necessary that you possess native fluency in Arabic, and have a strong understanding of Arabic culture and peculiarities. Lastly, the Membership Consultant is also responsible for fully signing off all closed memberships and communicating these member and clients requirements to our partners by following the correct reporting procedures.In addition to your annual basic salary, you will receive a meritable commission on ALL closed memberships. In addition, the Membership Consultant will also receive a fixed bonus on every membership that they close  this will vary depending on the membership tiers.Main ResponsibilitiesMust speak English and Arabic, with Portuguese being advantageousProfessionally process outbound sales and/or member/client service calls and emails.Maintain a flexible attitude to delegated work, tasks and function.Directly communicate with potential members and clients.Record and verify accurate information on all calls.Promptly and timely follow up on leads and requests.Adhere to work schedule provided.Positively and respectfully communicate with potential members, clients and partners.Maintain high level of punctuality.Maintain consistent, reliable attendance standards.Maintain company and client confidentiality.Multi-task by adjusting from one type of call to another without loss of efficiency, composure, or knowledge.Maintain accurate data records in our CRM package.Provide weekly and monthly reports based on Company guidance and partners expectations.Ability to work under pressure whilst maintaining high standards.Ability to work and adhere to monthly targets.","ExperienceProven track record of delivering sales and exceeding targets.Experience and proven track record in sales is essential.Experience in the luxury brand sector within the Arabic market is desirable.KnowledgeMust be both Arabic and English speaking, with Portuguese or French being an advantage.Must be proficient with all Microsoft/MAC packages (Excel, word, PowerPoint, Pages, Numbers).Must be knowledgeable and experienced in the use of CRM packages and associated processes.Must have a Bachelors degree, Masters degree or another similar qualification. These may include specialisation in Business, Administration, Information Technology, English, or Management.SkillsMust have exceptional communications skills.Must possess advanced selling abilities.Strong relationship building abilities.Strong written and communication skills.Proactive and problem solving abilities.Must take a great pride in personal appearance in order to maintain Essence Londons brand image.Must have high attention to detail and meeting deadlines.Must be innovative and work under pressure.",Competitive Salary.Opportunity for career development in the luxury industry.,0,1,1,,,,,,0
12349,Growth Expert,"FR, J, Paris",,,"We Build Great CompanieseFounders is a startup studio focused on building fast-growing SaaS technology companies. We believe that with simple ideas, great talents, some money and a lot of effort, we can build prosperous companies. Our goal is to co-found 2 companies per year and to help all our existing companies grow faster by pooling resources. ","eFounders is a startup Studio. Together with entrepreneurs, we turn unique ideas into successful companies. We act as the perfect co-founder to build strong and independent startups.So far, eFounders has launched 6 companies: Mailjet • Textmaster • Mention • Front • Aircall • PresskingAbout the opportunityWe are looking for an exceptional marketing and sales talent to join us as Growth Expert. You will work for both eFounders and the startups in the studio throughout their development. The job requires marketing and sales qualities, an output-oriented and data-oriented mindset,and also a good knowledge of the startup / web ecosystem. Working with eFounders, you will learn from experienced and successful entrepreneurs and you will be part of a strong family shaping the future of startups.ResponsibilitiesSet up and optimise our (paid) user acquisition channels online: Google Adwords, Facebook Ads, Linkedin…Assist our inbound marketing and growth hacking teamsDevelop indirect sales strategies (partnerships, white label, …)Set up marketing automation techniquesSet up sales processes: scoring and scriptingShare knowledge with the project teams and spread a data-driven mindset.","The ideal candidateYou have 3 to 5 years of experience in web marketing and / or business developmentYou are data driven and have an analytical mindsetYou are interested in startup business with a passion for building great software productYou have abilities to lead a team and share knowledgeYou learn fast, are a problem solver and have a strong business sense",,0,1,1,,,,,,0
2026,Game Developer Unity3D Manchester (collaboration) ,"GB, MAN, Manchester City Center",,,,"I need skilled Unity3D Game Designers/Developers to come and work in Manchester (City Centre). I am starting a small indie company and I am after skilled developers that can code (basic) and create storyline along with good environment (graphics). I am a skilled developer with 2 years of experience. This is a collaborative work, meaning we all will only be paid after successfully publishing the game. In the meantime, we will have our own studio and computers to work. We have a few project that are incomplete, we can complete (after funding) and sell them. If you are applying for this, make sure you can come to Manchester UK (City Centre). At first we will have a meeting someday this week and discuss the projects then put them for funding (1 month to get fully funded) and finish the project and sell.If you are Applying keep in mind that you can reach Manchester. Please provide me with your email address and phone number.",Unity3D (important)Graphic DesignStory Writting Programming (basic)/Visual programming Game Design (experience),Experience working with teamClear idea how game industry workCan get an office job easily in future if left the compan,0,1,1,,,,,,0
15714,Auditor,"US, WI, Milwaukee",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)The Senior Accountant will contribute to and/or lead client engagements and will supervise the field work of junior staff accountants on assurance & audit related initiatives. Client engagements will vary in size, scope and industry and may include the involvement of managers and partners.Job Requirements:A strong desire for continuous learning and career advancementAbility to travel regionally for client engagements as neededExperience working with clients from a variety of industriesQualifications Required:Bachelors degree in Accounting or FinanceCPA certification or JD requiredJob Responsibilities:BudgetingSEC FilingsAnnual ReportsJob Title: Jr. Auditor - 3 years accounting experience - Cincinnati, OHJob Location: Job is in Cincinnati, OHVisit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#",,,0,0,0,Full-time,,,Accounting,,0
17818,CSR,"US, LA, Slidell",,,,Now hiring CSR / Advertising representatives to work from homeMust Have:Customer Service RepresentativeComputerInternetPhoneFor more information call (985) 250-0381Interview Immediately ,,,0,0,0,Part-time,Entry level,,Online Media,,1
5847,Sharepoint Admin,"US, CA, San Francisco",,,"Saras America's Microsoft Center of Excellence can help you:Design and develop robust, scalable .NET applicationsDevelop web services using the .NET framework and the SOAP toolkitRe-engineer applications to .NET from legacy systemsMigrate web and desktop applications to .NETManage application upgradesDevelop application interfaces (APIs)Enable remote/mobile access to applicationsTools and Technologies We build visually rich web applications and complex transactional business applications with Microsoft's .NET framework containing Windows Presentation Foundation (WPF), Windows Communication Foundation (WCF), Windows Workflow Foundation (WF), .NET services, etc. Saras America uses Microsoft platform products such as Windows Server 2005/2008 with virtualization technologies such as Hyper-V to build applications that can scale and allow server consolidation to reduce IT investments. SQL Server forms reliable data platform for most applications built using Microsoft .NET environment. Saras America has built many complex, innovative and mission critical applications utilizing the SQL Server database technologies. Saras America can help you develop custom Business Intelligence (BI) and reporting application that analyses and reports from a variety of structured and unstructured data. Saras America helps customers develop and deploy a number of solutions including custom branding, workflows, collaboration, departmental solutions and custom portals using Microsoft SharePoint technologies. We use appropriate technologies such as Silverlight along with .NET technologies to create applications with compelling user experiences in a rapid timeline. Saras America can also build Open Source web applications for small and medium businesses using the Microsoft Web Platform.","Hi, We have the below urgent Sharepoint Admin position is open, please let me know if you or someone that you know would be interested, and get back to me ASAP. ThanksTitle: SharePoint 2010 Admin / EngineerStart Date: 11/11/2013End Date: 11/14/2014# of Openings: 1Position Type: ContractLocation: San Francisco, CA, United StatesDescription:Overview The SharePoint 2010 Administrator/Engineer would augment the Collaborative Technologies team which is responsible for overseeing the development and management of all corporate collaboration platforms and the global intranet. This candidate will work alongside other SharePoint engineers and technical team members, to design, build, support, and enhance internal facing SharePoint collaboration and web publishing platforms. The right individual will have strong technical knowledge of SharePoint 2010 architecture, engineering, and administration, with some exposure and understanding of SharePoint 2013. This role requires excellent communication skills with a focus on collaboration as well as the ability to work closely with a global team.  Technical Skills and Experience Required / Preferred  - SharePoint 2010 and / or 2013  - Windows Active Directory  - team player  - strong communication skills  If you may be interested in this position or have any questions, please email or call me directly. We have many other open positions as well so please submit a resume if you have interest in working with us. ",Technical Skills and Experience Required / Preferred - SharePoint 2010 and / or 2013 - Windows Active Directory - team player - strong communication skills ,,0,1,0,Contract,,,Investment Management,,0
9936,Graduates: English Teacher Abroad ,"US, MO, University City",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport only,See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
15743,"Data Solutions Consultant (Portland, OR)","US, OR, Portland",,,"Can data be a thing of beauty? We think so.At Seabourne, we build web applications that consolidate, integrate, and visualize data. Our dedicated project teams partner with our clients to achieve astounding results. Happy clients and cohesive teams are no accident—we have a delivery model that is tried and true and our established team of experts are cheered on to showcase their passion and know-how. We are excited about the work we do because we are building data solutions for clients who require brilliance, flexibility, and creativity. We solve real problems, making a real difference, one project at a time.One endeavor we were proud to be a part of was building the World Resource Institutes climate change data visualization tool, Cait 2.0. This tool gives users easy access to (literally) a world of comparative climate data. Projects like these combine our expertise with our values while serving our clients and our communities.We are builders, designers, thinkers, collaborators, and over-achievers. We believe the most passionate associates also make time for family, laughter, and serenity. Our science is delivering data solutions with flair; our art is making it look easy.Interested in joining our team? We'd love to hear from you.","About SeabourneCan data be a thing of beauty? We think so.At Seabourne, we build web applications that consolidate, integrate, and visualize data. Our dedicated project teams partner with our clients to achieve astounding results. Happy clients and cohesive teams are no accident—we have a delivery model that is tried and true and our established team of experts are cheered on to showcase their passion and know-how. We are excited about the work we do because we are building data solutions for clients who require brilliance, flexibility, and creativity. We solve real problems, making a real difference, one project at a time.One endeavor we were proud to be a part of was building the World Resource Institutes climate change data visualization tool, Cait 2.0. This tool gives users easy access to (literally) a world of comparative climate data. Projects like these combine our expertise with our values while serving our clients and our communities.We are builders, designers, thinkers, collaborators, and over-achievers. We believe the most passionate associates also make time for family, laughter, and serenity. Our science is delivering data solutions with flair; our art is making it look easy.If this is you, wed love to hear from you.About the Data Solutions Consultant RoleSeabourne is looking for a talented consulting professional to join our team. The Data Solution Consultant is focused on helping clients succeed in some of their most strategic and high-value initiatives. The Data Solutions Consultant is responsible for engaging clients, discovering their detailed needs, conceiving solutions, and forging long-term client relationships. The ideal candidate for this particular role will also be an experienced software product management or product development professional with the ability to research, compare and evaluate business software products. Finally, the ideal candidate must be comfortable discussing and communicating solution value and benefits with customers, prospects, and associates at every level of the #URL_3fa361c47e89d16a4187c947803a10bc4c39e8418361c83087b8599d1466ff89# 15-25% of time will be spent traveling.A demonstrated ability to facilitate complex collaborative engagements with large organizations while keeping sight of the organization's strategic goals will be crucial for this role.  Experience with business strategy and technology implementation are both required.ResponsibilitiesFacilitate client organization's formulation of business and technology strategies.Structure client interactions focused on discovering the needs of their organizations at every level in sufficient detail as to allow the development of a solution and its subsequent adoption by users.Research, conceive, and present data solutions to all stakeholders.Challenge the traditional strategic consulting and technology approaches while leveraging a significant body of experience with consulting engagements in a diverse set of industries (energy, pharma, government, non-profit, etc.)Work in small, focused project teams to explore clients business needs for data-oriented enterprise software solutions (data management, data consolidation, data visualization and analytics).Collaborate with Seabourne sales and technology delivery teams to formulate software solutions for new clients.Coordinate with geographically dispersed teams to ensure  optimal client satisfaction.Travel when necessary to support  project activities.Continually document/operationalize successful approaches to further increase Seabourne's capabilities.","5+ years of technology and management consulting experience.Bachelors or Masters degree in Computer Science, Business, or other related field.Experience with software product management or product development.Proven track record of successful client engagements with large organizations.Experience with a diverse set of enterprise technologies including web applications development, data management systems, business intelligence and diverse software architectures.Ability to go beyond deliverables to interact and communicate with clients regarding business strategy and a history of building rapport with large and complex client organizations.Understanding of a wide variety of software architectures: new data software technology tools such as NoSQL data stores (MongoDB, Riak, CouchDB, etc.), server-side JavaScript application servers (#URL_83ae520386ded6258d877a9fff0b0a63b8809ec57eb94d5aa6ae885521c53c5e#, coffeescript), cloud storage and computing platforms (AWS, EC2, S3, VMs), and front-end development frameworks (HTML5, CSS3, jQuery, data visualization frameworks such as D3, Google Graphs, Angular and more), .Net and Microsoft-oriented technologies, legacy enterprise technologies such as Cobol, IBM mainframe, batch services, etc.Understanding of how software development projects are organized, work is prioritized, scope managed, and risk assessed and mitigated using industry standard methods (Agile, Scrum, PMP or hybrid methods).Be able to receive feedback (on performance and work) and quickly act upon it.","Location: Portland, OR or Washington, DC.This is a 1099 contract opportunity.",1,1,1,Contract,Mid-Senior level,Bachelor's Degree,Computer Software,Consulting,0
4452,Integration Developer,"US, TX, Austin",IT,,"Soal Technologies, LLC. is a full service information technology development and consulting firm. We work with our clients to provide business solutions that meet their current business challenges and allow them to expand their operations in future. We work with you to identify and create IT solutions that make your operation more productive and effective. We have over 25 years of combined experience in planning, developing and delivering effective and quality business solutions for a wide variety of industries. Learn more about our business solutions. ","Integration DeveloperJob DescriptionWORK HOURS AND LOCATIONAustin, Texas 787048 am to 5 pm  Monday through Friday Headquarters and satellite locationsNo Travel, Lodging, or per diem expenses - requires a face to face interview in Austin, Texas - NO SKYPE OR PHONE INTERVIEWS Work DescriptionServices to be performed will included, but no be limited to, the following:·           Focus on implementing and maintaining solutions, which enable existing, new application components and packaged software to work together.·           Approach solution implementation taking into consideration reuse, best practices, and design patterns.·           Clearly document and communicate implementations and changes to technical teams.·           Follow defined IT standards and procedures in the creation of integration solutions.·           Maintain source code control throughout the software development lifecycle.·           Collaborate with peers, architects, project managers, and vendors ensuring adherence to design specification and requirements.","Minimum Skills/Experience:Required/Yrs     Skill/ExperienceYes      Bachelors degree in Engineering, Business or Computer field.3+        Java EE developer with Adobe Flex application development experience5          Experience in SOA technologies (ESB, SCA,,SDO. CBE), message transport            technologies (MQ, JMS, (S) FTP (S), SSL), Web Services technologies (SOAP, WSDL,            WS-*), data mapping and transformation technologies (XML, XSD, XSLT, XPATH), and            data base technologies (JDBC, Oracle/SQL)5          Experience in implementing solutions based on SOA, JEE, and Web Services using IBM            WebSphere products including Integration Developer 6.1-7.0, Process Server 6.1-7.0,            Enterprise Service Bus 6.1-7.0, WebSphere Application Server 6.1, DataPower XI50.5          Proven experience in implementing complex integration solutions5          Knowledge of integration design and implementation best practices5          In depth experience in building and debugging complex WebSphere Integration Service            Bus mediation including custom mediation based on JEE/Java and XSLT/XPATH5          Experience in Websphere Enterprise Service Bus events, problem determination,            administration, and performance tuning.5          Experience with the following WebSphere technology adapters: JMS, JDBC, Text, File            and SMTP.3          Experience in creating, configuring, debugging and administering DataPower XI50            Services.5          Ability to communicate effectively with audiences of varying technical aptitudes.Preferences:2          Knowledge of systems used to support the business of a T&D organization, including outage            management, CIS, automated metering, distribution management systems.2          PL SQL, SVN, Eclipse, Java EE web services2          Agile development method","Medical, Dental and 401K match",0,1,0,Contract,Mid-Senior level,Bachelor's Degree,Oil & Energy,Information Technology,0
4548,"Producer, Dari and Pashto Languages","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The Producer shall have at least three years of current experience. The Producer shall conceptualize and design complex programs for radio, television and/or the internet, as required, with an innovative and creative approach. Programs are of various formats, such as live and pre-recorded programs, documentaries, specials on breaking news stories, and remote live feeds. The Producer shall determine how these programs and segments of the programs work across platforms and shall ensure that audio and video content migrate to the appropriate web sites and social media sites. The Producer shall perform relevant duties, including writing copy, editing copy, editing audio segments, booking guests and performing interviews when called upon to do so. The individual shall have extensive knowledge of the target region, its people, culture, institutions and political situation, and have the ability to adapt English material to the target language and translate the target language to English. The Producer shall keep up to date on broadcast and technical trends and shall incorporate appropriate production methods into programs. The Producer shall become familiar with the Agencys Best Practices Guide and shall adhere to its policies.",The Producer shall properly complete all daily tasks. These daily tasks include but are not limited to: properly creating line-ups and logs (fails not more than once per week); executing a program line-up (fails not more than twice per week); correcting production errors in news stories or features (fails not more than twice per week). Knowledge of Dari and Pasto languages required. ,,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Broadcast Media,,0
5229,UI Designer at Intel,"US, OR, Hillsboro",,,,"The Datacenter Manager team at Intel is looking for a talented UI designer/developer to help build our recently-announced product, Intel Datacenter Manager: Service Assurance Administrator (#URL_d1b0d32e941987fc3c230c2ae30bc8f7f29113252c072775618eddef8be397b4#). As a designer on the Datacenter Manger team, you will have the opportunity to work on user interfaces for Intels next-generation cloud computing platform.You will be interacting directly with engineering and marketing teams to design and implement cloud computing and IT management tools, delivering page layout, UI, workflow, and the UX of the system. The ideal candidate will be skilled at both design and implementation of their designs.Responsibilities:Rapidly prototype new concepts, layouts, and interaction modelsDevelop user flows, wireframes, themes, screens, and graphicsCreate standard and reusable visual components and interface elementsSynthesize the complex into simple design solutionsDeliver user interface components that require a capacity for both logical and creative thinking and high attention to detailWork in a cross-functional team throughout the software development lifecycle with an interdisciplinary, open-minded approach to problem-solvingRequirements:4+ years UI, UX, interaction design, or graphic design experienceExperience designing and implementing web application user interfacesSolid understanding of interaction design, user experience, visual design, information architecture, usability, and user-centered designExpertise in HTML5 and CSS3Experience with vector graphics, e.g. SVG and font-based icons, and related tools (e.g. Illustrator or Inkscape)Professionalism regarding time, costs and deadlinesSuperior oral and written communication and presentation skillsPreferred:Familiarity with CSS pre-processors like LESS or SASSFamiliarity with templating languages like HandlebarsProficiency in JavaScriptExperience with data visualization tools like D3.jsExperience with a JavaScript libraries and frameworks like jQuery and #URL_1d0f9eb2a7073ab63d5cfc0f9762fb40962b2b8ad1607a31c869aa4fd0382977#Exposure to a version control system like GitInterest in upcoming web technologies and APIs like Web ComponentsExperience with collaborative thinking and decision-making, preferably with Agile or related development methodology","4+ years UI/UX, interaction design, or graphic design experienceExperience designing and implementing web application user interfacesSolid understanding of interaction design, user experience, visual design, information architecture, usability, and user-centered designExpertise in HTML5 and CSS3Familiarity with templating languages like HandlebarsFamiliarity with CSS pre-processors like LESS or SASS Expertise with vector graphics, e.g. SVG and font-based icons, and related tools (e.g. Illustrator or Inkscape)Proficiency in JavaScriptExperience with data visualization tools like D3.jsExperience with a JavaScript libraries and frameworks like jQuery and #URL_1d0f9eb2a7073ab63d5cfc0f9762fb40962b2b8ad1607a31c869aa4fd0382977#Preferably some experience with a version control system like GitInterest in upcoming web technologies and APIs like Web ComponentsExperience with collaborative thinking and decision-making, preferably with Agile or related development methodologyProfessionalism regarding time, costs and deadlinesSuperior oral and written communication and presentation skills",,0,1,1,Full-time,,Bachelor's Degree,Computer Software,Design,0
926,VP Product,"GB, LND, London",Product,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"TransferWise is the clever new way to move money between countries. We've been going through a growth spurt recently - we've grown the business 10x in the past 12 months. And we don't intend to stop here. There is a gargantuan opportunity for building financial services that consumers care about and that deliver beyond expectations. We've been growing largely thanks to word of mouth and our service is highly praised by its customers (see #URL_52759560942065fbfc367cadd21d665f03350d4b43b44b1c28df81a518d77dad#). Now we're busy scaling ourselves to become a global leader in the space.Why TransferWise? We're seeing that there's a huge opportunity to rebuild financial services. The level of service offered by banks is completely unsatisfactory. We started by re-building one very specific service where we saw an opportunity to make a change. While we still have a very long way to go with money transfer we do think there's much more to be done in financial services in general. We're a small, international and agile team with a goal of flipping a gazillion dollar industry on its head and taking power away from banks and the establishmentWe're looking for a an experienced leader to join us and help to build out our product function. You should have experience with transactional/ecommerce products in consumer space and be obsessed with customer experience and understanding customers. With a track record of building high performance teams that have launched products with lots of delighted users.TransferWise is revenue generating and well funded by Sir Richard Branson, Peter Thiel, IA and Index Ventures. We're a team of 100+ people today, growing every day. Our focus for the next 18 months is growth, growth, growth.RESPONSIBILITIESOverseeing the day to day operation of our multiple cross functional teams, these teams are in charge of shipping our productsOwning the overall company product development roadmaps and working closely with the founders on thatEstablish and own short and long term goals/KPIs for product management and delivery based on business goals & strategy, customer feedback and operational dataHelp shape our product management culture and processes - how we drive products from engineering to delivery and work with product, marketing and salesBeing an equal partner with engineering, marketing and operations teamsCustomer engagement & market intelligence - making sure that customers are involved in our product development process through both quantitative and qualitative methodsContinue building a world class team of product management and UX/UI design leadersLeading our way into new adjacent product areas","Senior product leadership experience in a fast growing company in transactional/ecommerce/fintech spaceExperience of successfully scaling processes, capabilities and building cross functional teams in fast growth environmentsyou have built something amazing before or turned good into amazingLove for data - you can back your analytical credentials by showing how you use data in decision makingExperience running multiple different product teams across a variety of productsinternational experience - lived/worked on a different continent/countryCross platform: web & mobile experienceExcellent communication skillsConsumer background, extra points of having SMB experience as wellHands-on leader who loves to roll up his/her sleeves and tirelessly focus on both the big picture and detailsExperienced with agile processesPrior experience as engineer or leader of engineering team(s) is a bonusGood eye for design, ux and overall customer experienceHigh degree of intellectual curiosity",,0,1,1,Full-time,Executive,,Financial Services,Product Management,0
11149,Customer Service Team Lead ,"US, NJ, Jersey City",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking a Customer Service Team Lead. The right candidate will be an integral part of our talented team, supporting our continued growth. This position will be located in our Jersey City, NJ location.Responsibilities include, but are not limited to:Coordinate work for Customer Service Associates.Process mail, deliver mail, scan in/out packages and deliver mail/packages.Run mail meter and inserter equipmentHandle time-off requests and day-to-day processes for the teamHelp resolve employee and customer concerns/issuesAdministrative services/processing large volume reports using excelLift large bundles of mail and make mail deliveriesHandle time-sensitive materialPerform duties and special requests as assigned by managementBalance workload; provide guidance and direction to team; serve as focal point for communication with customer and company personnelMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer serviceEnsure adherence to business guidelines, safety & security proceduresProvide constructive feedback and recognition to team ","Required Qualifications:Experience coordinating/deploying work to employees requiredMinimum of 1-year customer service related experience requiredAbility to resolve employee and customer concerns/issuesAbility to communicate both verbally and written with customers and company personnelHigh school diploma or equivalent (GED) requiredAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills requiredAbility to meet employer's attendance policyComputer proficiency in email environments, MS Word/ExcelHeavy LiftingAbility to adhere and administer companies policies and proceduresStanding for long periods of timeSignificant walkingWillingness to work overtime if neededWillingness to submit to a pre-employment drug screen and criminal background check",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
17384,Product Innovator (Junior),"DE, BE, Berlin",Product,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","We are looking for a Product Innovator (m/f, full-time) to start immediately in our office in Berlin-Kreuzberg, Germany.#URL_8e4e9897aecb1980ec96e296864343a4402263bc440bec39377381446da44dc8# is growing fast while we are shaping the future of learning. With more than 20 million app downloads, millions of regular users and more than 7000 hours of individual content we are the dominant player in online language learning. At Babbel, we take innovation seriously and constantly discover and validate new ways of learning as well as complete new products in our own innovation lab.As Product Innovator, you will be working closely together with our Chief Innovation Officer (CInO) and co-founder as part of our innovation lab to develop next generation learning systems.Responsibilities:Generate and detail ideas on new product lines and business modelsDesign the next generation learning systemDiscover, elaborate and test ideas for the next generation learning systemModel user experiences and design prototypesRun daily A/B tests with large amounts of real users hand for fast feedbackAnalyse, communicate and share results with the team","Requirements:Your mission is to revolutionize and disrupt the learning spaceYou are hooked on data driven insights and improving thingsYou have a passion for creating products (you will work with developers that implement your ideas)You live hands-on mentality and pragmatic approachYou are driven by curiosity, playfullnes, smartnessYou are able to understand and dive into technical complexity if neededYou are a generalist with broad interests in wide area of fieldsYou are in the midst, about to finish or have just finished your studiesYou have a great interest in product design and development","We offer you:Potential to change the world by designing the future of learningCutting edge technical infrastructure and setupDiscover new stuff every dayA fast moving, agile environment with immediate feedbackResponsibility from day oneA highly motivated lab team that includes Babbels co-founder and CInOProfessional and personal growthA lively startup atmosphere with friendly working hoursLong-term prospectsA vibrant international team from over 20 different nationalitiesExcellent working conditions with a location in the heart of the Berlin scene",0,1,1,Other,Entry level,Unspecified,E-Learning,Research,0
531,UX designer,"GB, LND, London",,45000-55000,"We are a small team changing the way everyone thinks and deals with tax. It does not have to be a pain, boring and ugly. We aim to delight people that use SimpleTax, and are looking for like-minded go-getters. The more full-stack and independent you are the more at home you'll feel.We aim high and are looking to create a huge business in the UK, Europe and beyond. Our values are: 0) know our users; 1) communicate; 2) don't wait around for permission; 3) sweat the details, that's where the gains are; 4) you are smart, do what you think is right; 5) keep learning.","Design is what makes SimpleTax different. Instead of Yet Another Tax App (tm), we took a step back and tried to apply a bit of service design to understand how to help anyone get their taxes done right. We've done some things right, and some things wrong.Now we need you to take it to the next level.You are hungry for tons of control and responsibility. You'll be part of a small design team and have near complete creative control, working directly with the founders and the engineering team, to bring your visions into reality.You'll help shape the product, user experience, run in-person user testing sessions, and even dig into analytics to understand the impact of your decisions. Truly a little bit of everything.Design is what makes us different and will play a big part in making this a huge business.","Previous experience designing financial services would be great but not an absolute requirement. You should know how to prototype with Web technologies (HTML, CSS).","Equity in a venture backed company and a small monthly budget for things that make life easier (eg. books, apps, Uber...)",0,1,0,Full-time,Associate,Bachelor's Degree,Financial Services,Design,0
4051,Front-End Developer,"GR, I, Athens ",,,"Cookisto is a trusted community marketplace connecting talented home cooks with hungry locals. What started as a Masters Thesis has developed into a fast growing community of foodies. Enjoying thousands of home-cooked meals each month, the Cookistas know that good food is for sharing. We aim to be the biggest peer-to-peer marketplace for food; offering healthy, personalized homemade meals to the world.Intrigued? Check out our current job openings.  ","You will be working together with a young, talented and ambitious team in the “start-up world” and contribute in a dynamic and passionate environment! We have an immediate position open for a self-motivated and highly organized individual with a passion for delivering high quality software. Your contribution will have a direct impact to our business and people's appetite!","Minimum QualificationsExperience in HTML(5)/CSS(3)/Javascript/JQuery/AJAX (a showcase of previous projects is highly appreciated)Knowledge of Twitter Bootstrap/Foundation or other CSS frameworksExcellent written and verbal English communication skillsPreferred QualificationsStrong working knowledge of UI DesignFamiliarity with LESS/SASS or similarFamiliriaty with Symfony 2, Zend Framework, CakePHP or other MVC FrameworkGraphic design skills (Photoshop, Adobe Illustrator etc)","Competitive salary, awesome workspace, stock option plan and delicious homemade meals!",0,1,0,,,,,,0
14373,SEM Manager ,"US, CA, El Segundo",Media Buying,,"ConsumerTrack is a technology-centric internet marketing company built on a culture of excellence. We are the preferred digital advertiser for premium financial brands such as American Express, Discover, Allstate and Equifax. Through our 1600+ websites, we set the vision and the strategy for our clients to reach and connect with their target audience.At ConsumerTrack, we pride ourselves in having a team that exudes leadership, high initiative, creativity, and passion. By joining our team, you will have the opportunity to maximize your job performance and satisfaction with a company that is constantly growing.We are committed to recruit, retain, and promote employees with a diversity of backgrounds and life experiences. We believe such diversity fosters growth and innovation, ensuring we remain an industry leader.Were currently looking for energetic, driven and entrepreneurial trendsetters for all areas of the #URL_2a5cb7925ac0d5929dbe762cfc72b186318a2597921e82d05639bbb5978f8089# youre looking to advance your career and become a part of our team, we invite you to apply for our open positions.","ConsumerTrack is a fast growing and innovative internet marketing company in the midst of an explosive growth phase. We are a preferred digital advertiser for premium financial brands such as American Express, Discover, GE Capital and Equifax. Through our 1600+ websites, we set the vision and the strategy for our clients to reach and connect with their target audience.We are currently looking for an SEM Manager to own and scale ConsumerTracks search engine marketing operations. This is a strategic role managing all SEM marketing programs and projects and launching new channels in paid search such as social media buys. The SEM Manager works with the Director of Media and is part of a small, dynamic and rapidly growing team with high advancement opportunities. If youre passionate about working with complex and integrated campaigns and capable of aggressively increasing campaign performance, your opportunity to grow at ConsumerTrack will be endless.Responsibilities: Develop and implement Search Engine Marketing strategies for all ConsumerTrack verticals (insurance, banking, credit, and travel)Create, manage and analyze paid search (CPA, CPC, and CPM) campaigns across search engines, networks, websites and social media to ensure acquisition and conversion goals are metDrive continued optimization of SEM campaigns through performance analysis, ad copy testing, quality score improvement, keyword management, landing page testing, etcSummarize, report and optimize online campaign delivery and performance metrics on weekly and monthly basis for both individual campaigns and in aggregateWork with the marketing, content, product, technology and analytics teams to initiate, launch and track landing page tests, conversion funnel testing and creative testsBenchmark competitors to ensure we stay current with developing digital strategies as well as stay abreast of best practices.Own and support various projects as needed","4+ years of SEM experience with large-scale paid search and search engine optimization programsSelf-starter with a deep understanding of search marketing, performance marketing, the end-to-end marketing funnel, sales pipeline, landing page optimization, analytics and testingMetrics driven and comfortable with analytics and KPIs (key performance indicators) such as clicks, conversions, sales, revenue, and ROI.Demonstrated success presenting large datasets in a clear and compelling manner that inspires actionAbility to thrive in a fast-paced, results-driven environment and flexibility to exploit the unexpected and succeed in dynamic situationsExperience utilizing bid management tools (Marin,Kenshoo) and digital analytic platforms (Google Analytics, Optimizely)Google Adwords certification requiredBachelors Degree required (concentration in economics or business preferred)","Competitive salary with excellent growth opportunityWe will invest in you!Excellent medical, dental and vision plansPaid vacation, holidays, and sick days401k- We contribute 3% of employees salary!Free Gym Membership for elite Spectrum ClubsMonthly complimentary catered breakfast/lunchesMonthly employee outings (ex. Bowling, Paintball, Bonfire, etc.)We are an equal opportunity employer and all qualified applicants will receive consideration for employment without regard to race, color, religion, sex, national origin, disability status, protected veteran status, or any other characteristic protected by law.",0,1,1,,,,,,0
6672,QA - QTP Automation Engineer - Descriptive Programming,"US, TX, austin",,,,Excellent communication skills3-5 years using QTPCustom Library development in QTPGood knowledge in VB scriptingAbility to do the scripting in using Descriptive ProgrammingAbility to develop custom error handling and reporting methodsAbility to work stand alone or on a small Agile teamExperience working in an Agile environmentExperience in WebServie and Database automation is a plusLong Term contract- C2C-Start date :immediate,,,0,0,0,Contract,Mid-Senior level,Bachelor's Degree,Telecommunications,Quality Assurance,0
5114,UX/UI Designer,"IL, , Tel Aviv ",,,"BillGuard is a personal finance security service powered by the collective knowledge of millions of people. The family of data scientists, mathematicians, security experts and industry leaders have come together to protect consumers by facilitating the sharing of that knowledge. Through patent-pending big-data analytics and advanced crowdsourcing techniques, the BillGuard iPhone and Android app is the fastest, smartest way to track spending and save money. As the first “smart inbox” for your money, the app prioritizes the noteworthy charges on your credit and debit cards and scans your card activity for questionable charges.Based in New York and Tel Aviv and backed by some of the world's top investors, BillGuard has been featured prominently in the Wall Street Journal, NY Times and The Economist as well as on ABC and CBS News.","LOVE WHAT YOU DO? LET'S MEETIf you have exceptional eyes for details, love to design products that make people happy, we'd love to meet you!BillGuard is looking for a full-time, passionate and talented UX/UI designer to join our TLV creative team.BillGuard harnesses the collective wisdom of the Internet to help consumers identify and fight back against scammy charges. We protect our users from hidden fees, unwanted subscriptions, and more.We've got a beautiful new office on Rothschild Boulevard, Tel Aviv, and a desk with your name on it. Lets make the world safer together!","• Experience in specing and designing UX and UI for mobile phones/tablets (We useSketch/Illustrator/Flash to create vector based designs)• Utilize web and mobile analytic data to create comprehensive and accessible design.(Conversion, Engagement & Retention are the KPI's that drive most of our decisions)• Ability to rapidly prototype and iterate designs (We usually mock and test tens ofdesigns to move forward on a feature)• Passionate about mobile technology and app development, staying current with thelatest UX/UI trends and patterns (Google Wear SDK, iOS 7.1, KitKat, etc.We are always on the look for inspiration from the latest UX sparks of genius to innovate on top of that!)• Proven ability to execute on visual and interaction details, from concept toimplementation• Extreme (obsessive?) attention to details (we're proud OCD people)• Excellent verbal and written communication skills - you should be able to clearlyarticulate your design decisions (Perfect written English is a must)• A good grasp of visual storytellingSKILLS• Extensive knowledge of typography, colors, iconography, and aesthetics• Good expertise at Adobe Creative Suite, Sketch, flash, pencil and paper• Experience with front-end programming is a strong advantage, using standards-compliant HTML, CSS and Javascript• Excellent inter personal communication skillsPLUSES• Strong data orientation!• Wire-frame prototyping abilities• Animation Skills• Sense of humorMake sure to send us your portfolio. Show us your favorite projects!",,0,1,1,Full-time,Mid-Senior level,,Graphic Design,,0
12817,Driver - Light Duty (Phoenix),"US, AZ, Phoenix",Operations,,"Our HistoryFounded in 1929 by Earl Bertrand Bradley, the company began selling products for Knape and Vogt Co. of Grand Rapids, Michigan.With the opening of the Los Angeles branch in 1929, the company became a wholesale distributor specializing in store fixture and specialty hardware such as drawer slides, hinges, brackets and standards. In 1943 branch offices were opened in San Francisco followed by Seattle in 1956.The companys market position and business began to grow during the late 1950s and 1960s after adding Wilsonarts high-pressure laminate line to its product mix.During the 1970s under the leadership of E.B. Bradleys son Robert E. Bradley, Sr., two new branches were opened; San Diego in 1972 and Portland in 1976. Significant product additions were the Blum line of European hinges and drawer slides and Accuride precision ball bearing drawer slides. A greater emphasis as a supplier to the Cabinet and Furniture industries was taking hold.Since the opening of the Anaheim branch in 1995, the company has been consistently growing.In 1998 the company entered the cold press lamination business by opening 3 locations of its West Coast Laminating subsidiary in the Los Angeles, San Francisco and Pacific Northwest marketplaces.Our OwnershipUp until January 4, 2009, the company operated as a 100% family owned business.On January 5, 2009, Industrial Opportunity Partners (“IOP”), a private equity firm based in Evanston, IL. partnered with Robert Bradley, Jr. in aquiring the stock of E.B. Bradley Co. and its subsidiaries from its current shareholders.","Position available is located in our Phoenix, AZ location.Responsible for daily delivery of company products to customer locations in a safe and timely manner ensuring the reputation of being the First Choice in Quality and Service.","Essential Job FunctionsSelect, sort and verify orders for delivery;Plan daily delivery route and strategy for the day;Make changes to the delivery route as per customer needs and requests;Pre-inspect orders for damage and quality before delivery;Load and/or assist with loading the vehicle for deliveries;Ensure that the load is in compliance with weight and other load limits;Conduct a daily walk around safety inspection of the delivery vehicle;Make on-time deliveries to customer locations as per pre-determined route schedule:Unload customer orders at customer location;Provide excellent, professional and courteous services to customers during each stop;Complete and maintain paperwork as required by company policy, procedures and directions provided by supervisor;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Follow all Department of Motor Vehicle policies and procedures at all times;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Responsible to ensure that the delivery vehicle is clean, safe, maintained and in good operating condition;Operate a forklift;Perform housekeeping duties within your work area maintaining a clean and safe working environment. Non-Essential Job FunctionsShipping;Receiving;Counter Sales;Must assist others and perform additional tasks as directed by the Operations Manager. Skills/QualificationsAbility to operate a small to medium size truck;Must have and maintain a clean driving record in compliance with company set standards;A general knowledge of the surrounding delivery areas;Prioritization, Organization, and Execution — ability to multi-task in a stressful, fast paced working environment;Attention to Detail,;Must have the ability to prioritize and organize;Good customer service skills. QualificationsTo perform this job successfully, an individual must be able to perform each essential job function satisfactorily. The requirements listed in this job description are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with qualified disabilities to perform the essential functions of the job. RequirementsHigh School Diploma or GED;A current valid Class C Driver's License;Basic computer skills;Ability to be certified as a forklift operator;A minimum of five years of general driving experience;1-3 years of route delivery experience. Physical DemandsRequires the ability to walk, stand, carry materials, stoop, kneel, bend at the waist, reach overhead and climb ladders and/or on and off of equipment:May require sitting and/or standing for long periods of time;Will be required to lift up to 50 lbs on a regular basis; Working EnvironmentAll work is performed within a manufacturing and warehouse environment;Exposure to moving mechanical parts, machinery, fumes, airborne particles, dirt, dust and chemical fumes;The noise level in the work environment is usually moderate to loud and may require hearing protection.Safety shoes, back support and eye protection are also required.Temperature environment range is approximately 30°F to 110°F. SummaryThis position description identifies the key responsibilities, expectations of performance, qualifications and work environment as outlined in the contents.  It is neither intended nor possible to list all tasks and variables the employee may be required to perform or conditions the employee may be subject to.  Employees are expected to follow any and all job related duties and responsibilities, as may be reasonable assigned by management.As an Equal Opportunity Employer, E.B. Bradley, Co. is committed to a diverse workforce.",,0,1,1,,,,,Other,0
13151,Ad Campaign Coordinator (Italian),"LT, , ",,,,"  Advertising Campaign Coordinator is responsible for coordinating multiple internet advertising campaigns, using our platform, and providing support to our customers  media agencies and publishers all over the globe.","We Expect:- Higher education (can be a student, able to work full time) - Fluency in English and Italian languages - Understanding and interest in web-technologies, on-line advertising - Positivity and enthusiasm to rock challenges with a smile on a face - Awesomeness","We offer:- Wide careeropportunities in rapidly expanding international company - Plenty of various projects, initiatives, internships, courses  you name it! - Extremely friendly and inspirational atmosphere - Lots of fun and rocknroll",0,1,0,Full-time,Entry level,,Information Technology and Services,Customer Service,0
16895,Sales Consultant,"US, KY, Lexington",,60-150,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","We are one of the fastest growing Home Improvement companies in the area. LEI is looking for motivated sales professionals to start your career.We are hiring 10-12 Sales Representatives to staff our office for our expansion starting in November!RESPONSIBILITIES:Speak with potential customers about the benefits of our home improvement products (Windows, Siding, Doors)Pitch prequalified and preset leads directly to a homeowner who is interested in buying our productsMaintain professional relationships with customers and new potential customersManage and maintain a constant influx of leadsBe helpful with all client's needsDemonstrate sample products to show customers the benefits of our productsSell the #1 rated window and siding products in America to people who already have set appointments","QUALIFICATIONS:1. Applicants have to work hard and stay positive2. Must have a minimum of High School Diploma/GED or equivalent3. Applicants must be willing to complete an extensive training class that involves both our marketing and sales approaches4. Comfortable conducting business in person5. Excellent at CLOSING deals6. Professional at all times, in the office and in front of clients7. Knowledge all Windows applications","WHAT WE WILL OFFER:1. WE PROVIDE PAID TRAINING AND FULL SUPPORT AT ALL TIMES, refresher meeting are provided2. We provide all preset leads, you just close the deal3. All necessary training to make you a closer4. TRAINING SALARY.5. NO COLD CALLING once you graduate sales trainingCOMPENSATION:Average monthly income of a sales consultant that works for LEI is around $3000-$15,000.Full benefits providedPaid trainingVacation Pay",0,1,0,Full-time,Entry level,High School or equivalent,Consumer Goods,Sales,0
3393,Online Customer Acquisition Manager,"IE, , Dublin",Online Marketing,,"ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Barcelona, Dhaka and San Salvador. Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.","Online Customer Acquisition ManagerLocation: DublinSo what is this role exactly?Do you have a track record of excelling in online customer acquisition?Reporting to the Head of Online Marketing you will manage and drive the planning, strategy, implementation, tracking and execution of innovative online customer acquisition campaigns designed to drive new customer acquisition and brand awareness.You will be a customer focused digital marketing professional with comprehensive multi-channel and multi-device experience - preferably with a strong search background.You will be highly organised with strong presentation skills and improved customer acquisition will be your primary goal.This is a fun team and we are looking for a strong communicator who likes to laugh!The nitty grittyAs the Customer Acquisition Manager for ezetop, you will be responsible for the happiness of nearly 2.8 billion customers by driving our customer acquisition and growth strategy.You are consumer-oriented, creative and a master at statistical analysis, organisation and detail. Plus, you like the fun of being in a fast-paced environment and thrive on getting things done!Our new Customer Acquisition Manager will be an enthusiastic, experienced leader ready to help Irelands fastest growing technology company succeed even further and be part of launching an exciting new brand. They will join the Acquisition team; filled with 6 ambitious, creative and fun people. Its a blend of Digital Marketing Experts, Graphic Designers and PPC Specialists; their roles include creative writing, design and analytics to name a few, so team leadership skills and experience is a must.Hang on…….a few more things before you click applyNeedless to say there are a few specific requirements so just make sure you tick the boxes below. Once done, we look forward to receiving your application. Strong analytical skillsExtensive paid search knowledge managing large budgets across multiple marketsSEO backgroundMobile marketingExcellent communication skills both written and verbalA passion for providing a value for money and a return on investment from marketing spend5+ years digital marketing experienceDemonstrable leadership abilityWho are we?As the winners of the Deloitte Technology Fast 50 for the last two years we have been repeatedly recognised as the most innovative and rapidly expanding Irish technology company. Established in 2006 ezetop is the world's largest provider of international mobile phone top-up and long distance calling. ezetop provides an important service connecting friends and families around the world and is currently partnered with over 300 mobile operator partners and 450,000+ retail locations covering over 100 countries, as well as an increasing online presence.  ",,,0,1,1,Full-time,,,Telecommunications,Marketing,0
11795,"Chief Information Officer, Athens","GR, I, Athens",,,"Optimal Business Action was created in 2005 in order to provide high quality, personalized HR services to medium and large-cap companies operating in Greece, the Balkans and the Middle East. Seven years later we are present in Athens, Bucharest and Dubai, and several of these companies are on our client list, including most major banks, pharmaceuticals, hotel & retail chains, and large IT firms. We are one of only nine HR firms in Greece operating under the Temping Permit issued by the Labor Ministry and among a very few which offer employee evaluations based on the combination of the Minnesota Multiphasic Personality Inventory (MMPI) and Rorschach tests. Amongst our advantages is our extensive candidate network, the use of industry-specialized recruiters, our technology tools  including candidate pre-recorded interviews, and our competitive, on-success, fee structure. Our subsidiaries: Creative HR which was created in 2010 in order to undertake human-capital intensive projects, HR department restructurings, and provide HR consulting services. iTechScope Recruitment which was created in 2011 and is the first international recruitment company in Greece specializing in sourcing and placing personnel for companies operating in the fields of Information Technology, Telecommunications, and Engineering. Optimal HR Middle East FZC which was created in 2013 and is the groups entity in the UAE, providing our clients with Recruiting, Consulting, and Training products and services. Our partnerships: As of 2014, Optimal is the exclusive Gi Group (#URL_1458d4797348b1fe258e750e89ab66b11c9565a10abede82d761ce37c53395b6#) partner for Greece and the UAE, offering a vast array of products and services as well as sourcing access to candidates from 32 countries around the world. GI is one of the world's leading HR companies, providing services for the development of the labor market. It employs a staff of almost 2.500 people and its 2013 turnover approached $1.5 billion. We have an exclusive representation agreement with UK's APS (#URL_b40fd0caf83243a019915fa08fcf0afbb9f377d15194c88d4e4896e6848bbbbd#) for their on-line evaluation products, such as the TEIQue, Engagement Survey, and 360 Survey, and an exclusive collaboration with Chris Daffy (#URL_712f5a993f998f7aad7e3cc1b7d9c7d3eb17e8ffcace779065d1902e412e2c3d#) for his Customer Experience training programs. We also work with UK's Epigon Training & Development (#URL_fe1f8ff39ad549be4c26f84c348c2d2dad85c84e6746f60140eb4392e652e543#) for NLP-based training seminars. Please contact us at: +30 210 32 59 350 GR & +971 (0) 56 39 33 546 UAE or e-mail us at: #EMAIL_eb107d9d9176aa07bb1d808b882aacd15565df1b289ed0391e62d9bc7422d718# and #EMAIL_21116c53126810b34d578a02cb53ece4f520daa553e1b3ee91c614cc67d51c5d#","                      Optimal Business Action, on behalf of a client is seeking to recruit a Chief Information Officer (CIO) in AthensMain Responsibilities:Supervise and lead the web & mobile application developers teamProvide oversight of the code writingSupervise of the mobile & web applications new designsCoordinate of the Project ManagersParticipate in management decisionsIdentify opportunities and risks for the businessDevelop and maintain an appropriate IT organizational structure that supports the needs of the businessEstablish IT departmental goals, objectives, and operating procedures","Main Requirements:University degree in the field of computer science or IT7 years experience in a similar working environment (web & mobile applications, writing code)5 years in a senior position, ideally as CIOProfessional solid experience in system administrationExcellent EnglishAbility to motivate in a team-oriented, collaborative environmentAbility to set and manage priorities judiciouslyAbility to articulate ideas to both technical and non-technical audiences",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,,Information Technology,0
17813,Hiring for SAP Supply Chain Manager,"US, WA, Bellevue",,130000-145000,,"Position :Manager, Business Solutions-SAP/Supply ChainJob Type : Full TimeJob Location : United States-WashingtonBellevueUs work status required : EAD ,Green Card,US Citizens Job Requirements:Minimum of 6+ years in an Information Technology leadership role with the following:Experience in successfully developing and executing strategy for leading and operating SAP ERP systems and other Supply Chain systems.Track record of success in managing Supply Chain solutions in retail and/or wireless services markets.Experience in managing relationships with business team executives at Sr. Manager, Director, VP and SVP level.Experience in developing and maintaining architecture for SAP and ERP systems in alignment with business strategy.Responsibilities Execute and contribute to strategic plans and ongoing operating plans.Establish partnerships with Supply Chain business teams and manage senior-level relationships.Establish partnerships with other EIT teams and manage cross team relationships and enhance partnership within EIT.Work with business teams and other EIT teams to align on business priorities and deliver against these priorities.Develop and maintain solutions architecture for SAP, Ariba, OpenText and other applications related to Procure-To-Pay and Supply Chain solutions.  Refactor and extend existing applications and supporting processes to incorporate SAP and industry best practices and to align with strategic plan.Work with IT Governance to align development and operational activities within SDLC and support framework.Manage an EIT Procure-To-Pay team, identify, attract and retain key skills and capabilities for this team.",,,0,0,0,Full-time,Mid-Senior level,,Information Technology and Services,Information Technology,1
12619,Sales Manager,"US, MO, St. Louis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Achieve quarterly and annual sales targetsProspect, secure meetings, and close new key account business with key decision makers in specified target accountsAttend sales call appointments to support sales opportunitiesWe have many more Global Healthcare Professionals jobs are available in our website. Please go through our website and search the relevant job and apply directly.Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,Marketing and Advertising,,0
16292,IT Support Specialist & Build Engineer,"US, TX, Austin",Operations,,"MaxPlay™ is a cross-platform digital publishing company focused on revolutionizing the games as a service market. You probably havent heard of us yet, but thats about to change. Recently spun out of Technicolor Ventures, were busy building a world-class set of tools and technologies that enables game developers to reach larger audiences and provide the best gaming experience to their consumers. MaxPlay has a great team of veteran game publishers, engineers, and consumer product folks but we also need you to reach the next level.Its not easy disrupting the game publishing business. Each day were tasked with building a broad range of products and services, and were looking for talented people in Austin, Los Angeles, and San Francisco that are excited to meet those challenges. Even if you're not a gamer, but love technology and creating new tools and platforms, we want to hear from you.","Supports, designs, maintains and monitors internal and external networks. Implements and manages all systems, applications, security and network configurations. Identifies problems associated with the network and servers, and performs troubleshooting activities. Resolves network performance issues and establishes a disaster recovery plan. Recommends upgrades, patches and new applications and equipment. Creativity and flexibility are encouraged. Works closely with the development team to identify improvements to existing infrastructure and processes. Additionally, the Support Specialist manages software builds and releases. Maintains a continuous integration system. Works collaboratively with the quality assurance team to ensure integrity of stable builds. Works collaboratively with the development team to resolve build issues as they emerge.","3 years experience administering Linux network (Ubuntu or Mint preferred) and/or a Microsoft network.Knowledge of C#, Python, Perl or other scripting languageExcellent written and verbal communication skillsBonus Points:Familiarity with Perforce or other source control systemFamiliarity with AWSADDITIONAL INFORMATIONAll information will be kept confidential according to EEOC guidelinesMaxPlay and the Technicolor Ventures Group are an EOECandidate must be eligible to work in the United StatesNW Austin office location",,0,1,0,Full-time,Mid-Senior level,,Entertainment,,0
15975,Project Manager,"KH, 12, Phnom Phen",Management,60000-75000,Smart Search delivers executive search solutions tailored for global organizations committed to the Greater Thailand market. We commit to securing the “best-fit” executive talent to lead and manoeuvre global businesses in the constantly changing dynamics of South East Asia. ,"Our client is a South East Asian group currently operating in Cambodia in many business areas such as motorcycle assembly, design house, advertising, gas system solution, and kitchen appliances retail business. Our clients group divided into 3 groups, Retail and Distribution, Solutions, and Property development. Our client is currently reorganizing their large scale architect division and is looking or a South East Asian expatriate to fill the following opportunityThe Project Manager will report to the General Manager and take responsibility for all technical related responsibilities within our clients architectural design company as follows:Lead the production team to achieve the tentative deadlines and quality.This position plans, organizes and implements the complete Real Property appraisal, acquisition or relocation program for projects. These functions include solicitations, scheduling, budgeting, assigning, directing, tracking, troubleshooting and assuring the timely, accurate and quality production of deliverables from contracted real property consultants/services.This position involves all phases of real estate development including site recommendation/selection/control, predevelopment, securing funding for projects finance, construction management, planning, and community outreach.This position works with little direction and supervision, timely completion of projects, makes time for unplanned assignments, adapts to changing priorities.","Bachelor degree required with degree in construction management, business urban planning, community development, asset management, or related disciplines5+ years in real estate development of mixed-use and affordable multi-family housing, new construction, the acquisition and rehabilitation of existing multi-family buildings.Must have project management experience with extensive knowledge of mixed-use building systems, including design issues and construction materials and methodsWorking knowledge of lease agreement components and contractual provisions, title commitments and policies, real estate closing procedures and real estate documents.English proficiency and ability to communicate effectively, orally and in writing; includes strong negotiation skills.Good English communication abilities.PC proficiency, including word processing and creating spreadsheets.Ability to analyze appraisals and market conditions for the purpose of determining valueAble to be based in Phnom Phen, Cambodia","Our client offers its employees a competitive earnings package that includes performance bonuses, company provided housing, car & driver, return home air tickets 2Xs a year, relocation assistanceQualified South East Asian National please apply below.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Construction,Management,0
15220,Executive Personal Assistant,"US, OR, Ashland",,,,"Work for a creative visionary.  President and Founder of a thriving company that specializes in personal transformation needs an exceptional individual who can take charge of important responsibilities.   Your duties will involve keeping everything running smoothly while he grows the business.  You will help to manage affairs in both his personal and professional life.Duties include: coordinating and managing schedules; opening and filing mail; planning travel; doing online research; running errands; organizing files and receipts; deleting emails and keeping inboxes organized; staying on top of reminders; keeping President on-task and focused; taking notes and keeping them ordered; writing documents and procedures for organizational systems that you help develop.Its a definite plus if youre computer savvy.  Its a double plus if you have design skills and know how to use Photoshop and Illustrator.  Computer savvy means having an innate knack for working in the digital, cyber realm; being able to learn and use various software platforms; putting your smartphone to ultimate use, etc.",You should have a college degree with at least 1 year work experience as an executive assistant.,Pay will be commensorate with experience level and ability.,0,1,1,Full-time,Associate,Bachelor's Degree,Professional Training & Coaching,Administrative,0
793,Senior .NET Web Developer,"NZ, N, Auckland",,,"Tailor is a digital agency specialising in three things:User interface design and development for enterpriseWe work alongside the development teams of large organisations like Auckland Transport and Progressive Enterprises to design and build (front-end code) the user interface for complex websites and applications. Digital platform design, development and ongoing support for SMEs and entrepreneursWe work with dynamic organisations like My Food Bag and All Blacks Tours to build bespoke systems and apps that are core to their business. We provide a comprehensive range of digital product development services, including strategy, technical scoping, product management, ongoing support and optimisation. Digital development and ongoing support for agenciesWe partner with advertising and marketing agencies to do the “heavy lifting” digital development they cant do in-house. This is primarily large-scale CMS-based websites, but we also build mobile apps, Facebook apps, and other complex websites. To deliver this unique mix, weve got an incredibly diverse but talented team of people - each of whom shares the founders passion and pragmatic approach to creating great digital solutions.We're extremely proud of our team, and genuinely believe that our people are our greatest asset. As such, we strive to provide a working environment that is among the best in the industry.We offer:An open culture, where diversity and personality are most welcomeA range of office perks - fridge and pantry stocked with 'healthy' treats, weekly team lunch etc.Personal/professional development - we provide a generous annual allowance to develop your skills and/or attend conferences Work-life balance - we are flexible with working hours and fully supportive of other life/family commitments - school/childcare, sports teams, extra study, and side-projects/business venturesFrom this year, we will offer staff the opportunity to invest (and/or work for equity) in a couple of internal projects we are looking to commercialise","We're looking for motivated, talented Senior .NET Web Developer to join our growing Auckland-based development team.As one of the senior members of the team, you'll be responsible for leading the development of large-scale websites and bespoke applications for a diverse range of clients - both in New Zealand and Australia. You'll also help to support and enhance some existing platforms we've built. It's exciting times for us right now, and there will be ample opportunity for you to really stamp your mark if you're up for it.Applicants for this position should have NZ residency or a valid NZ work visa.","Technically, you'll have:Minimum 5 years of comprehensive experience in web / enterprise application development in Microsoft Windows platform and related technologiesIn-depth experience with .NET platform and related technologiesIn-depth experience with at least two of the following .NET Content Management Systems - Umbraco, Kentico, Ektron, SitecoreSolid understanding of OOP, relational databases, and SQL for implementing database-driven, web-based applicationsSolid understanding of design and coding on all tiers of web applications and servicesUnderstanding of usability, accessibility, security and privacy standardsFront-end web development skills and experience a plus but not necessaryPersonally, you'll be:An excellent problem solver and love technical challengesSelf-motivated, and able to multi-task.Able to take initiative to be productive and efficient.Able to function independently and still work well in a team environment.A great communicator (both in person and in writing), particularly while interacting with individuals with a range of technical understanding.",,0,1,1,Full-time,Mid-Senior level,,Information Technology and Services,Information Technology,0
15854,Sensor Systems Software Engineer,"US, OR, Portland",Sensor Systems,75000-110000,"Urban Robotics Inc. provides cutting edge software and hardware solutions for Intelligence, Surveillance and Reconnaissance (ISR), Remote Sensing and Geospatial applications. Products include aerial EO and NearIR digital sensor systems, high performance aerial and ground computer clusters, and automated algorithms and services for generating fast turn-around 3D orthorectified maps.","Company OverviewUrban Robotics Inc. (UR) is an established defense engineering firm located in Portland, OR, specializing in aerial reconnaissance, computer vision, cluster computing, and robotics.  We do rapid 3D processing of massive datasets with scalable real time computer clusters.  We're located along the beautiful Portland, Oregon waterfront with a proven team of exceptionally talented, passionate, and motivated engineers in hope of finding a like minded cohort to make really cool stuff with.Job ResponsibilitiesGuaranteed to change monthly but always tailored to your capabilities with an eye for growth. Here are some needs that we would love for you to crank out as soon as you start.Rework existing software stack to allow for scaling both up and down.Work with an FPGA engineer to accelerate low latency image processing in an embedded environment.Find the next generation sensor to integrate into our platform.This Job May Be For You If...You're tired of working at computer companies that know nothing about computers.You enjoy working at a place where your work is appreciated and used.You wish that every frame of your latest drone captured video is geo-referenced.You find yourself describing the ""Big Picture"" to your peers on a regular basis.People are tired of hearing you say, ""I won't believe it until I've tested it!""You believe caffeine is a vitamin.","Non-NegotiablesWe strive to hire top quality candidates and are unable to compromise on only a few major points:Proven Track Record of Working in a TeamBring Positive Attitude to your Office LifeStrong CharacterAuthorized to work in the United StatesAble to get U.S. Security ClearanceSkills and ExperienceYou have a thorough understanding of mathematics, computer vision, and how to handle massive amounts of data. Convince us you have enough based on these general guidelines:5+ Years Professional ExperienceDegree in Computer Science or EquivalentWorked within an Agile Development EnvironmentStrong Communication both Written and VerbalFluency with C++, Python, and/or .NetUnderstands the difference between ISO, Aperture, and ExposureGeneral understanding of CCD and CMOS sensor technologiesBonus: Optimizing Image Processing on Embedded Systems","Compensation is based on experience and education: (Please submit salary requests with resume and cover letter).Medical/Dental Insurance (w/Vision and Prescription)401k with Non Elective ContributionsPaid Parking or Trimet PassFun and Fast Paced EnvironmentVersatile Job TasksCutting Edge TechnologySmall and Agile CompanyOpen Doors that are Really OpenWalking access to the waterfront, carts, bakeries, shops, and whatever else you can find in/around Downtown!Mystery and Intrigue",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Aviation & Aerospace,Engineering,0
5507,Home Based Payroll Data Entry Clerk Position - Earn $100-$200 Daily ,"US, GA, Abbeville  ",,,,"We are a full-service marketing and staffing firm, serving companies ranging from Fortune 100 to new start-up organizations. We work with job seekers in an equally broad range, from light industrial temporary workers to executive level candidates.Are you looking for a Work from Home Opportunity where you can earn up to $2500 and more per week? Our Online Service Representative position would be perfect for you!- Set your own hours - Make money every time you decide to work - Work remotely from home - Get paid weekly - If you have a computer with internet, this is for you","RequirementsAll you need is access to the Internet and you can participate. Computer with Internet access, valid email address, good typing skills   ","This is an entry level position and we offer full online training. You do NOT need any special skills to get started.Earn as much as you can doing data entry.  Complete Training provided before you start working and its easy to start!  ________________________________________Dont let this opportunity pass you by.POSITIONS ARE STILL AVAILABLE - GET STARTED RIGHT NOWIf you fit the above description and meet the requirements, please apply stating your locationApply at: #EMAIL_3720fb437cf9ea0fba89dddcb596c3afd772be237f383163a3d18e00e4c65595#",0,0,0,,,,,,1
16062,Business Unit Manager,"US, CA, san diego",,,"Who are We?Aya Healthcare is a top healthcare staffing organization because of our passionate, creative and talented employees. We have fun while we work and achieve results. We have grown 77% per year for the last 3 years and we are looking for more phenomenal talent to join our team of A-players. What Sets Us Apart?We are obsessive about creating great experiences for our clients and employees which makes us one of the best.  We will go the extra mile to make both our clients and employees happy.  We value our employees, recognize, and reward hard work.  Youre not just another number to us, youre an important part of our team and we want to invest in you. Who are You?You are self-motivated and strive for resultsYou are just as obsessed as we are about creating great experiences for our clientsYou want to be a part of an organization that values your talentYou think outside the box","Business Unit Manager  Competitive Salary, 401K with company match, medical benefitsJoin one of San Diego's 2014 Top Workplaces named by the UT San Diego!Who are We?Aya Healthcare is a top healthcare staffing organization because of our passionate, creative and talented employees. We have fun while we work and achieve results. We have grown 77% per year for the last 3 years and we are looking for more phenomenal talent to join our team of A-players.What Sets Us Apart?We are obsessive about creating great experiences for our clients and employees which makes us one of the best places to work. We will go the extra mile to make both our clients and employees happy. We value our employees, recognize, and reward hard work. Youre not just another number to us, youre an important part of our team and we want to invest in you.Who are You?You are self-motivated and strive for results You are just as obsessed as we are about creating great experiences for our clients You want to be a part of an organization that values your talent You think outside the boxBusiness Unit ManagerWe are currently seeking an entrepreneurial people and process manager to lead a revenue segment with in the organization. The successful candidate will have multiple years of business experience managing people and process. ",The successful candidate will possess:A Bachelor DegreeAn Entrepreneurial mindsetStrong Business AcumenExcellent Communication SkillsLeadership QualitiesTeam Building SkillsTime Management and Prioritization SkillsA Winning attitude  ,"What are the Perks?Competitive SalaryOpportunity for advancementPTO, 401K matchFree medical, dental, life and vision insuranceFree food, snacks, organic fruit, and assorted beveragesFree Yoga and Boot camp classesCompany Sponsored Happy Hours and EventsBirthday CelebrationsWork/life balance",0,1,0,Full-time,,Bachelor's Degree,Staffing and Recruiting,Management,0
11641,Full Stack Developer,"GB, , London",,,"Were a group of designers, developers, producers and technical toy makers who work together to make forward thinking products and services that push innovation and utility.We are located in London and Manchester , our clients include BBC Worldwide, ITV, The Telegraph, UKTV, Samsung, Sony, Nokia and Deutsche Bank and ground breaking digital startups.Our passionate team will offer you the opportunity to grow and develop your skills in a talented and friendly environment. ","We're looking for a full stack developer to join our team of mobile and digital experts. The role will involve working on groundbreaking internal products and helping to deliver amazing solutions for our clients. You will be experienced in working across back-end and front-end implementation, with a focus on quality and flexibility. As a part of the team you will have a shared responsibility and commitment towards quality, collaboration and the end product.You should be ready to contribute to different parts of the project, from UI implementation, API design, configuring systems, to being part of product discussions and much more. We want someone that is happy to pitch in and be a proactive part of a team working towards a shared goal.Our studio is based in the heart of london, with all the the delights of the west end on our doorstep. You will be working in a fast-paced, creative digital environment based in the vibrant surroundings of Covent Garden. ","• Shared responsibility as a part of the team, for delivery and quality of the product • Producing neat, tested, readable and well documented code • Contributing code to help extend and improve common / open source libraries • Organising your work within an agile team • Working closely with designers and testers • Collaborating with other developers on pushing quality• Being responsible for your work and your code","Above anything we are a people centred company that strives to create the best opportunities for the best people in the industry. We just so happen to have an amazing studio space and great tools for learning and sharing.We offer a competitive package, including but not limited to company wide profit sharing platform, company pension, life assurance, private medical, training budget and amazing family policies.25 days holiday are offered as standard, inclusive of studio closure days over xmas.We also promote flexible working days.",0,1,0,Full-time,Mid-Senior level,,Computer Software,Information Technology,0
16648,Senior Data Scientist,"CH, ZH, Zürich",,,,"We're growing our data science and analytics team and are looking for experienced data scientists to be a part of projects that cover the full spectrum of machine learning and statistical programming. We are specifically interested in people with experience in classification and clustering algorithms, natural language processing, predictive analytics and recommender systems.Highlights:Globally focused company with international teamDirectly see the impact of your contributionCollaborative work environmentDevelopment of cutting-edge technologiesEnjoy the high quality of living Zurich has to offerResponsibilities/Opportunities:Research, design, and implement user modeling systems for very large-scale data setsAnalyse and understand our data and present insights in a clear wayImprove the quality of data and the efficiency of our algorithmsImplement  highly available, scalable and performant systems","M.Sc. or Ph.D. in Statistics, Math, Physics, Computer Science, or other quantitative disciplineProficiency in applying discrete math, statistics, probability theory, and machine learning to answer complex questionsExperience with python or other programming languagesExperience in relational and non relational databasesProactive, organized, practical and solution orientedTeam player but also a self starterExperience with MongoDB or Couchbase is a plusExperience with statistical programming environments like R or Matlab is a plusExperience with Hadoop, Pig or Hive is a plusExperience with Amazon AWS (or similar cloud services)  is a plus",Full-time positionInternational travel for industry and academic eventsDiscounted access to gym and other sports/activitiesFree discount card (halbtax) for local transportationCompetitive salary,0,0,0,Full-time,Mid-Senior level,Professional,Computer Software,Information Technology,0
5087,Junior Account Executive - St. Louis,"US, MO, St. Louis",Sales,,"Our passion for improving quality of life through geography is at the heart of everything we do.  Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them.Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more.","THE COMPANY: ESRI  Environmental Systems Research Institute (#URL_6b9c7dbc8de6a3e168e9c45ac1289630f08cb59eabb68aeaa926cdb16af18c48#) Our passion for improving quality of life through geography is at the heart of everything we do.  Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them. Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more. THE OPPORTUNITY: Business Development Rep Esri is looking for self-motivated, driven, results-oriented, top performing Business Development Representatives with a track record of success to join our world-class team. The position will be driving sales, revenue and aggressively expanding Esris customer base. This is a hybrid inside/outside sales role with a large regional territory and a very competitive compensation package. Specifically… Aggressively prospect, qualify, present, pitch and close potential clients generated through outbound calling, email initiatives, networking and attending appropriate industry events.Promptly and proactively address incoming inquiries/leads generated through online advertising and marketing campaigns.Generate your own sales targets via research, industry articles and specific targeted verticals defined by the sales and marketing strategies.Keep current with industry trends that are relevant to targeted vertical markets, stay up-to-date with relevant technologies and news.Have a complete technical understanding of and ability to articulate and demonstrate Esris products and value propositions.Maintain an aggressive sales pipeline with weekly reports to sales management.Provide high touch account management to select current client base.Strategize and work closely with Account Managers to determine potential revenue opportunities within an account.","EDUCATION: BA/BS required, advanced degree a plus!EXPERIENCE: Minimum 2-3 years of sales experience; B2B technology sales experience highly preferred.Background in technology (Software, SaaS) a plus but not necessary.Proven experience in a quota carrying sales role with a track record of meeting and exceeding goals.Able to effectively prospect, qualify and solution sell to the right decision makers.Excellent presentation skills with the ability to succinctly present Esris value and services via phone/web conference, trade shows, etc.Strong computer skills, proficient with standard software, Internet and web applications.CRM experience is a plus!Highly motivated, competitive and ambitious to drive sales and revenue for the company.An unrelenting desire to be the #1 performer in anything you do, yet collaborative and a strong team player.Responsible, self-directed individual who knows what needs to be done and operates with urgency, focus and discipline.Enjoys the art of sales and cold-calling coupled with a relentless hunter mentality.Excellent communication skills  written, verbal, presentation and interpersonal.A natural competitor with the passion and dedication to succeed and continuously exceed expectations.Have the ability to thrive in an energetic, fast pace, high growth, entrepreneurial environment with minimal supervision.Above all, ethical, fair and of high integrity.","Our culture is anything but corporate—we have a collaborative, creative environment; phone directories organized by first name; a relaxed dress code; and open-door policies.A Place to ThrivePassionate people who strive to make a differenceCasual dress codeFlexible work schedulesSupport for continuing educationCollege-Like CampusA network of buildings amid lush landscaping and numerous outdoor patio areasOn-site café including a Starbucks coffee bar and lounge areaFitness center available 24/7Comprehensive reference library and GIS bibliographyState-of-the-art conference center to host staff and guest speakers Green InitiativesSolar rooftop panels reduce carbon emissionsElectric vehicles provide on-campus transportationHundreds of trees reduce the cost of cooling buildings",0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Sales,0
5684,Account Executive ,"US, VA, Herndon",,60000-100000,"HireHopes is the premier professional services consulting firm that specializes in strategic job search, career advisement services, and professional coaching with an emphasis in technology sectors.","We are a global network provider of Tier 1 telecommunications services tand are a world-leading telecom operator in terms of revenue and customer base, ranking as one of the premier companies in the Fortune Global 500.We offer a variety of international data, voice, IP, and value added networking solutions. We are deeply committed to providing superior customer service, and are proud of our track record working with many large multi-national institutions in meeting their requirements and exceeding their expectations.ResponsibilitiesFormulate and implement sales & marketing strategies in assigned territory;Identify and develop new business opportunities;Achieve sales target through the development of long term business relationships with clients;Be comfortable with the complete sales cycle from cold calling to negotiation, deal closing, sales/order implementation, and working with sales support.Work well in a team environment, and have a real commitment to providing top-notch customer service.Self-motivated and able to work independently",". RequirementsB.A. or B.S. Degree.3 years/above experience in telecom related fields, especially in international telecomm networking solution business.Good understanding of telecom products and solutions such as Private Line, MPLS, IP, VPN, and other international WAN products.General knowledge of business fundamentals. A high degree of professionalism and problem-solving ability.Ability to speak and write in Chinese is a plus.",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Telecommunications,Business Development,0
5632,Account Manager,"GR, I, Acharnai",,,"Linkwise is the leading affiliate network in S.E. Europe. Launched in 2008, it has since been at the forefront of the Affiliate Marketing sector and works with the largest brands in the region. In 2012, Linkwise launched its own custom-built affiliate platform which brings its services and -as a result- Affiliate Marketing in the markets it operates in at a whole new level.With offices in Athens and Istanbul, Linkwise serves hundreds of advertisers and thousands of affiliates, however still managing to preserve its startup mentality.","Η Linkwise - το πρώτο και μεγαλύτερο performance marketing network στην Ελλάδα - αναζητά Account Manager για την περαιτέρω ενδυνάμωση της εμπορικής της ομάδας. Ο Account Manager αποτελεί το συνδετικό κρίκο ανάμεσα στον πελάτη και το affiliate δίκτυο της Linkwise, φροντίζοντας για την απόδοση χειροπιαστών αποτελεσμάτων στους πελάτες μέσα από τη διαμόρφωση της affiliate στρατηγικής τους και την πρόταση και υλοποίηση νέων, δημιουργικών ιδεών προώθησης.Πέραν των τυπικών προσόντων, ο Account Manager πρέπει να είναι επικοινωνιακός, οργανωτικός, να μπορεί να θέσει σωστές προτεραιότητες υπό πίεση και να κάνει σωστή διαχείριση χρόνου.Στη Linkwise, θα έχεις τη δυνατότητα να δουλέψεις με τα μεγαλύτερα ελληνικά brands, από όλο το φάσμα της online δραστηριότητας όπως Ταξίδια/Τουρισμός, Μόδα, Daily Deals, Ασφάλειες, Online Games κ.α.","Απαραίτητα προσόντα:2+ έτη προϋπηρεσίας σε αντίστοιχη θέσηΕυχάριστη, επικοινωνιακή προσωπικότηταΠτυχίο ΑΕΙΕξειδίκευση στο Οnline ΜarketingΆριστη γνώση αγγλικώνΘα εκτιμηθούν:Γνώσεις Affiliate MarketingΞένες γλώσσες πέραν των αγγλικών (γερμανικά, ιταλικά, ρωσικά)","Τί προσφέρουμε:Ανταγωνιστικό πακέτο αποδοχών, ανάλογο της εμπειρίαςIn-house εκπαίδευσηΣυμμετοχή σε συνέδρια και άλλα events του χώρουΕυχάριστο περιβάλλον εργασίαςΣχετικά με εμάς:Η Linkwise αποτελεί το πρώτο και μεγαλύτερο performance marketing network στην Ελλάδα. Από την ίδρυσή της το 2008, έχει καταφέρει να εδραιωθεί ως η κορυφαία εταιρεία performance marketing με περισσότερους από 300 διαφημιζόμενους, συμπεριλαμβανομένων των μεγαλύτερων ελληνικών brands (όπως Aegean Airlines, Cosmote, Folli Follie, Public, Electroworld, Toi&Moi, #URL_7f62a27c82861c7302d7fc534982e7a63f147865e50acdd0659065db5842f247# κλπ.).H παρουσία της Linkwise είναι πλέον διεθνής, με γραφεία εκτός από την Αθήνα, στην Κωνσταντινούπολη και πελάτες από πολλές ακόμα Ευρωπαϊκές χώρες.Περισσότερα στο #URL_6a11d0fb72ea57e5ef8dd00c95b0f6df39f1526a3110bfd2354f7ae77a73b508#",0,1,1,Full-time,,Bachelor's Degree,Marketing and Advertising,,0
1934,Outside Sales Professional,"US, IN, Fort Wayne",,,"ABC Supply Co., Inc. is the nations largest wholesale distributor of roofing and one of the largest distributors of siding, windows, and other exterior building products. Since our start in 1982, we've grown to become a national organization with over 450 locations in 45 states. Our mission is to be the biggest, best, and easiest service company distributing exterior building products. We fulfill that mission by stocking the brands and products contractors need at competitive prices, operating a state-of-the-art delivery system, and actively listening to our customers. We know that we will only be successful when our customers are successful. Thats why we make good on our promises every day.","As an Outside Sales Representative, you will develop and maintain a growing book of sales accounts, and will provide them with the best possible customer service.  ABC Supply will help you supply them with a full range of products and services, to fulfill their contracting needs. Your ultimate goal will be to set up meetings with prospective and existing customers, yourself, and your Branch Manager.   These meetings will likely result in product sales and, in the case of prospective customers, new sales accounts!Your specific duties as an Outside Sales Representative may include:Managing your client relationships by contacting each of your existing accounts at least once a monthExamining daily company sales reports to look for sales opportunities in customer buying patterns (client relationship management)Making cold calls to prospective customersMaking visits to job sites of existing customers to talk with foreman and independent installers and probe them for leadsSetting sales appointmentsFielding client complaints and coordinating solutions with Branch ManagerTraining client contractors new sales people in our product lineGetting client signature on credit application and submitting application to branch officeChecking in at branch office every morning to meet with contractor clients as they pick up their materials for the day and seeing if they need any additional productsProviding accounts with marketing gifts such as sweatshirts, caps, and so forthMaintaining a professional appearance and demeanor at all timesConferring with clients to ensure that past due bills are paid and receive payment from them when necessaryDaily travel-(All travel/lodging expenses are paid, while traveling).  ","As an Outside Sales Representative, you must have excellent sales talents as well as the willingness to learn the ABC Supply sales approach. It will also be very helpful to your role as an Outside Sales Representative if you have existing knowledge of exterior building product lines such as siding, roofing, and windows.  This will not only to lend credibility to your sales presentations, but also to assist the Branch Manager in the selection of new product lines. It is also vital for your role as an Outside Sales Representative that you have empathy for your customers and their needs, and always provide them with the best possible service. Specific qualifications for the Outside Sales Representative position include:Track Record of Sales Success  B2B or B2CNo minimum experience required, but specific industry knowledge is strongly preferredFamiliarity with product lines and the overall building contractor process is strongly preferredPast Experience in a production-measured environment, highly desiredValid drivers license, clean driving record, and own reliable vehicleExceptional verbal and written communication, interpersonal and public speaking skillsProfessional appearance and demeanorSuperior time-management and planning skillsExcellent attention to detail and organizational skillsPositive, team-oriented attitudeSelf MotivatedHigh school diploma or equivalent; college degree preferredStable job historyWillingness to travel within territory, as neededAutomobile and Insurances to company standards","As an Outside Sales Representative, you will receive paid sales training, which will include professional development sessions with veteran ABC sales representatives! You will also receive consistent product training, particularly when we adopt new products and product lines. You will find there is plenty of opportunity for growth as an Outside Sales Representative, both within the role itself, and possibilities in Management. We value your hard work and professional dedication as an Outside Sales Representative, and will reward you with an excellent compensation package. We have a very competitive commission-based pay structure, and you will continue to receive commission on your established accounts with every purchase they make. You will also receive a comprehensive benefits package!     Your benefits package as an Outside Sales Representative may include:$30,000+ base salaryHealth, dental, and vision coverageLife insurance401(k) and Roth(k)Flex spendingBonus opportunities$500 per month vehicle allowanceFuel allowanceExpense account2 weeks vacation after first full year of employmentPaid personal daysPaid holidaysCareer StabilityCompany cellular device (Smartphone) ",0,1,0,Full-time,,,Building Materials,Sales,0
4619,Part-Time Provisions Sourcing Associate,"US, NY, New York",Provisions,,"We're Food52, and we've created a groundbreaking and award-winning cooking site. We support, connect, and celebrate home cooks, and give them everything they need in one place.We have a top editorial, business, and engineering team. We're focused on using technology to find new and better ways to connect people around their specific food interests, and to offer them superb, highly curated information about food and cooking. We attract the most talented home cooks and contributors in the country; we also publish well-known professionals like Mario Batali, Gwyneth Paltrow, and Danny Meyer. And we have partnerships with Whole Foods Market and Random House.Food52 has been named the best food website by the James Beard Foundation and IACP, and has been featured in the New York Times, NPR, Pando Daily, TechCrunch, and on the Today Show.We're located in Chelsea, in New York City.","Do you obsess over great products -- both stylish and delicious? Are you the first among your friends to spot those handsome Swedish linens, or to know about the artisan jam maker selling small batches of marmalade from her house? Do you find yourself constantly patrolling sites like Provisions, Pinterest, Herriott Grace and Kaufmann Mercantile in search of the latest and best stuff for your table and kitchen?If your answer to these questions is ""yes,"" read on!We're #URL_5695048a8516ee1a0fdb81a0684858b368614ee7f7aa440adbc362edbdf84972#, where youll find everything you need to cook -- and live -- well. Were looking for a part-time star to join the team behind Provisions, our curated kitchen and home shop.This part-time role includes, among other things:Seeking out great artisanal products and makersCreating PowerPoint documents with potential products to launch in Provisions CollectionsManaging all Provisions collateral sent to PartnersOrganizing weekly product tastings and team reviewsUploading product and partner details to internal systems for lead managementTaking on ad hoc research and projects",You may be a good fit for this position if you:have a keen eye (and taste!) for great undiscovered products and beautiful tools and waresthink creatively and love to learn and share ideasenjoy collaboration and have excellent communication and problem-solving skills (Remember that bad customer experience you had last week? Youd never let that happen if you were in charge!)have a great sense of humor (i.e. think we're funny),,0,1,1,Part-time,Associate,,Online Media,,0
2345,Part-time Chef,"GB, HRT, Bushey",,,"My mummymade it is the service that delivers fresh, homemade baby and toddler food straight to your door, ready to enjoy on the day or freeze and reheat when needed. We make affordable homemade food for those busy parents who want to give the best to their baby, but do not have the time to cook from scratch.  The food is cooked to order and delivered on the day of cooking.My mummymade it now supplies meals to First Place Nursery Bushey too!!","We are seeking an enthusiastic, hard working person to join our fast growing company, based in Bushey, Hertfordshire. The successful candidate must have experience working in a busy kitchen and be able to prepare healthy and nutritious meals, suitable for children aged 3 months to 5 years.Working in a small team in our brand new commercial kitchen, you must have exceptional time management and organisational skills in order to meet delivery deadlines and adhere to our robust dietary requirements, HACCP processes, house rules and production procedures. You will be required to uphold our high standard of hygiene, which includes thorough cleaning to prevent cross contamination, concise and legible record keeping, together with effective food handling skills.The responsibilities also include: prepping, ordering of supplies, meal planning, clearing down, managing budget, training staff and enforcing health and safety regulations.","A valid Driving Licence is beneficial and candidates will be CRB checked, due to the kitchen being situated at a nursery.Initially, the candidate will be required to work Tuesdays 9:30am to 1pm, Wednesdays 9:30am to 4pm and Thursdays 9:30am to 4pm. We expect to be able to offer increased hours in line with demand.",,0,1,0,,,,,,0
10782,Completion Engineer,"US, TX, Houston",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil & Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","About the CompanyThis is an amazing job opportunity with one of the most robust companies in the Energy Industry! Opportunities for advancement are extensive as the company is currently growing and looking for outstanding employees to grow with it. Strong compensation and benefits packages are available for qualified candidates who want to join the largest player in the Bakken Shale and leader in the Oklahoma Shale plays. Contact us today for an opportunity to join one of the Industrys leaders in the mission to achieve American energy independence!Please note: This job will require relocation to Oklahoma City, OK.SummaryAssist in preparing completions, testing, and workover procedures. Maintain project management control over equipment installation, well completion, and workovers.Essential Job FunctionsMonitor completion operations, costs, and profitability.Design and implement completion and well workover plans and procedures.Generate and review AFEs for capital expenditures.Review expenditures for properties within a specified area.Analyze well problems and direct actions to be taken.Work well in a fast paced environment.Solve minor problems with little supervision.Consult with Completion Manager on higher risk and more complex problems and projects.Track costs and operational efficiency on a daily basis and report trends.Perform post completion appraisal and provide recommendations for performance improvement.Work with Completion Foremen to ensure safe and efficient operations.Support asset teams as requested.Assure compliance with governmental requirements and company policies.Provide training and resources to accomplish production goals.","Education:Bachelor of Science in Petroleum Engineering or a related field is required.Experience:Ten years of related experience or the equivalent combination of education and experience is required. Experience with Wellview is a plus.A valid state operators license is required.* Military experience is highly valued by the employer; candidates with military experience can expect some flexibility in terms of requirements, so long as fundamental skills and competencies are present.",,0,1,1,Full-time,,Bachelor's Degree,Oil & Energy,Engineering,0
6814,Product Manager,"US, VA, Richmond",,,"We're artists, thinkers, and doers in an open, collaborative, and challenging environment. We love to work our hearts out, but we also love beanbags, wasabi peas, and yoga. We hope you do, too.What do we do? We develop interactive training content and mobile-friendly software apps that empower and educate employees and customers from hot new startups to Fortune 50 companies.","Heres What We BelieveGreat software empowers users by making them more efficient, productive, and ultimately, happier. As multi-talented visionaries, Unboxed Product Managers facilitate the software development process from inception through deployment. They work closely with our clients to understand their business needs and create a vision for the final product. Then they lead a team of designers and engineers to determine the best product to build and the specific software logic and requirements necessary to bring the product to life.Heres Where You Come InAs an Unboxed Product Manager, the interactive development buck starts and stops with you. You own product evolution and manage stakeholder expectations. You inspire cross-functional teams to create fresh, simple, engaging solutions that deliver real business value. A master communicator and detail collector, you proactively coordinate phone, email, chat, and face-to-face conversations to keep all team members on the same page. You gladly roll up your sleeves to keep your team moving, whether that means wireframing a user interface, diagramming a database schema, conducting user research sessions, or making coffee for the engineers. Were looking for someone with:A solid understanding of client side, server side, database, and current web and mobile technologiesA passion for understanding business problems and finding engaging technology solutionsA troubleshooter mindset: we needs someone wholl jump in and do whatever it takes to get our products into users hands  Prior experience in a software Product Manager, Business Analyst, or similar role",,,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Product Management,0
12717,iOS Engineer,"CH, ZH, Zurich",,100000-120000,hello worldtalents23_ drives the change in digital recruitment and develops the best quality filters for the selection of top talents.,"Hands-on company builder which creates ventures that (have) become No.1 or No.2 in their respective markets looks for a top-notch iOS Engineer. You will work closely with our business, design and project teams to develop and ship new features and products. The translation of business requirements into innovative application architectures is the main pillar of your daily doing. That also includes design, build, test, monitor, maintain and improve high-performance, reusable and reliable code.Your role also includes:Code and peer reviews to ensure quality requirements; bug fixing if neededHelp maintain the organisation and quality of codeTrack in Jira and document in Confluence in order to scale processes and facilitate business growth","We are looking for a can-do personality with track record of building iOS apps that you are really proud of. While working hard and professional, we still like to have fun and keep things relaxed. We expect you to bring along:Degree in computer science or equivalent work experienceDeep understanding of Objective-C and Cocoa design patterns. Knowledge of other languages and platforms is a big plusExperience in agile processes, writing clean and elegant test-driven code, experience with Git and continuous integrationKnowledge of frameworks like CoreData and AFNetworkingDeep understanding of performance within iOS apps through analysis and tuningGood working knowledge of the general mobile landscape, architectures, trends and emerging technologiesKnowledge of low-level C-based libraries is a plusAwareness for a great user experience and knowledge of accessibility best practicesFluency in English. German language skills are a plus","Beware, we are a fun bunch",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Information Technology,0
2662,Frontend Developer,"PL, , Warsaw",,,,"We are a web development shop that helps entrepreneurs kick off tech startups and be successful. We combine lean startup principles with agile project management to deliver cutting edge web and mobile applications. Startups we've worked with raised $1.3M+ in funding and make 6-digit revenues monthly. We co-create PyWaw and Makerland. We are also engaged in Open Source and pro bono projects. We are looking for people who, like us, are motivated by true passion and whose talent and positive attitude will help us a develop a unique team.Currently we are looking for a Frontend developer that will:Transform PSD designs into responsive HTML/CSS templatesGuard cross-browser compatibilityWrite neat and well-organised codeTake part in the Scrum routine","You must have:Excellent knowledge of HTML5 and CSS3Experience with Adobe Creative SuiteGood JavaScript programming skillsGood knowledge of design and user experience principlesYou will score extra points for:Experience with HTML frameworks e.g. Twitter BootstrapFamiliarity with LINT, LESS, Modernizr, YSlow conceptsKnowledge of JavaScript frameworks e.g. Backbone, Angular or EmberGood English and self-management skills","You will get a chance to work with exceptional people on cool startupsYou will be able to continuously develop your skills Friendly work atmosphere, foosball and fun events with cooworkersInspiring presentations, motivational speeches and english wednesdays Salary: Junior 3-4 thousand. zł, middle-weight 4-7 thousand. zł, senior 7-10 thousand. zł ",0,1,1,Full-time,Not Applicable,Unspecified,Information Technology and Services,Other,0
10696,Elland Business Admin Apprenticeship Under NAS 16-18 Year Olds Only,"GB, LDS, Elland",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds only.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then you will be kept on in a permanent position.You will be working for concrete manufacturer and the role will involve:-Data inputting-Dealing with customer enquiries-Dealing with invoice queriesIdeal candidates will be polite and professional.If you are career-minded and motivated please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Administrative,0
9746,English Teacher Abroad ,"US, IN, South Bend",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
17761,Payroll Clerk,"US, DC, ",,53000-67000,"DRS Technologies, headquartered in Arlington, Virginia, U.S.A., is a leading supplier of integrated products, services and support to military forces, intelligence agencies and prime contractors worldwide. Focused on defense technology, the Company develops, manufactures and supports a broad range of systems for mission critical and military sustainment requirements, as well as homeland security. The Company has been recognized as one of the fastest growing defense technology companies in the world and holds leading market positions in thermal imaging devices, combat display workstations, electronic sensor systems, power systems, rugged computer systems, air combat training systems, mission recorders, deployable flight incident recorders, environmental control systems, telecommunication systems, aircraft loaders, military trailers and shelters, and integrated logistics and support services. ","DRS Technologies is looking for a Payroll Clerk. The Payroll Clerk reports directly to the Payroll Manager.The Payroll Processor  works in a team environment and is responsible for the complete payroll life cycle and various administrative duties  including  but not limited to : processing payroll for multiple clients;  setting up new clients;  entering new employee information;  entering timesheet data; cutting  checks;  general customer service; answering phones; filing, etc.  The payroll processor interfaces with our clients on a daily basis and will be responsible for insuring a positive customer experience. ","- Overtime may be required occasionally.- High volume work environment- Invoice processing- Accurate data entry skills- 1099 form processing- Software experience desired but not required (Excel, Oracle, QuickBooks)- Prepare Ad-Hoc reports to management with full analysis of data.- Payroll Tax Compliance and Reporting- Filing.- Additional duties as assigned.","Benifits include: Health, Dental, Life and AD&D Insurance, Employee Wellness and 401k #URL_c801649eeb4007728c8f41b2d6629d92c2295ff77e1f2d401d7696ce3569db63# Time Off and Holidays with Generous Company Discounts.",0,0,0,Full-time,Entry level,High School or equivalent,Electrical/Electronic Manufacturing,Financial Analyst,1
16966,Office Manager,"US, CA, Los Gatos",,30000-40000,,"The Los Gatos Chamber of Commerce is seeking an Office Manager.Manage day to day office operations of membership organization and town information center.  This includes answering calls, email and walk-in guests and assist, screen, and direct callers with information about the Chamber of Commerce and Los Gatos. Familiarity/knowledge with/of Los Gatos preferred.Contact, organize, manage, train, and mentor volunteers and student interns for events preparation, newsletter mailing and other office and event needs.  In addition, the position involves fundraising and special event management.Update the Los Gatos Chamber of Commerce website calendar and other pagesSet up and maintain online event reservation and payment siteUpload event photos and weekly email newsletter to website, and liaison with the webmasterPrepare and take reservations for Chamber events and networking and business eventsSet up event locations, greet and check-in guests and vendors, receive and process payments, thank guests on their way out, and take down above events.Involvement with all phases of Chamber eventsPrepares notices, agendas and minutes for meetings of the Board of DirectorsAssembles news, data and information to be prepared for reports and publications in support of the programs of the ChamberPublishes newsletters, brochures and collateral materials which market Los Gatos and promote a more knowledgeable business communityPay office bills, input daily financial transactions in QuickBooks, prepare for a monthly account closing by an external bookkeeper; Process payments from the purchases of Los Gatos merchandises. Enter, update, and maintain online membership database for new and existing Chamber members. Create and send invoices and follow-up notifications to members with late payments.","QuickbooksConstant ContactMicrosoft Office including Excel and PublisherDatabase managementMust be able to multi-taskExcellent phone skillsTeam playerAttention to detail Must be organized, friendly and customer service oriented.Applicant must possess and educational background with a minimum of an AA degree.  Ideal candidate should have experience in the following areas:  Membership Development, Fiscal Management including budgeting, budget management, reporting, audit, AP/AR oversight, resource development, fundraising and event management.  Strong computer skills, including experience with Microsoft Office.  Government Advocacy, City/Community based business/economic development strategies and principles.  Strong public relations skills in dealing with the community, general public and the media, including social media.  Online/Website management and Personnel/HR knowledge.  Chamber experience or non-profit experience preferred.","This is a professional, salaried position which is exempt from overtime pay requirements.  It requires work beyond the context of an eight-hour day without additional compensation. We offer paid vacations and sick leave.  Salary DOE.",0,1,0,Full-time,Associate,Bachelor's Degree,Nonprofit Organization Management,Administrative,0
3103,Civic Liaison,"US, WA, Spokane",Board of Directors,,"Multi-Tiered Animal Rescue & Education Solution dedicated to saving as many animals as possible!A new all species no kill rescue serving the Spokane/CDA vicinity by providing aid through Direct Action, Community Support, Education Opportunities, & Animal Advocacy! Specializing in all areas of animal and human conflict resolution, Animal Nature Sanctuary, offers real and lasting solutions for humans and animals that are timely, relevant, and cost-effective developed through years of research and public inquiry potentially saving more than 800,000 shelter pets from euthanasia while sterilizing another 350,000 feral animals and creating 2,500 new jobs, protecting thousands of endangered species as well as their habitat.Animal Nature Sanctuary was created because even with current efforts from shelters and rescuers (some to their own ruin in health and finance) too many animals die needlessly from euthanasia, experimentation, loss of habitat/environmental devastation, inability of owners to pay for costly medical treatment or to buy food; the causes millions & millions of deaths. We need to evolve protection ventures to match todays needs.Without asking what concerns people face, priorities they have, & information they need how can we construct innovative & effective solutions? How can we gage public need & help others achieve?If people arent doing well animals will never be safe! Our fingers must keep the pulse of small communities, national concerns, & most of all family requirements!Even though Animal Nature Sanctuary is dedicated to saving animals our projects are designed to support many other concerns like Human Trafficking & Domestic Violence since we will be raising public ability to combat all forms of cruelty & abuse but this will not happen until the citizens are given the knowledge, tools, and desire to implement that change.People that are educated, equipped, & inspired re-invent the world! Animal Nature Sanctuary effects change in many areas of concern  animal welfare, animal rights, community health, hygiene, and safety, all levels of education, environment protection, and legislation by acting as a community resource team, animal advocacy group, health and safety educators, and more.The only way to actually eliminate the problem, or at least make it honestly manageable, is to change society. This will not happen until the citizens are given the knowledge, tools, and desire to implement that change.This is exactly what Animal Nature Sanctuary intends to do!Animal Nature Sanctuary will constantly evaluate technologies, educational sources, and new methods of communication, add new choices to our flexible and worthwhile projects, as well as combine new and existing methods of social interaction/trends. The partnerships of these aspects, which work together, give a singular voice - through multiple media venues - to urgent issues! Thusly an innovative, evolving, and legitimate solution to each issue can be formulated and used.Animal Nature Sanctuary will educate, prepare, and call to action every Humane Being in personal and professional efforts to permanently resolve terrible crises we face today!Every community deserves to be successful! Animal Nature Sanctuary will directly and indirectly aid in community growth, job creation, and raise the health, safety, and cleanliness in every area.Education –• Animal Reference Library• Classes for individuals and professionals• Education Center• Radio Shows & Experts• Expert ExposAdvocacy –• Report Current Laws• Report Current Statistics• Promote Personal Awareness• Promote Personal Research• List Current ConflictsDirect Action –• Rescue and Rehab• All Species Sanctuary• Financial Sponsorship• Community Events• Clubs and Groups EffortsSupport –• Pet Food Bank• Homeless, Youth, and Hospice Programs• Grants• Scholarships• Internships","The Civic Liaison is responsible for managing Animal Nature Sanctuarys reputation through understanding and support for the public as well as to influence opinion and behavior using all forms of media and communication to build, maintain and manage public relations.Civic Liaison  Attend Monthly board meetings in person or by video conference.Coming up with PR strategiesBuilding relationships with the mediaWriting press releases and calling around whenever youre trying to generate publicityWriting reports on media coverage dataOverseeing content production (adverts, videos, social media and online PR stunts)Arranging press conferences and eventsOverseeing market researchBringing in new business opportunitiesManaging client relationships and company reputationOverseeing social media PR strategiesplanning, developing and implementing PR strategies;·         liaising with colleagues and key spokespeople;·         liaising with and answering enquiries from media, individuals and other organizations·         researching, writing and distributing press releases to targeted media;·         collating and analyzing media coverage·         writing and editing in-house magazines, case studies, speeches, articles and annual reports;·         preparing and supervising the production of publicity brochures, handouts, direct mail leaflets, promotional videos, photographs, films and multimedia programs;·         devising and coordinating photo opportunities;·         organizing events including press conferences, exhibitions, open days and press tours;·         maintaining and updating information on the organizations website;·         sourcing and managing speaking and sponsorship opportunities;·         commissioning market research;·         fostering community relations through events and involvement in community initiatives;·         Managing the PR aspect of a potential crisis situation.","SEO, Social Media, Promotion, Marketing, ",,1,1,1,,,,,,0
1567,Junior .NET Developer (C#),"IE, , Dublin",Application Development,,"ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Barcelona, Dhaka and San Salvador. Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.","Junior .NET Developer (C#)Location: DublinSo what is this role exactly?You will join an existing team of programmers and be responsible for integrating new mobile operators and other billing systems with ezetop's platform. You will be working on several integrations at the same time and dealing with other integration / technical teams around the world, working with many technologies including .Net, SOAP, and Sockets.This is a great opportunity to join a fast growing and innovative technical team. Communication, flexibility and a desire to learn are essential as well as the ability to work on multiple projects at once whilst maintaining attention to detail.Who are we?As the winners of the Deloitte Technology Fast 50 for the last two years we have been repeatedly recognised as the most innovative and rapidly expanding Irish technology company. Established in 2006 ezetop is the world's largest provider of international mobile phone top-up and long distance calling. ezetop provides an important service connecting friends and families around the world and is currently partnered with over 300 mobile operator partners and 450,000+ retail locations covering over 100 countries, as well as an increasing online presence.                                                              ","Hang on…….a few more things before you click applyNeedless to say there are a few specific requirements, so just make sure you tick the boxes below. Once done, we look forward to receiving your application. 3rd level qualification (computing / programming related preferable)Desire to learn and use the latest Microsoft technologiesExperience working with Microsoft technologies (.NET framework, C#, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#, Web Services, XML, SOAP and Socket programming all advantageous)Familiarity with Visual Studio .NET environmentFamiliarity with Networking concepts (VPN, HTTP, HTTPS, TCP/IP …)Attention to detail and the ability to handle multiple projects at onceFluent English, both spoken and writtenStrong written and verbal communication skillsOther Desirable Skills:Ability to prioritize own workload and work to deadlinesA strong analytical and process driven approachExcellent troubleshooting and problem-solving skillsOne years programming experienceAn additional language would also be an advantage but is not essential",,0,1,1,Full-time,Entry level,,Telecommunications,Information Technology,0
2538,Systems Analyst,"US, WA, Bellevue",Technology,,"Changing the face of specialty and fine chemical marketing, sales, and distribution using an agile approach to technology and a fresh perspective to create genuine partnerships with customers and suppliers.","We are an established and progressive chemical distribution company looking to find an experienced Systems Analyst to join our Online Experience team in building and managing innovative, high-quality, and high-performance web applications. This candidate will be experienced in providing functional and application support and analysis for web-focused applications.The System Analyst will work closely with technology and business teams with responsibilities in the planning, testing, delivery, support, and analysis of technology solutions. This project work may involve interaction with the customers and internal stackholders during the project life cycle. This is an excellent opportunity for a quality systems analyst to join a collaborative, agile team and to be challenged to grow and help lead the future of our business. The focus of this role will be to help drive ChemPoint's customer and partner-focused applications, including #URL_96a398d4691a830e1860a0254cf78cbd5fec7189c13db4698cfebe3e4c1a8524# and a number of new projects.RequirementsWork with product owners, designers and engineers to support high-visibility customer and partner web applications.Determines operational objectives by analyzing business functions and processes, collecting requirements, evaluating output requirementsEvaluates potential technical and process-based solutions, makes recommendations, and assists with financial justifications and ROI analysisContributes to new application design and enhancements by analyzing requirements, constructing workflow charts and diagrams, determining system capabilities, assists with writing technical specificationsBuilds testing plans, scenarios, and scripts to support QA efforts; actively participates in unit and system tests while coordinates user acceptance testingDevelops training materials and provides training using multiple methods (e.g., instructor-led, webinars, one-on-one, etc.)Works closely with system architects and developers to ensure requirements are satisfied and act as liaison to the business to address any challenges or required modificationsImplements application-based configuration to support system functionality or modificationsDevelops queries and user reports using available toolsProvides end-user application support for all related web applications. This includes troubleshooting errors, coordinating with vendors, managing support tickets, and testing solutions.","3 to 5 years experience implementing, testing, and supporting internal and external web applications and related systemsDeep analytical skills, strong interpersonal/communication skills, strong customer service orientation Excellent experience in data analysis, designing reports, and communicating findings to managementMust possess requirements gathering and technical documentation skillsSolid knowledge of object oriented programming, C#, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# MVCProficient in SQL developmentFamiliarity with HTML, CSS, and JavaScriptExperience with Agile/Scrum methodologiesExperience with ERP, CRM, CMS, E-Commerce, SharePoint (or other collaboration/documentation system) and other related applications is highly desirableProficient in the use of Microsoft Office products including Word, Excel, PowerPoint, and Visio","ChemPoint offers an attractive compensation and a complete benefits package including:Medical, Dental, Vision, and Prescription Drug coveragePaid Holiday, Vacation, and Sick LeaveAutomatic enrollment and immediate company contributions to 401(k) VIPLong-Term Disability & Short-Term DisabilityEmployee Assistance PlanLife InsuranceStay-Fit ProgramFlexible Spending AccountOur Company#URL_96a398d4691a830e1860a0254cf78cbd5fec7189c13db4698cfebe3e4c1a8524# is a successful, aggressively-growing, privately-owned business. Founded in 1999, ChemPoint has firmly established itself in the industry with our unique approach to chemical sales that combines the most efficient business processes with personal, one-to-one sales relationships. Our customer-focused team values emphasize and incorporate Information Technology into our cutting-edge sales strategy. ChemPoint offers a workplace where individual employees make a difference, change is welcomed, and personal growth is a way of life.",0,1,1,Full-time,Associate,Bachelor's Degree,Chemicals,Information Technology,0
12735,Field Operations Specialist,"US, MN, Mankato",,,"Working at FBNAt FBN, we put farmers first. For every decision we make, we consider its impact on farmers big and small. Only after this has been considered will we consider the impact of our decisions on FBN, followed by our fellow team members, and finally ourselves. Before making a decision, we listen to farmers and learn about how implementing it will impact them.While making a decision or working on a project, we prefer working in small teams to make sure our employees feel empowered and own their decisions. Finally, after our decisions are made, we communicate those decisions to our farmers and to the rest of the team.FBN employees are a diverse group with a common passion for agriculture. We respect humility, value honesty, and despise entitlement. We see farmers as hackers and entrepreneurs, able to fix things with duct tape and baling wire while predicting the weather and analysing their financial position on the commodities market. Just like farmers, we believe that if something is broken, its better to fix it than to complain about it.All FBN employees, regardless of the position, will spend meaningful time visiting farms, to meet with some of our founding and network farmers in order to discuss their equipment and data collection practices.As a Silicon Valley based company with a Midwestern culture, we believe in the power of getting things done, and understand that creativity is fostered by trust and the ability to focus. As a result, meetings are avoided and we respect everyones right to at least one day a week without meetings. Thursdays are considered “no meeting days.”Finally, there are no shrinking violets here. The team consists of many people with deep experience in agriculture, technology, data science, machine learning, physics among other areas. So be prepared to offer opinions!Interviewing at FBNOur interview panels are as diverse as our employees. You may be expected to interview with an agronomist, a physicist, a data scientist, and because of our strong focus on farmers, we expect every FBN candidate to interview with one of our founding farmers, advisory board members or network members. As a result, our interviews will take you out of your comfort zone. Be prepared to be asked to solve hard problems that may seem daunting, to be asked questions that will test your curiosity, and to meet really awesome people.If FBN sounds like a place where you would like to work and if you're excited about making a difference in the lives of thousands of farmers, take a look at our current openings.","Farmers Business Network (“FBN”) is an advanced information and decision support system serving farmers and their trusted advisors. Farmers Business Network gathers disparate data from its farmer Members, analyzes the data, and converts it into new insights and perspectives, previously unavailable, that can help farmers make better decisions, manage risk, and ultimately increase the profitability of their farming operations.  We're seeking a full-time, Minnesota based, Field Operations Specialist. Field Operations Specialists are the front-line of our company, bringing our vision and services to farmers and ensuring they have a stellar experience with FBN. This job will cover a region including a large portion of southern Minnesota.  Some travel will be required will be required within that geography.","Things we look forHonesty, integrity and a passion for agriculture and deep respect for farmers.Everything we do is focused on understanding the needs of farmers and empowering them, we measure our success directly by their successStrong communication and interpersonal skillsGood planning and organizational skillsHighly motivated and the ability to work independently, as well as with team members.Solid experience/knowledge of farming/agricultural industryAbility to work in a complex and constantly changing environment.Ability to learn and be proficient in operating new software systems.Driving is required, as is a good driving historyThings you'll doProactively identify, and recruit high potential farmer and professional crop consultants as FBN Members.Cultivate relationships with farmers and other stakeholders (e.g., professional crop consultants).Participate in all training provided by FBN and make efforts to learn different farming systems.Communicate with farmers or professional crop consultants in person, by phone or by email, as appropriate.Organize and conduct farmer meetings to recruit members Document and report suggestions from our members to improve FBN.Some travel within your region will be required.Some travel to FBN office locations in Iowa and California will be required at times.Use software provided by FBN to document all customer interactions.",Competitive compensation based on experienceHealth insuranceStock optionsCompany VehicleFarm visits!,0,1,0,Full-time,Director,Bachelor's Degree,Farming,Customer Service,0
6559,Account Executive,"US, NY, New York City",Sales ,,,Digital Media Account Executive Job opportunity in New York - USAJob Description- Job Type: Full-Time Permanent position- Job Role: Account Executive- Industry: Marketing & Advertising- Job Location: New York,"- Job Experience: 3 - 10 Years- Eligibility:   * EAD / Green Card Holders / US Citizens, currently residing in US only  * Visa candidates will not be considered- Education: Bachelor's Degree- Major Skill-sets:  * 3+ yrs of experience in Digital Media Business Development / Digital Media Sales / Digital Marketing  * Must have contacts with large Media companies and Digital Publishers   * Strong knowledge with Internet Advertising Models, Ad Optimization, Audience Trends and Technologies  * Have a clear understanding of the Online Advertising Industry  * Proficient in Microsoft Office Products and CRM platforms, like #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86#  * Excellent communication and presentation skills  * Able to negotiate and close a deal skillfully",- Salary: Competitive Pay Package with Bonus and exciting Benefits!,0,0,1,Full-time,Associate,Bachelor's Degree,Staffing and Recruiting,Sales,0
8772,Contract Administrator,"AU, WA, Perth",,80000-95000,,"My client is recognised as one of Perth's best residential builders with a large portfolio and range of projects. They are award winners across all areas of residential building. Recent growth and internal re-organisation has led to this new opening. As an employer of choice, this role will be in high demand.The RoleThis is a site based role, SOR, working on a large unit development. The responsibilities of the Contracts Administrator include:Managing all contracts and sub-contracts and authorising payments to subcontractors/suppliers etcRaising all PO's etc as requiredPreparing progress reports for management regarding both budget and timeLiaising directly with both the design and construction teamsA commitment to drive our processes, procedures and culture",The CandidateTo be successful in this role you will have:the ability to read plans and do take offsa high level of familiarity with residential construction and associated contracts in the Perth marketa passion for building and the drive to succeeda relevant trade qualification or tertiary backgrounda track record of successful involvement with high value residential projectsa demonstrable ability to problem solvean excellent understanding of technical specificationsexperience with Australian standards and the local marketExperience with scheduling will be highly regarded,The RewardsA highly competitive salary package is on offer for the successful applicant that will reflect experience and the importance of this role. APPLY NOW or call Graeme on 1300 522254 for a confidential chat.,0,0,0,Full-time,Mid-Senior level,Vocational - HS Diploma,Construction,Administrative,0
6022,Social Media/SEO Professionals,"US, , ",,,,"FTC Global is an International Business Group and Venture Incubator with presence across Asia, Europe and Americas (#URL_5d52a68850d31c5f6c943562a74926788616e80dc3c52d19690c65018af10129#). FTC is launching new consumer, retail and e-commerce businesses in 2014. We are looking for candidates in United States for the following positions:  Social Media/SEO ProfessionalsCandidates should:Be based in / entitled to work in United States: New York/Los Angeles/San FranciscoBe a graduate from a leading university.Have 2-3+ years direct experienceOpen to “White Hat” SEO practitioners",,,1,0,0,Full-time,Associate,Bachelor's Degree,Internet,Marketing,0
3119,"International Broadcaster, Burmese Service ","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.",The International Broadcaster shall properly complete all daily tasks. These daily tasks include but are not limited to: preparing for assignments (fails not more than once per week); revising video packages (fails not more than twice per week); correcting production errors in stories (fails not more than twice per week); properly creating line-ups and logs (fails not more than once per week).,"The International Broadcaster shall have at least five (5) years of current experience. The International Broadcaster shall perform a combination of services that will include researching, interviewing, writing and voicing original news stories and reports. The International Broadcaster shall have the ability to adapt material for radio, television and/or internet about events in the target region, the U.S. and other countries. The individual shall produce and edit reports using compatible digital technology and shall be able to record and process interviews by phone, live face-to-face or in the field. The individual shall have extensive knowledge of the target region, its people, culture, institutions and political situation, and the ability to adapt English material to the target language and adapt the target language to English. The International Broadcaster shall possess and employ basic multimedia skills as required in the completion of each assignment, including the ability to take still photographs and record video via smartphone during field reporting assignments, and to upload photos and videos as needed to agency-based file servers. He or she shall assess different information to validate the comprehensiveness, accuracy and balance of news products, and shall demonstrate high standards of journalism and news experience. He or she shall have the ability to function as news announcer on live or pre-recorded news bulletins or newscasts; for video assignments the International Broadcaster shall wear attire suitable for the assignment. The International Broadcaster shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies. Knowledge of Burmese language required. ",,0,1,0,,,,,,0
2706,Sales Representative - UK / US,"PL, MZ, Warsaw",Sales,,,"Positionly Sp. z o.o. is a company that provides the highest quality SEO software for 2000+ companies worldwide. Our product offers simple and accurate insights on SEO campaigns performance combined with the smoothest user-#URL_989a62eb5f13943ca5d8e5bab71f64b6866e106b2294419f56fff8b8e31d49a0# development of the company is supported by number of venture capital firms from Germany and Poland.To our rapidly growing company we are currently looking for a young, ambitious and hard working sales person who already have experience in the field of sales and customer support. Were looking for someone who has a consultative sales approach, a successful track record growing inside sales and polished presentation skills. If you fit to this profile let us know, we want you in our team!What will be your responsibilities:Following up and assisting trialling customers to adopt the serviceNegotiating where required to win customersAnswering customer's questions about the product itselfDeveloping and improving sales strategiesAcquiring new customers and maintaining good relationships with existing onesOngoing co-operation with other team members","Fluent in English (native/bilingual speakers preferred)A pro-active, knowledge seekingAble to manage multiple deals on a monthly cycleExperience in sales and customer service in the IT industry (or similar)Ability to establish contacts and build long term relationships with customersKnowledge of any CRM system, preferably Salesforce or Base.High level of interpersonal skillsAbility to communicate technical issues in a short and concise manner","Attractive salary, depending on your experienceBonuses based on your performanceWell equipped workplace Playroom with arcade gamesKitchen full of free snacks and drinksUnique start-up atmosphereA chance to grow an interesting international projectPrivate medical careMultisport card",0,1,1,Full-time,Mid-Senior level,,Computer Software,Sales,0
60,Product Visual Designer,"US, CA, San Francisco",,,"From the lands of Can Do, Roll Our Sleeves Up and Get It Done, we create and solve things previously unimaginable. Were revolutionizing the IT industry with a platform that provides unprecedented control over all service layers in an organization. We also transform the way people experience enterprise software — theyll accomplish more each day and enjoy using our platform as part of their daily lives. This sounds massively ambitious and tough because it is. Were a small team that doesnt shy away from hard problems and we need more big thinkers and self-starters like you. If you want to be at the forefront of innovation, join us at Apcera and shine.","Apcera is revolutionizing enterprise technology and the experiences around it. Continuum by Apcera is an innovative platform that solves some of ITs toughest challenges in a user-friendly way. Our platform lets people apply policy to ensure that what should happen, will happen. We make technology smarter so that people can spend less time on maintenance and more time on making new things.We're seeking an experienced Visual Designer who will work closely with many members of our team in order to create compelling and efficient visual designs for the Continuum product.","RESPONSIBILITIES:Work with the UX architect's prototype to generate the list of assets required for each screen.Work with marketing and branding guidelines to ensure consistent visual language is used throughout the companys product materials.Create the final visual design using appropriate graphic editing tools (e.g., Illustrator, Photoshop).Deliver the final visual design to developers to implement, including color scheme, detailed layout, font choices and iconography.SKILLS:Excellent interpersonal and communication skills to work within an agile product development team.Great visual design understanding for proportion, balance, use of color, and visual flow.Expertise with visual design tools for creating icons, gradients, toolbars, site graphics, etc.Skill with diagramming tools (e.g., Visio, OmniGraffle) to create diagrams for presentations and marketing materials is a plus.REQUIRED EXPERIENCE:4+ years doing visual design of software products, specifically as part of a software development team.Excellent demonstrable portfolio of visual design for web applications, icons, diagrams, etc.Formal training in art or design is a plus","Competitive salary & equityGreat location in the heart of SOMA SFFlexible vacation policy Free weekly gourmet lunches100% premium-paid medical, dental, vision, and life insurance Endless snacks and beverages of your choiceWorkstation setup of your choice Team and family events and excursionsGame room",0,1,1,Full-time,Not Applicable,Unspecified,Information Technology and Services,Design,0
5696,Polish Speaking Customer Support Representative,"GR, I, Athens",Reservations,,"#URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7# is a modern online travel agency that was founded in Athens in 2005. Today, our company is one of the leading online travel agencies in Greece with presence in over 25 countries in Europe, Asia and America; offices in Athens, Bucharest and Sao Paulo.","As a Polish Speaking Customer Support Representative, you will join our Customer Support Department, and will be responsible for handling flight reservations of the Polish market. You will be responsible for providing guidance and assistance to our customers via phone or e-mail in any inquiries they might have regarding their reservation. The right candidate should be able to ensure that all of our customers will have a pleasant and smooth booking experience.Responsibilities-       Handling inbound calls and replying to e-mails concerning flight tickets and hotel reservations in Polish Language.-       Processing online reservations, issuing tickets and handling booking changes and cancellations.","Required Skills:-       Native speaker of Polish Language.-       Excellent command of English.-       Advance knowledge of PC (MS Office, Internet).-       Strong team working and interpersonal skills.-       Strong communication skills.-       Passionate about Customer Care. Desired Skills:-       Degree in undergraduate studies, preferably in Tourism.-       Knowledge of Air ticket reservation system/software will be considered a valuable asset.-       Minimum 1 year experience in a call center.","-       Attractive Remuneration Package.-       Work in an international, dynamic and fun atmosphere among young and smart people-       Huge learning experience in using best practices and cutting-edge technologies-       Challenging engineering projects in an interesting and complex travel industry.",0,1,1,,,,,,0
11354,"Delivery Driver - Miami, FL","US, FL, Miami",Operations,,"Shyp is the easiest way to send anything, anywhere.Here at Shyp, were building the #1 brand consumers and small businesses trust for shipping their packages, and in the process fundamentally disrupting a 220 year old #URL_5e3cdedac8b912c62b6523c7af01360e03d0cb98631134035b2ddbaecdee14dd#re building a customer-centric organization that encourages customer service to permeate the decisions and attitudes of the entire company. Our culture is collaborative, focused and fast-paced. We have an incredible team and are well funded by some amazing investors. Come join us.","Shyp is in search of smart, friendly, safety-conscious women and men to operate company vehicles and provide courteous and efficient pick-up of items; to check items for conformance to Shyp features of service; to provide coverage for all assigned routes within the service area; and to provide related customer service functions.Duties:Provides efficient and safe pick up of packages and documents, while representing the company in a professional manner.Operates non-articulated vehicles safely and efficiently, complying with all governmental and corporate procedures.Accomplishes accurate and timely selection and inspection of assigned items and the subsequent reporting of stop counts and missing items. Scans items according to prescribed procedures; demonstrates proficiency in features of service and equipment.Meets aircraft and transports packages as required for sorting operations.Loads and unloads aircraft, containers and company vehicles; operates mechanized ramp equipment to load and unload packages.Cleans, washes and performs minor maintenance to company vehicles as necessary, maintains neat and clean personal appearance to uphold Shyp's public image.","High school diploma or equivalent educationMust be at least 19 years old and have a minimum of two years of driving experienceValid and current driver's licenseValid and current proof of insurance (even if the courier is only driving the company vehicle)Ability to comply with any specialized regulatory or licensing requirements, as determined by geographic location and/or work assignment; Shyp will communicate any specialized regulatory or licensing requirements during the hiring process. Must attain satisfactory completion of specialized training regarding transportation of goods with special handling requirements including, but not limited to, dry ice, clinical samples and/or medical products.Must be able to utilize an iOS in quick and efficient manner.For new hires, must meet all Shyp employment qualifications at time of hiring, including successful passing of background checkESSENTIAL FUNCTIONS:Ability to stand during entire shift, excluding meal and rest periodsAbility to move and lift 75 pounds and maneuver packages of any weight above 75 lbs with appropriate equipment and/or assistance from another personAbility, on a consistent basis, to:bend/twist at the waist and kneescommunicate effectively with customers, vendors, and other team membersperform work activities requiring cooperation and instructionfunction in a fast-paced environment, under substantial pressuremaintain attention and concentration for extended periods of timework with minimal supervisionreport regularly to work and maintain established business hours in order to support the Shyp business; regular attendance and/or reporting could include regular attendance at a physical location and/or maintaining established business hours depending on the scope and nature of the position","Be a part of a fun, friendly cultureOpportunity to play a role in shaping a world-class operations teamWork with an incredible fleet that never settles in their pursuit of excellenceMedical, dental and vision  Shyp pays 85%75% of a Gym membership  up to $100",0,1,1,Full-time,Not Applicable,High School or equivalent,Logistics and Supply Chain,,0
14023,Senior Digital Account Manager,"GR, I, Acharnai, Athens",Client Service,,"Mindworks interactive is one of the fastest growing Digital Agencies and the leading Performance Marketing Agency in Greece.It consists of 62 employees with vast expertise in Client Service, Creative work (Web Design, Animation, Copywriting, Concept, Word of Mouth etc) and Performance Marketing (SEO, Paid Search, Usability, Metrics, Social Media).Client list includes Greece's most important advertisers like Vodafone, Aegean Airlines, Mondelez Greece, Coca-Cola Greece, SCA Greece, L'Oreal Hellas, Folli Follie, Disney Greece, Heineken, AMSTEL, #URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7#, Greek Yellow Pages, Μoustakas Toys, Skroutz, and many others.By joining Mindworks you will have the opportunity to work on unique interactive projects including digital campaigns, search & performance marketing, Social Media management, websites, portals & e-shops, social & mobile applications, and manage some of the largest clients in the Greek market.#URL_d2808425ebab24174ad6749af638b120145adcba7c2b722e5b6e87b43e969a0c#","Mindworks is looking for a Senior Digital Account Manager (with very good project management skills) to join its Client Service Department.A talented Account Manager with a passion for digital marketing who is looking to manage teams & deliver amazing work to our clients. Must have good organizational skills, participation in a wide range of digital projects and passion for challenges. Also, a 2 years minimum of experience in an interactive/web project & account management role is required (preferably on a digital agency).By joining Mindworks - one of the fastest growing digital agencies in Greece with many years of experience and awards - you will have the opportunity to work on unique interactive projects including campaign sites, portals & e-shops, social & mobile applications, and manage some of the largest clients in the Greek market.","Client Management & CommunicationManage day-to-day client interaction.Set and manage client expectations.Communicate effectively with clients to identify needs and evaluate alternative business solutions.Continually seeks opportunities to increase customer satisfaction and deepen client relationships.Facilitates team and client meetings effectively.Deliver engaging, informative, well-organized presentations.Project ManagementBe able to create and execute project work plans and revise as appropriate to meet changing needs and requirements.Be able to create a comprehensive creative briefManage day-to-day operational aspects of a project and scope.Review deliverables prepared by team before passing to client.Track and report team hours and expenses on a weekly basis.Determine appropriate revenue recognition, ensure timely and accurate invoicing, and monitor receivables for project.Identify business development and ""add-on"" sales opportunities as they relate to a specific project.Technical UnderstandingPossess general understanding in the areas of web design, HTML (web authoring) & web development and how all areas are integrated in order to deliver a web/mobile project.Understanding of wireframes and flowcharts.Possess a thorough understanding of our capabilities in terms of strategy & planning, design, search & social marketing and development.Maintain awareness of new and emerging technologies and the potential application on client engagements.Personal SkillsInspire co-workers to attain goals and pursue excellence.Motivate team to work together in the most efficient manner.Keep track of lessons learned and share those lessons with team members.Be able to work in a fast-paced environment, multiple projects and project teams.Be able to effectively manage teams of 3-4 people (designers, copywriters, analysts, developers).","Why Work for MindworksWe dream big. Together, we make great things happen. We like to create cool stuff and provide real value both to our clients and employees. We also grow big. What started as a 5 people company back in 2005 now has 45 people and an annual growth rate of more than 30%We believe in people. We aim to provide the best possible services to our clients and we expect this from our CEO down to our secretary. That's why we expect self motivated people who underpromise & overdeliverWe pay well & fair. Our salaries are above market rates but we maintain a fun, supportive, laid-back work environment. Sometimes we put in long hours to accomplish our goals, but we pride ourselves on working smart—not putting in 80-hour work weeks. We also know you have a life outside of the office, so we’re generous with vacation, flex time, and holidays.CompensationWe offer competitive salaries based on skills & experience. We also provide:In-house training & educationPaid training or attendance to conferences and eventsGym facilities on our office buildingMedical Care in cooperation with Metropolitan HospitalComplimentary food or gas tickets (Ticket Restaurant)Group activities & free nights out About MindworksMindworks interactive is one of the fastest growing Digital Agencies and the leading Performance Marketing Agency in Greece.It consists of 45 employees with vast expertise in Client Service, Creative work (Web Design, Animation, Copywriting, Concept, Word of Mouth etc) and Performance Marketing (SEO, Paid Search, Usability, Metrics, Social Media).Client list includes Greece's most important advertisers like Vodafone, Aegean Airlines, Kraft Foods, #URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7#, National Bank of Greece, SCA, Greek Yellow Pages, Folli Follie, Skroutz, Disney, L'Oreal, Goodys, #URL_729029beb02d93e865af645231b16b818305f6b756fc9147286c434a9bf30f07#, and many others.",0,1,1,,,,,,0
3001,Executive Recruiter 360 Degree Desk,"CA, ON, Toronto",,,"MMR Inc is one of Canadas highest accomplished recruiting firms today. Our team of consultants find all management and executives who best fit your business and share your passion for growth and success. We work closely with you to understand your companys requirements, culture and business strategies to develop a high-level candidate profile and source-action plan to find superior, top-qualified candidates in less time. Our success is built on complete confidentiality, comprehensive service and a commitment to client relationships. Our team delivers the strongest talent to every organization we work with- from small independent businesses to major corporations. We work hard to give our clients specialized, industry focused, full staffing services and HR solutions. Most of all, we proactively seek better employment strategies to help ensure both our clients and the candidates recruiting success.","Careers With UsWe are passionate about finding Jobs for talented people. See why this Career Path could be right for you!Your Future Begins NowAt Modeste Management Recruiting, were always looking for highly motivated, energetic and talented individuals to join our team.The MMR team enjoys a sense of pride in our and our clients are delighted with our personable, knowledgeable andhighly professional staff. We demonstrate a commitment to excellence while maintaining a safe and professional environment; its the MMR way!We recognize that our employees are our most valuable assets and the success of MMR is a direct testimonial to theircontribution and interaction every day. As a managed-service provider MMR strives to provide exceptional services to ourclients and an enjoyable place to work where team members are given entrepreneurial opportunities to learn and grow.“Putting people first” means opportunities that are second to none. If you have the talents and the drive to play a significant role in helping our clients and our company succeed Modeste Management could be the start, or the next step of a highly rewarding career.ResponsibilitiesListen to the client and understand their precise demands in terms of candidate skill setImplement search using internal (database) and external (internet) methodsConduct candidate interviews at application or registry stageActively search for new candidatesActively seek to grow executive search company business by partnering new clients","This role is ideally suited to individuals who like to work in a fast paced environment, are outgoing, and are serious about building their career. You will have excellent communication skills, show a relentless desire to succeed, and display a talent for getting the job done to meet clients needs.Desired Skills & Experience:· 2-5 years recruiting experience in the staffing industry;· A track record of demonstrated recruiting success;· Ability to build long-term and trusting business relationships· Excellent communication and problem-solving skills;· Team-oriented;",,0,1,0,,Associate,Some College Coursework Completed,Staffing and Recruiting,,0
13177,Cleared Program Manager,"US, DC, Washington",,,"Riverside is an internationally recognized engineering, science, and information technology company with more than 25 years experience providing innovative information technology solutions for environmental decision support systems, using a variety of different environmental data sources, from satellite sensors and imagery to stream gauges in local rivers.RIVERSIDE QUICK FACTSFounded in 1985Corporate headquarters in Fort Collins, ColoradoCompleted over 1,200 projects in more than 30 countriesNCBR Mercury 100 List for “Fastest Growing Companies Over $2 Million”SBA “Small Business of the Year Award” recipientNCBR 2011 “Environmental Entrepreneur Award” recipientRiverside has successfully implemented more than 1,200 projects in over 30 countries, and maintains a strong worldwide customer base, including U.S. government agencies such as USAID and USTDA, and international donor organizations such as the World Bank, Asian Development Bank, and FAO.Since 1985, Riverside has built relationships and partnerships with a variety of clients including the U.S. Geological Survey (USGS), the National Oceanic and Atmospheric Administration (NOAA), the U.S. Department of Agriculture (USDA), and the Colorado Water Conservation Board (CWCB) — providing products, services, and solutions meeting their long-term needs.","COMPANY DESCRIPTIONRiverside Technology, inc. (Riverside), a leading international engineering and consulting firm providing scientific analysis, scientific applications development, space systems engineering and GIS services to Federal agencies, international clients and state governments, is seeking cleared Program Manager candidates with U.S. Air Force or Department of Defense (DOD) experience for upcoming opportunities through our recent GSA OASIS Small Business (SB) contract. JOB DESCRIPTIONThe Program Manager will work independently and as part of a collaborative team to provide technical expertise and leadership on Riverside projects and programs. These programs are anticipated to primarily support the USAF as the OASIS SB contract core tenant, but other potential DOD customers such as the Naval Research Laboratory (NRL) are anticipated. OASIS SB contracts will support Advisory & Assistance Services (A&AS) across a range of locations, projects, and with a variety of Riverside Team subcontractors.  The successful candidate must have a willingness and ability to resolve potentially conflicting priorities to maintain a positive relationship among Riverside, our team partners, and our clients. Candidates may be located anywhere as opportunities through this new contract will not be limited to the Washington, DC area.Primary Duties: Manage all aspects of projects / programs, including contract performance, budgets and milestones, and client relations and expectationsProvide technical leadership and performance management of Riverside staff assigned to project / programsIdentify applied research opportunities in the hydrologic sciences and provide leadership in capturing and conducting applied research to inspire organizational growth beyond existing capacitiesIdentify and capture new business opportunities for Riverside within areas of expertiseContribute to marketing and proposal development","Masters degree required in relevant technical areaMinimum 10 years of technical and project / program management experience, preferably in a USAF or DOD environmentExtensive experience formulating and managing project budgets, implementing schedule tracking, risk avoidance and mitigationDirect experience / knowledge of USAF policy, projects, and customersDemonstrated experience in business development, proposal development, and project / program managementAbility to work independently and collaborate with othersProven ability to identify and create business expansion, market / sector development, and strategic alliancesCurrent clearance to the Secret, Top Secret, or TS/SCI level.",Location: Various in Continental U.S.Riverside is an Equal Opportunity Employer offering very competitive compensation and exceptional employee benefits.,0,1,1,,Director,Master's Degree,Information Technology and Services,Management,0
13005,Training Associate,"US, MA, A",,,,"The Associate provides support for the development and execution of client projects including corporate training programs, consulting engagements, and other related ventures (i.e. internal development projects for the company). During these projects, the Associate will work with Consultants and other Associates in a small team environment and often individually without direct supervision, while remaining responsible for the desired result of project work.The first year of this position will be heavily devoted to supporting our training programs which include management, sales, client service, teamwork, virtual teams, and presentation skills. Associates engage in a variety of projects, including but not limited to workshop content development, logistics for curriculum delivery, analyses of impact, interfacing directly with clients to run projects, data collection and presentations for consulting projects, etc. The Associate will ensure all tasks are completed on time and under budget.  Fulfillment of these responsibilities will involve regular communication with clients and internal staff members.The Associate will provide support to other project teams and colleagues, as needed. When not with clients at off-site locations, there is a healthy mix of working in the home office in Acton and working remotely. The position may involve some domestic and international travel.","A bachelors degree is required. We prefer candidates with at least one to two years of professional experience, but not required. The ideal candidate will be a self-starter with strong oral and written communication skills, problem-solving skills, and will be willing to voice his or her own opinions.  In terms of teamwork and collaboration, candidates should be comfortable working with a variety of constituents, including clients, colleagues and vendors, as collaboration is a key component to success.All candidates need to possess strong organizational skills, the ability to successfully manage multiple tasks and a keen attention to detail.  The position requires research and analytical skills.  Candidates need to be creative and experienced with creating presentations in PowerPoint. Adobe Creative Suite familiarity and experience is helpful but not mandatory. A Cover Letter is required.",,0,0,0,Full-time,Associate,Bachelor's Degree,Management Consulting,Training,0
17275,Senior Association Manager,"US, NC, Davidson/Lake Norman Area",,,"We Help Create Communities that Withstand the Test of TimeThe Kuester Companies professional management division specializes in the management of communities throughout the Carolinas. From new construction to established communities, our veteran team of professionals oversee the maintenance and operation of associations that range in size from 20 to 3,000 homeowners.We represent and work closely with association members to protect property values, and uphold the quality of their community. Our regional offices and our well-trained on-site staff ensure that issues are resolved quickly so that homeowners can enjoy the benefits of their community throughout the year.","General Function  This is a full time position in which a person with extensive HOA management experience will manage the day-to-day administrative and community service obligations for the community or portfolio to which they are assigned. This employee will also be responsible for managing the day-to-day operations and overseeing the Davidson office.Scope of JobThis active position includes: managing communications between homeowners, boards, and the company support teams, initiating community correspondence, assigning/monitoring work orders and community action items, preparing for and attending board meetings (usually in the evening), completing community financial analysis on a monthly basis and presenting information to the Board of Directors (budget preparation and monitoring).Major Duties and ResponsibilitiesThis role includes but is not limited to the following:Consult and educate community board of directors in areas such as: litigation prevention, rule and policy implementation and development, financial planning, and legislation modifications.Complete regular site visits to review common area amenities, assist with rule enforcement, and coordinate community vendors.Organize and run board meetings, annual community meetings, and board elections.Plan and attend community and industry related eventsCreate strategic marketing/communications plans to provide directionOverseeing a small staff as well as managing the office","Requirements3-5+ years of HOA experienceOutgoing personalityAbility to leadInterest in industryWillingness to work around the clock and respond to community members outside of work hoursGreat time management skills,Juggle several activities and manage time accordinglyStrong PC skills,Excellent verbal and written communication skillsCMCA, AMS, PCAM preferredMicrosoft Office skills required (Outlook, Word, Excel, etc.)",,0,1,0,Full-time,Mid-Senior level,,Real Estate,Management,0
9646,iOS Senior Software Engineer,"EG, ALX, Alexandria",iOS,,"BADR is an established company that is striding in to the world of big-data with formal expertise and the right technology for the job. Our vision is to become the leading big-data visualization and analytics services provider in the Middle East, and this is a goal that we strongly believe to be reachable. With a dedicated team and the tools required, we plan to change big-data in a big way.Despite our relative freshness to the field, we have the advantage of a dedicated and experienced team. Our team is a family at Badr and company culture is very important to us. We have a jolly band of geeks in the office who are all working towards a common goal. Our diverse and varying team all have a wealth of skills and knowledge that they bring to the table and this diversity helps exponentially in our ability to take on any challenge that we are faced with.Looking to the present as well as the future, our company is extremely dedicated in helping our youth and local communities in any way that we can. We frequently hold sessions educating the youth on the modern business world and the skills that they will need in it. It is our goal to equip our young adults for the future that theyll be shaping and this is a cause that is very important to us. ","If you are a Senior Software Engineer with extensive Cocoa experience, please read on!We are seeking to hire a Senior Software Engineer to design and develop advanced features and help take us to the next level!! Responsibilities:Design and develop advanced features for iOS developers and for our technology platform using your Mac OSX and iOS development skills.Work on ""plugins"", and/or ""libraries"" for IOS apps as well as a Mac desktop that both interact heavily with our server API. Create designs for complex features and communicate them effectively with both technical and business folks.Write well documented, highly maintainable code.Use your talent for innovation, problem solving savvy and technical skills to transform complex concepts into clean, easy to use features for a variety of global audiences.- Use your excellent communication and interpersonal skills to drive on time completion of your own and team tasks in an Agile environment.Be our iOS expert.Work well within a small, highly performing, team.Nice to have some UI/UX skills on the client app side so we don't build ugly, clunky apps.A bonus would be Windows and Android since we will be supporting those platforms in the future. Design and develop advanced features for iOS developers and for our technology platform using your Mac OSX and iOS development skills.Work on ""plugins"", and/or ""libraries"" for IOS apps as well as a Mac desktop that both interact heavily with our server API. Create designs for complex features and communicate them effectively with both technical and business folks.Write well documented, highly maintainable code.Use your talent for innovation, problem solving savvy and technical skills to transform complex concepts into clean,easy to use features for a variety of global audiences.Use your excellent communication and interpersonal skills to drive on time completion of your own and team tasks in an Agile environment.Be our iOS expert.Work well within a small, highly performing, team.Nice to have some UI/UX skills on the client app side so we don't build ugly, clunky apps.A bonus would be Windows and Android since we will be supporting those platforms in the future. ","At Least 3 Years of experience and knowledge of:Strong Cocoa + Cocoa frameworks experience.Writing apps for Mac OS X.Objective-C programming.API's with iOS.Client-Server Architecture.Mobile UI development.Apple iOS/Mac platform.Writing interfaces for C and Object Oriented Languages (Objective-C, C++, Java).Good working knowledge of XML.Experience using Version Control - GIT or CVS.",,0,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Engineering,0
14394,Counselor,"US, NY, Albany",,,"The Albany Charter School Network is a family of charter schools in the City of Albany that works to prepare children for meaningful future lives  as purposeful, productive, and participatory citizens of New York, the United States, and the world.Our strong and unified movement of charter schools collaborates with parents to provide for all students a vibrant academic environment and dynamic learning experiences that create what we call “Future Life Opportunity”  a pathway to success in college, career, and community life.The Albany Charter School Network and the schools that comprise our community are always looking for highly committed and highly skilled people to come and join us in the exciting and meaningful work of helping create Future Life Opportunity for this generation of students.Please take the time to look at the various open positions on our site. If there is a role for which you think you would be a great match, then we encourage you to learn more about it and submit an application.","Do you have what it takes to cultivate the next generation of “Scientific Artists”  students with both the disciplined expertise and creative imagination to contribute effectively to the betterment of the global society? If so, the Albany Charter School Network may be the place for you! We are looking for a Social Worker to join our team of professionals, committed to helping our students write like Frederick Douglass and reason like Albert Einstein.POSITION SUMMARY As the Social Worker, you will work collaboratively with other instructional staff and the instructional leader to champion a high-quality education for each and every student, cultivating success for future life opportunities in college, career, and community. You will develop strategies to support all students so that they may engage in active academic challenge and growth, as well develop and implement behavioral plans. As you work collaboratively with your peers to foster a thirst for lifelong learning, you will be responsible for your students performance, and your own personal and professional growth. ABOUT THE NETWORKThe Albany Charter School Network isa family of charter schools in the city of Albany that works to prepare students for meaningful future lives  as purposeful, productive, and participatory citizens of New York, the United States, and the world. Our strong and unified movement of charter schools collaborates with parents to provide all students a vibrant academic environment and dynamic learning experience that create what we call “Future Life Opportunity”  a pathway to success in college, career, and community life.  We create this environment and these experiences with a focus on Choice, Reliability, Quality, and Growth.Choice: Engaged in the challenging and fulfilling work of learning for ourselves, and teaching others how to make informed decisions.  Reliability: Achieving high levels of student performance with low variability in academic and operational delivery within and between schools.Quality: Delivering excellent teaching and learning, and general school operations, through superior execution of research-based practices.Growth: Ensuring personal, academic, and professional growth for the Network community stakeholders and the Albany area as a whole.SOCIAL WORKER JOB DESCRIPTION DETAILSPLAN Works with the instructional leader, school leadership team, and instructional staff to establish comprehensive servies that allow students to engage in active academic challenge and growth.Cultivates a culture of high-expectations-great-outcomes learning in which students can achieve the highest standards of success.PREPAREDevelops collaborative relationships with colleagues and school leadership to ensure quality services are provided.Through professional development, serves as the lead learner while building knowledge and expertise in Social Work.PROCESSDevelops and maintains open communication with parents, families, school leadership and instructional staff.PREDICTUses predictive analysis to design, deliver, and modify social work practices to support student growth and learning.Analyzes various assessments, identifies areas of growth, and implements appropriate modifications to support student development and advancement.","• Masters Degree or higher in Social Work (MSW).• Valid New York State Certification in Social Work. • Demonstrated ability to work in a collaborative environment with key stakeholders, including faculty, students, and parents. • Mission-driven with a genuine commitment to fostering future life opportunities for all students. • Excellent communication skills, both verbal and written.• Previous counseling experience is preferred.",,0,1,1,Full-time,,Master's Degree,,Education,0
12585,Visual Designer,"GB, SOM, Clevedon",,,"Sparkol is a growing software company based outside of Bristol, UK.We specialise in making unique tools that help people get their message across without any design or technical know-how. The Sparkol team comprises talented developers, designers, writers, musicians and support staff.Our main product, VideoScribe, enables our users to create engaging whiteboard-style animations quickly and easily. It's used by thousands of business people, marketers, illustrators, video professionals, teachers and students, as well as regular folk with stories to tell.VideoScribe is available for desktop as well as iPad and Android apps.","At Sparkol, our mission is to help people engage their audience. Our products empower people to communicate more effectively, regardless of their technical ability.Our flagship product, VideoScribe, enables our customers to create their own whiteboard animations quickly and easily. In two years, VideoScribe has gained hundreds of thousands of users in over 135 countries, and our team has more than doubled to 36 people in the past year alone.If you're full of ideas and excited about helping people to communicate, there could be a place for you at Sparkol.We are seeking a talented full-time digital visual designer to join our fun loving and hardworking team to create amazing user experiences. You will be responsible for creating incredible visual designs for our products and services in a team that consists of creatives, illustrators, and copywriters.Working under the direction of the Experience team leader you will be designing interfaces and flows for digital products, websites, and mobile applications, as well as conceptualising and visualising digital marketing experiences.You will be passionate about user-centred design principles and be able to apply them to a number of different scenarios with ease. You will be able to convey your insights and ideas to the rest of the team and be confident in your approach when presenting to the company.Brief:Design beautiful, intuitive, and functional user interfaces from concept to final hand-off to development teamsDesign exciting and on-brand visuals for marketing materials including websites, campaigns, and appsConceptualise, design, and present user scenarios, end-to-end experiences, interaction models, and screen designs to the team and relevant stakeholdersWork with junior designers and help them build their creative skillsCollaborate with other designers to maintain design consistency and coherence across products and marketing as appropriate","Core Skills:Have 2+ years experience designing products and features for mobile or desktop, native or webStrong visual design portfolio, especially with an eye for system-level detailsA passion for user-centred design and solid intuition for detailed interaction designAn ability to tell compelling stories with your designs and present your work with confidenceBe up-to-date with the latest UI trends, techniques, and technologiesEvangelist for the company and its productsSelf motivated and well organisedProficient in Photoshop, Illustrator, or other design tools. Ideally you will already know and use Sketch for your workExperience of working within Agile teams The role:Full-time (37.5 hours per week)Based at Sparkol HQ","24 days holiday per year plus UK bank holidaysHealth cash planIncome protectionLife coverPrivate health coverFree daily lunches from local restaurantOpportunity for training days and growthChildcare vouchersCharity sponsorship fund availableYour choice of tools so you can do your best workCompetitive SalaryIf this job is for you, please send a copy of your CV and a covering letter to #EMAIL_9ba8d3f7fb3022a068ae9240152b51225b4823962e1b5d8e3f564e1cefc790a2#. To speed up the process please ensure you quote ""REF: Visual Designer 11/14"" into the email subject line.We recommend you sign up for a free, 7-day trial of VideoScribe to try it for yourself.No agencies please ",0,1,0,Full-time,,,Computer Software,,0
13501,SEM / Performance Specialist (Neo!),"PL, MZ, Warsaw",,,"Our team is carefully balanced with the best specialists in the healthcare market and experts in web development and user experience. The great working atmosphere makes #URL_c452222ceb211c2d5bda2ae95359b5126a14ae69898d8d6ad37647064e99fbc9# a unique workplace... Bla bla bla.. Like you've never heard that before!But you're here and this means you are interested to find out what it's like to be in our team. For real. So get in touch! Skype or meet us. You will see for yourself, no BS :) We're hiring all the time.Here's something to whet your apetite: #URL_c652c59dabc32bfacc94f29318d40a48e955b8d1f60e31738721b73706cdf8d2#","#URL_489849e19607845d834943c2a09cbae2c7c14f8c6680341494552ce1f88d45e0# makes on-line appointments with doctors possible to hundreds of thousands of patients. Soon it will be millions!For that challenge, we seek fearless internet enrepreneurs who have the brains and guts to do what it takes!You will:create and optimize amazing campaigns on 25 international marketsdrive new users and clinets to our websitesfor as little money as possible :)","If you:prefer to be responsible for results not for “doing things”AdWords, affiliate networks, CPC/CPA/CPL model, Facebook Ads and LinkedIn are your natural environmentcan prepare mailings, landing pages from scratchare analytical and you feel great when working with numbersyou follow trends and everything that is going on in the field of search engines' marketing                                                         ...than just stop wondering and click the apply button below!",With us you will:have a chance to make a dent in the Universenot be bored! - great challanges are our 'business as usual'have access to the latest e-marketing tools and know-howdo things to this world that noone ever did beforehave fun :),0,1,0,,,,,,0
12814,Physical Therapist,"US, CA, Lakeport",,,"Welcome to interface rehabinterface rehab provides comprehensive rehabilitation (Physical, Occupational & Speech Therapy) & consultation services on a long term contractual basis to the various medical settings throughout Southern California.","Position:PT                                                                         Clinical Setting:Skilled Nursing Facility                                                                         Job Type:Full Time                                                                         Location:Los Angeles                                                                         Qualifications:                                                                         Experience:No experience.                                                                          Job Summary:                                                                        The Staff Physical Therapist evaluates and treats patients/residents, communicates with families, physicians, and other health team members, and maintains documentation of services in the medical records.  Provides Physical Therapy services including treatment planning & implementation, and discharge planning. Tests patients physical abilities analyzing rehabilitation goals; consult with rehab team in order to coordinate the most effective physical therapy program.                                                                         Organizational Relationships:                                                                        Reports to: Director of Rehabilitation, CQI Designee/Mentor, Regional Mentor.Supervises: Responsible for the care delivered by PTAs, Rehab Technician.                                                                         Essential Job Responsibilities:                                                                        Evaluate patients/residents within 24 hrs of physician referral.Develop effective treatment plans and obtain approval for services from referring physician, and treat patients/residents according to physicians treatment plan.Communicate regularly with supervisor, other members of the rehabilitation team, and nursing staff on the patients treatment plan, progress, and prognosis.Record evaluations, daily treatment notes, weekly progress notes, 14 day progress report to the physician, and discharge summaries per policy and procedure.Instruct patient/residents families or nursing staff in maintenance program.Participate in discharge planning.Secure necessary durable medical equipment for patients/residents to facilitate independence in mobility: i.e. orthoses, walkers, canes, elevated toilet seats, and grab bars.Submits logs and all billing information on a timely basis.Reports any problem areas/equipment within each facility to the appropriate supervisor immediately.Comply with the State Licensing Board of California, Title 22, CMS, and California Labor Board Guidelines.May perform other duties as assigned.",Licensure/Certification:Bachelor's degree (or foreign equivalent) in Physical Therapy.,,0,0,0,Contract,Not Applicable,Certification,Medical Practice,Health Care Provider,0
1969,Senior Network Engineer,"AU, NSW, Artarmon",Technical,,"Founded in 1997, The Missing Link is a premium provider of information technology solutions across applications, infrastructure, virtualisation, security, storage, telecommunications and cloud services. We draw on a range of premium partnership relationships with the most respected brands in information technology. Some of our tier-one partners include IBM, Lenovo, Microsoft, NetApp, Cisco, VMware, Citrix, Telstra, Dell and HP. The Missing Link has grown year-on-year from modest beginnings to be recognised as one of Australias most-awarded IT solutions providers. Theres no secret to our success: were passionate about responding rapidly to our clients needs, working collaboratively on solutions, delivering on time and on-budget, and over-delivering on service expectations. Why The Missing Link ?We offer an exceptional working environment and cultureFunky converted warehouse layoutFree breakfast and soft drinksFree indoor gymRegular social eventsMonthly bonus incentives","The Senior Network Engineer will have the following key accountabilities; Project Implementation activities, pre-sales and design requirements, 3rd Level problem management, proactive systems management and reporting.The Senior Network Engineer will actively participate in customer service improvement initiatives, complex project implementation, solution formulation and technical design tasks. The Senior Network Engineer will mentor and provide guidance and advice to more junior members of the team as well as peers. The Engineer will demonstrate leadership and ownership during the project delivery lifecycle and is expected to be a subject matter expert in routing and switching.This is a challenging and dynamic hands-on technical role which requires on-going personal development, technical accreditation and research to ensure this position remains abreast of current and emerging trends and technologies.Key ResponsibilitiesProject Activities>    Technical design, consulting and documentation>    Identify and scope customer requirements and translate into technical solutions that meet budgetary constraints>    Formulation of implementation plans>    Manage and execute assigned project activities>    Work with relevant project manager on larger systems integration projects>    Formulate and maintain project related documentation>    Implement and undertake proof of concept and audit activitiesBAU (Business As Usual) Support Activities>    Where necessary undertake remote and onsite customer maintenance visits>    Contribute to customer reporting including strategic reviews, recommendations and updates>    Level 3 escalation support, incident and problem management>    Some On call support duties>    Maintain and update customer documentation (As built documents, network / topology diagrams etc.)","Desired Skills and ExpertiseWork Experience Requirements:>    Minimum 1 year experience as a Senior Network Engineer>    6+ years working in Networks/Comms, 4+ years experience in medium to large environments>    Prior experience with systems integrator or managed services provider well regarded>    Own car essential (car allowance provided) Essential Skills:>    Extensive experience with networking technologies (data links, routers, switches, firewalls and WAN acceleration devices)>    Exposure to Cisco UCS, Cisco ASR Devices, Good experience with Cisco Catalyst switches, Cisco Integrated Services Routers, Cisco Aironet and Wireless LAN Controller, Cisco Meraki>    Good knowledge of security products such as Cisco ASA, Juniper or other vendors>    Solid hands-on experience with ADSL/BDSL, MPLS networks, EFM Services and the like>    Proven VPN Knowledge, setup and troubleshooting  (SSL, Site to Site and remote access)>    Demonstrated hands on experience installing , upgrading and configuring Cisco network devices>    Routing protocols BGP, OSPF, EIGRP, IGRP plus IPv4 and IPv6, Subnetting>    LAN Switching  VLAN, spanning-tree, LACP>    Riverbed or other WAN acceleration devices (deploy and configure)>    Exposure to SAN Fabric Switch setup and configuration (Cisco, Brocade, IBM, HP)>    Wireless site surveys and reporting>    Netflow setup, monitoring and analysis>    Hands on knowledge and understanding of network management platforms such as SolarWinds, BMC, MRTG, NAGIOS>    Excellent troubleshooting and root cause analysis skills>    Network documentation, network and topology diagrams>    Customer network audits, service improvement recommendations>    Presales, solution formulation, implementation plans Education / Training: >    IT based degree, diploma or equivalent>    At least one Cisco certification (CCNP and above)>    Riverbed Certifications e.g. (RTSS-WAN, RTSS-Granite etc.)>    Other relevant industry certifications Personal Attributes Required:>   Exceptional presentation skills>   Ability to adapt to change quickly>   Passion for technology>   Ability to work autonomously whilst achieving stated objectives>   Sound organisational skills, including the ability to effectively manage multiple tasks and competing demands>   Excellent verbal and written communications skills, ability to communicate at all levels.>   Excellent presentation and customer facing skills>   You will also have a strong and empathetic customer-centric service focus>   Motivated, enthusiastic and proactive>   Superb business acumen and commercial awareness>   Friendly and approachable demeanour and personality>   Reliable, trustworthy, ethical",">   Great environment, with excellent benefits -  e.g. breakfast & on-site gym>   Growing, award winning company",0,1,1,Full-time,Mid-Senior level,Certification,Information Technology and Services,Information Technology,0
12057,UX / UI Designer,"CA, ON, Toronto",,90000-120000,"Joist is a platform for trades contractors that allows them to estimate, invoice, accept payments, & much more!","***VISIT OUR CAREERS PAGE HERE: #URL_55571fdb4e1608d00894cf71aeb50705b5bc256ed409ae7c19629517582e473a# TO LEARN MORE ABOUT WORKING WITH THE JOIST TEAM***If working with:1) one of the fastest growing startups2) an awesome engineering & product team, and 3) solving a big problem in a massive marketsounds like something you want be a part of with a competitive salary and meaningful equity, get in touch with us.Joists mission is to SUPPORT TRADES CONTRACTORS IN RUNNING THE BEST BUSINESSES POSSIBLE by bringing coordination, professionalism, and transparency to the contractor ecosystem. We move extremely quickly, and ship new product features that delight our users on a weekly basis. Everyone on the Joist team contributes new ideas and has the chance to put their signature on what we deliver to our users.ABOUT JOISTJoist is a tool for trades contractors, that allow them to estimate, invoice, accept payments, and manage projects from an iPad, iPhone, Android phone or tablet and the web.Checkout our app: #URL_9da5c1a945b15b7a2322dde22b6af8a5e3caae865a73f9f04fe640e6e9b24932#GET EXCITED- Ranked in the top 25 business apps in the Apple App Store (out of 19,500 business apps) - Tens of thousands of highly engaged users, growing very quickly - $1B+ in transactions processed since launch (12 mths)- Funded by top tier US & Canadian VCsPERKS- Fall in love and have a huge impact on a product used by hundreds of thousands of businesses worldwide- Young, energetic, flexible and super fun work culture- Weekly team outings (such as the infamous Joist Wine Fridays)- A standard issue Joist green hoodie and t-shirt- Work with an engineering team that values an agile development process, scrums, test driven development, automated testings, pull requests, source controls, and proper requirements and specs- Work in a focused work environment - our product roadmap doesnt flip-flop- Be self-directed  you wont have some business guy or client peering over your shoulder- Open vacation policy  take time off as needed on your own schedule- Flexible work times  the # of hours sitting in a chair does not = productivity- A kegerator that never runs out of premium beer and Franks Hot Sauce stocked in the fridge- Be a shareholder  everyone on the Joist team owns equity- Spontaneous games of poker, Settlers of Catan, Risk- Nerd out and try new technologies at monthly Joist hackathonsREQUIREMENTS- You have designed world class mobile user interface and user experiences- You have experience turning complex problems into simple and engaging customer experiences for the web, iPad, iPhone, and Android- You can closely with a product team, engineers, and the rest of the team to create amazing experiences for our customers- You care about user interacting with users, observing how they use the product, and looking at data to develop deep understanding of what works well and what can be improved through a better user experience and interface - You enjoy an iterative design process that includes accepting and managing feedback and challenging conventional wisdom- Strong preference given to those who have have passion for personal / side projectsCOMPENSATION: $90k - $120k / yr, + equityLOCATION: Toronto (Downtown @ King & Spadina)TARGETED START DATE: Immediate",,,0,1,1,Full-time,,,Computer Software,Design,0
6526,Real Estate Attorney,"US, MI, Detroit",,,," Oakland County law firm seeks a Real Estate Attorney. The ideal candidate will have at least seven years of experience handling commercial real estate transactions. For immediate consideration, candidates are encouraged to send a WORD resume, cover letter and salary requirements to the attention of Katie Clark, J.D., Attorney Search Director, via e-mail: #EMAIL_4a495b07871ea1e6c0e3b19c057114ade99e6e1e607d13e3a06f14a01c4a495e#.",,,0,0,1,,,,,,0
12516,UI/UX Designer,"PL, MA, Kraków",,,,"#URL_c914851eb385d8c6471d602008fc4e84780de02287ca6ee67080b5f24864592f# is a developers tools provider based in LasVegas and Krakow. Were privately funded, and profitable. We already have a number of active, successful products (PandaStream, StillAlive, StatusHub,PointDNS, Statsmix, #URL_185d321c4bb13bb00e36e126a608448950d4febc526b34407ecd7725c3348442# and more) in the space.Were looking to grow our team in Krakow now  so if youre interested and fit the below guidelines  contact us!We are in an extraordinary age where we can take on science fiction and make it real. Why wait for someone else to invent the future when you can build it today? We are passionate about technology and the positive impacts it can bring to society.Everyone at the company takes a tremendous amount of pride in their work, following through an idea from conception to release. We have an imperative to do something truly significant, something that will leave a legacy.We make it a priority to ensure that everyone at the company is continually honing their skills and expanding their capability. You will wear many hats, and take on and conquer challenges you never dreamed of.We invest heavily in our culture  getting smart, experienced people is one challenge, getting team members that really want to participate in our project and add value to the whole, is another, and thats what we want. We find what works best for us is:ShareCommunicating is fun, useful, and not a chore. We run multiple offices, so being able to effectively communicate through a few different channels, regularly, and without effort, is essential.Learn and coachWilling to listen, even if you think you know the answer, and willing to coach anyone who doesnt.Nothing is impossibleBuy into the potential to do anything, even if at the first pass it looks like theres many reasons why it wont work.Fight and FriendlyBe willing to fight for your point of view, or say when something isnt right  while still remaining humble.","Be fun to work with, we value team chemistryProven 2+ years UX & visual design experience, ideally with web appsComfortable with coding HTML5 and CSS, knowledge of most popular frameworks (Bootstrap preferably)Experience with Javascript coding is a plusSolid knowledge of web & mobile technologies, interactions best practices and how wireframes and design transform into working codeAbility to learn quickly, and most importantly display a passionate interest in user experience, technology and designUnderstanding of lean UX, user centred design, user experience and usability principlesExperience with Adobe Photoshop (Illustrator is a bonus)The ability to multi-task in a super-fast paced agile teamExcellent communication skills",Good CoffeeOffice on the Market SquarePrivate healthcareCompetitive Salary based on skills and experience.,0,0,0,Full-time,,,Information Technology and Services,,0
2185,Independent Consultant,"US, , ",Skincare,,,"I am looking for goal oriented, business savvy professionals that want to join one of the biggest names in skin care, the creators of Proactiv,  Dr. Katie Rodan and Dr. Kathy Fields invited me to join them on their mission of changing skin and changing lives. With their legacy of success, our patent-pending solution for treating aging skin and our proven business system, you can start immediately to build your business and the potential for your own legacy of success. We are growing from the ground up and have won so many awards!",,,1,0,0,,,,,,0
17578,Human Resources Assistant/Executive Assistant/Legal Assistant /Logistics Assistant/Data Entry Clerk /Customer Service Rep/Security Assistant..,"US, SC, Charleston",,10000-250000,,"Maintains workflow by studying methods; implementing cost reductions; and developing reporting procedures.    Creates and revises systems and procedures by analyzing operating practices, recordkeeping systems, forms control, office layout, and budgetary and personnel requirements; implementing changes.    Develops administrative staff by providing information, educational opportunities, and experiential growth opportunities.    Resolves administrative problems by coordinating preparation of reports, analyzing data, and identifying solutions.    Ensures operation of equipment by completing preventive maintenance requirements; calling for repairs; maintaining equipment inventories; evaluating new equipment and techniques.    Provides information by answering questions and requests.    Maintains supplies inventory by checking stock to determine inventory level; anticipating needed supplies; placing and expediting orders for supplies; verifying receipt of supplies.    Completes operational requirements by scheduling and assigning administrative projects; expediting work results.    Maintains professional and technical knowledge by attending educational workshops; reviewing professional publications; establishing personal networks; participating in professional societies.    Contributes to team effort by accomplishing related results as needed.","Skills/Qualifications: Reporting Skills, Administrative Writing Skills, Microsoft Office Skills, Managing Processes, Organization, Analyzing Information , Professionalism, Problem Solving, Supply Management, Inventory Control, Verbal Communication","Benefits:  401( k ) retirement account, Education assistance, Health, Dental, Life and AD&D Insurance, Employee Wellness, Sick leave, Vacation and Holidays with Generous Company Discounts",0,0,0,Full-time,Not Applicable,Unspecified,Human Resources,Administrative,1
3519,Business Development Expert,"IN, GJ, 380013",Sales,,,"1)      Email marketing, Cold calling, making presentation to the clients2)      Generating business for the company by exploiting new sources3)      Develop new strategies for business generation4)      Provide potential clients with the proposal and budget5)      Presales activities like negotiation of price with the clients6)      Maintain communication with the clients and resolve their doubts and queries regarding the proposal, if any7)      Work in co-ordination with the BA on feasibility study of the project8)      Manage relationship with regular/repeat clients and generate business from them9)      Maintain the stability of the business","1)      Basic technical knowledge of PHP, SEO, Java, Joomla, Word press and other web development technologies. Knowledge of Mobile apps.2)      Excellent communication skills3)      Marketing skills4)      Good interpersonal skills5)      Problem solving ability",Alternate saturdays half dayExcellent incentive plans under hire- a developer modelGet in touch with our recruiters to know more!,0,0,1,,,,,,0
15332,English Teacher Abroad ,"US, WI, Oshkosh",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
13622, Digital Brand Architects Community Manager  ,"US, NY, New York",,,"Digital Brand Architects is a transformative boutique agency that expertly navigates the digital landscape through a two-pronged business model: one that tackles digital strategy and social media innovation for some of the worlds most venerable brands and companies, and the other that specializes in the talent management of a world-class portfolio of over 90 top online influencers and publishers. DBA is best heralded as the first and only agency to have a dedicated management division for online talent and for pioneering a monetization model that leverages a digital publishers influence online and builds them into prominent brands offline.","Digital Brand Architects is in search of Community Manager with a thorough knowledge of the social media and digital space (from the changing media landscape to analytic tools and marketing trends), professional sensibility with off and online communications skills to help manage a world-class portfolio of fashion, beauty, lifestyle, luxury and technology brands.The ideal candidate must be a team player with a self-starter mentality and the ability to handle multiple tasks at once, succeed in a fast-pace, high-energy environment.","SPECIFIC RESPONSIBILITIESExperience with social media management and analytic toolsMaintain and execute communications calendars, messaging and postings on social media platformsUnderstand basic research techniques and methodologies and use them to support development/execution of client campaignsProvide actionable recommendations on emerging trends and online developmentsWork with account teams to generate visually compelling reports and measure against KPIsHandle regular and consistent contact with internal teams to guide day-to-day account activityDevelop relationships with key bloggers; manage blogger and influencer engagement programsADDITIONAL REQUIRMENTS1-2 years experience executing online marketing campaigns, community management and demonstrable communication, writing and verbal skillsProactive, detail-oriented, and extremely organizedAdherent to deadlines and follow-throughCreative thinker who can brainstorm innovative ideas/strategiesSome experience in: luxury, fashion, beauty, technology and/or lifestyle brandsMarketing savvy, extremely hard-working, problem-solving and culturally aware",,0,1,0,Full-time,Entry level,,Marketing and Advertising,Advertising,0
16785,Urgent Need : IT Security Professional for Bahrain,"BH, , ",,,"VAM SYSTEMS is a Business Consulting, IT Solutions and Services company with operations in UAE, Qatar, Bahrain, USA, Australia, Singapore & India. . We offers a comprehensive list of services in the field of IT infrastructure management, Cloud services, IT Consulting Services, Banking, Management Resources, Information Technology Development, Telecom, Aviation, Retail Management & Government offerings.","Greetings from VAM SYSTEMS…..VAM SYSTEMS is a Business Consulting, IT Solutions and Services company with operations in UAE, Qatar, Bahrain, USA, Australia, Singapore & India. We offers a comprehensive list of services in the field of IT infrastructure management, Cloud services, IT Consulting Services, Banking, Management Resources, Information Technology Development, Telecom, Aviation, Retail Management & Government offerings.VAM SYSTEMS is currently looking for IT Security Professional for our Bahrain operations with the following skill set and terms and conditions:Skill Set required:Strong experience in IT Security, Vulnerability assessment & penetration testing and Technical audit.Experience in firewall, routers and switches configuration.Experience in reviewing or creating Information Security (ISMS) Policy, Business Continuity Plan, Vendor Policy, Vendor Risk Analysis and Business Impact Analysis.Certifications: CISSP/CISM/CISAExperience Required: 3+ years.Terms and conditions: Joining time frame:   Maximum 4 weeksThe selected candidates shall join VAM SYSTEMS  Bahrain and shall be deputed to one of the leading Organizations in Bahrain.  ",,,0,1,0,,,,,,0
14927,English Teacher Overseas,"ZA, WC, Cape Town",,,We help teachers get safe & secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
11483,Group Counselor,"US, TX, Burleson",,,"At MAM, we are passionate about changing the culture of the mental health industry. We seek to de-fragment care, utilize technology such as telemedicine to bring care to rural populations, and bring innovative service delivery options to communities. We love our clients and the people who work along side us. We are a very people-oriented company, seeking to have an excellent internal culture in order to change the external culture. We love out-of-the-box thinking and innovative leaders. We would love to meet with you to discuss opportunities in our company or just get to know you! ","We believe in changing the face of the mental health industry. In fact, Mind Above Matter was founded in 2010 out of a desire to bring a more compassionate, client-focused approach to mental health within the community reach. We believe that creating a therapeutic environment for our clients starts with our company culture and staff. We offer flexible scheduling, encourage creative therapy interventions, quarterly incentives and ongoing support and training to all our employees. Come see the difference at Mind Above Matter! We offer a variety of services to our clients, including partial hospitalization, intensive outpatient, weekly outpatient, assessments, and medication management.We are currently seeking a part-time master's level clinician (LMSW, LPC-Intern, or LMFT-A) to run process and skills groups daily for IOP/PHP level of care at a facility-based private practice. The successful candidate must be able to work independently and efficiently and will be responsible for prompt documentation and case management. Experience with group therapy is preferred. There will be opportunities for growth in the near future dependent upon performance and qualifications.Additional benefits to our clinicians:• A collaborative model that allows time for employee training, weekly staff meetings, peer consultations and inter-department coordination• Opportunities for advancement• Paid EMR, marketing, billing, collection, and ongoing training in clinical and leadership arenasIf interested, please submit your resume/CV. Only Master's level clinicians will be considered.","Only Master's level clinicians will be considered for this position. We are looking for those working on their licensure hours (I.E. LPC-Intern, LMFT-A, LMSW, etc.)",,0,1,0,Part-time,Not Applicable,Master's Degree,Mental Health Care,,0
10728,High School Special Education Building Substitute Teacher,"US, NY, Albany",,,"The Albany Charter School Network is a family of charter schools in the City of Albany that works to prepare children for meaningful future lives  as purposeful, productive, and participatory citizens of New York, the United States, and the world.Our strong and unified movement of charter schools collaborates with parents to provide for all students a vibrant academic environment and dynamic learning experiences that create what we call “Future Life Opportunity”  a pathway to success in college, career, and community life.The Albany Charter School Network and the schools that comprise our community are always looking for highly committed and highly skilled people to come and join us in the exciting and meaningful work of helping create Future Life Opportunity for this generation of students.Please take the time to look at the various open positions on our site. If there is a role for which you think you would be a great match, then we encourage you to learn more about it and submit an application.","Do you have what it takes to cultivate the next generation of “Scientific Artists”  students with both the disciplined expertise and creative imagination to contribute effectively to the betterment of the global society? If so, Green Tech High Charter School may be the place for you! Green Tech is looking for a Permanent Special Education Building Substitute Teacher to join their team of professionals, who is committed to helping students write like Frederick Douglass and reason like Albert Einstein commencing November 2014. POSITION SUMMARYAs a Permanent Special Education Building Substitute Teacher with Green Tech, you will work collaboratively with other instructional staff instructional staff and the instructional leader to champion a high-quality education for each and every student while cultivating success for future life opportunities in college, career, and community. You will participate in both horizontal and vertical planning to ensure every students academic needs are met. You will also develop strategies for the design, delivery, modification, and extension of Common Core Standards-aligned curriculum and instruction, allowing all students to engage in active academic challenge and growth. As you work collaboratively with your peers to foster a thirst for lifelong learning, you will be responsible for your students performance and your own personal and professional growth.ABOUT GREEN TECH HIGH CHARTER SCHOOLGreen Tech High Charter School prepares young men to complete high school with a Regents Diploma so they will have the opportunity to attend college or choose an alternative, responsible career path as they enter adulthood.  Green Tech High provides a complete high school curriculum, backed by a philosophy and culture that ensures every student will attain the skills and coursework necessary for a Regents Diploma, and does so with a high graduation rate. ABOUT THE NETWORKThe Albany Network is a family of charter schools in the city of Albany that works to prepare students for meaningful future lives  as purposeful, productive, and participatory citizens of New York, the United States, and the world. Our strong and unified movement of charter schools collaborates with parents to provide all students a vibrant academic environment and dynamic learning experience that create what we call “Future Life Opportunity”  a pathway to success in college, career, and community life.  We create this environment and these experiences with a focus on Choice, Reliability, Quality, and Growth.Choice: Engaged in the challenging and fulfilling work of learning for ourselves, and teaching others how to make informed decisions.  Reliability: Achieving high levels of student performance with low variability in academic and operational delivery within and between schools.Quality: Delivering excellent teaching and learning, and general school operations, through superior execution of research-based practices.Growth: Ensuring personal, academic, and professional growth for the Network community stakeholders and the Albany area as a whole.PERMANENT SPECIAL EDUCATION BUILDING SUBSTITUTE TEACHER DESCRIPTIONWorks with the instructional leader, school leadership team, and instructional staff to establish a Common Core Learning Standards-oriented curriculum that engages students in active academic challenge and growth.Cultivates a culture of high-expectations-great-outcomes learning in which students can achieve the highest standards of success.Develops collaborative relationships with colleagues and school leadership to ensure quality teaching and learning for all students.Engages in active classroom management to ensure students represent their peers and teachers with excellence.Develops and maintains open communication with parents and families.Uses predictive analysis to design, deliver, and modify teaching practices to support student growth and learning.Provides classroom support when classroom faculty are absent. Provides resource room supportOversees credit recovery.","QUALIFICATIONSValid Certification in Special Education, grades 7-12.Demonstrated ability to work in a collaborative environment with key stakeholders, including faculty, students, and parents.Mission-driven with a genuine commitment to fostering future life opportunities for all students.Excellent communication skills, both verbal and written.",,0,1,1,Full-time,,Master's Degree,,Education,0
14379,Full-Stack Software Developer,"DE, BY, Munich",tech,,hello worldtalents23_ drives the change in digital recruitment and develops the best quality filters for the selection of top talents.,"We are the most efficient and motivating way to become athletic. Anywhere and anytime. No weights or machines. Athletes receive their training plans, connect themselves and compare their workout times via our app and website. We count more than 2,000,000 registered users around the globe. And thousands more every day. We are growing. Rapidly. And this is just the beginning. Hard work, passion and an awesome team. The chance of your life.We are  looking for a Full-Stack Software Developer (full-time) in our Headquater in Munich.Your responsibilities:Frontend: You will build new features, iterate them based on user feedback and A/B tests, negotiate with PM and UX designers, maintain and improve code quality, increase unit and e2e test coverage and create reusable UI components.Backend: You will extend and maintain our RESTful API that serves as backend for all of our clients (web app + mobile apps). Thereby you will integrate analytics and reporting functionality, identify bottlenecks and propose solutions to them, develop caching strategies and do benchmarking on proof-of-concept solutions.","Frontend: We are looking for developers with deep understanding of JavaScript and #URL_9078e8368d89dcdbf1ed26064be556e5b47144133bf647a7deaa954f8ec0bdb4# (or other Single-Page-Application frameworks). You should also have experience with CoffeeScript and SASS. Backend: We are searching for candidates with strong knowledge of Ruby on Rails and PostgresSQL. Having worked with other No-SQL databases and caching solutions is advantageous. In general you should have a hands on approach with focus on execution, a “getting things done” mentality. It is a strong plus if you have worked with Opscode Chef and AWS.","Why working with us:High impact and responsibility in a very successful and fast growing companyA team of smart and extremely motivated co-workersThe chance of playing a crucial role and being part of something really BIGSelf-fulfillment, fun, and a great atmosphereNo walls, no limits, no bureaucracy, just unlimited potentialAttractive compensationSocial activities (food, drinks, events)Team training",0,1,0,Full-time,Associate,,"Health, Wellness and Fitness",Engineering,0
7467,Graphics Artist,"US, SC, columbia",Art,,"Itsa Southern Thing Apparel owns several clothing brands: Eat More Tees, Itsa Girl Thing, and Fripp & Folly.  We strive to provide excellent service and quality to our wholesale customers while reaching out to our end user through online media.  All of our brands build from t-hirts first.  Because our company began as a custom screenprinting enterprise, we will always print our own t-shirts to control the quality and availability of our shirts.We love where our brands have been able to take us.  We hope you visit our website or any of our retail partners and find something that helps define you.  #URL_7190b3b80bd2332aa57046df34c6443e9e8c7afa14d9a03b927fabb3e18e7432#-girl-#URL_da1fcc952a602fe360bce433310e06afc227c889039d656770d684b010d99751#    #URL_8615272cf9fe9b8aa613d176988c9a055d24d06325087d9af2c27c94fdf9c3cc#   #URL_3d944d2625879d4238c81f31e9555704be9e677528a93d6a4cb2b28800358f0b#   ","Join our art team!  Help layout catalogs!  Design website banners!  Create t-shirt designs!  Invent fabric patterns!You will be working in Adobe Suite 6, using primarily Adobe Illustrator and Indesign.Hours are Mon-Fri 8-5.","4-yr college degree, with strong preference given to Art Institutes.2 years experience working as a graphic designer full time.  Strong Drawing skills are a plus.Some experience with t-shirt design a plus.","Beginning pay is $13/hour.Includes vacation, 50% health insurance paid and matching retirement.The right candidate will be promoted to a salary position of $28,000-$30,000 within 12 months.",0,0,1,,,,,,0
12829,Firmware Engineer,"US, NY, New York",,,"We love the convenience of paying with plastic: swiping a debit or credit card is so much faster and more convenient than carrying wallets stuffed with singles. But the shift to plastic means employees lose out on the tips that help supplement their hourly wage and charities miss out on donations.Thats where DipJar comes in. Its as simple as a cash tip jar or donation box, but with the technology to accept and pass along gratuities left with credit and debit cards.","DipJar (#URL_a52cc7b81f865232e038de3e4da670ed1e939ca9c5d31710f5edaa04236d8590#) is the first-ever tip jar and donation box for credit and debit cards, a hardware/software solution for one-step collection and seamless disbursement of electronic gratuities. We have successfully piloted the product and are now preparing for a national rollout.The firmware engineer will play an essential role in preparing the units for launch, building a platform for flexible updating and troubleshooting once the hardware is deployed. The firmware engineer will work closely with both our electrical/mechanical engineering and enterprise software teams. ",Required skills include:- microcontroller programming (ARM preferred)- embedded linux development- basic board level design and debugging competency is a major plus.,"If qualified, the firmware engineer will become the hardware lead and will oversee a growing EE/ME team. The hire will be compensated with a competitive salary, benefits, and equity package.",0,1,0,Full-time,Mid-Senior level,,,Engineering,0
3177,Customer Service Operator,"US, FL, Miami",Customer Service,,At Command we care enough to consistently place the right candidates in the right jobs. We have more than 50 locally-managed branches throughout the United States that serve as trusted partners to businesses and job seekers. ,At Command we care enough to consistently place the right candidates in the right jobs. We have more than 50 locally-managed branches throughout the United States that serve as trusted partners to businesses and job seekers.,"Responsibilities:Determines requirements by working with customers.Answers inquiries by clarifying desired information; researching, locating, and providing information.Maintains call center database by entering information.Keeps equipment operational by following established procedures; reporting malfunctions.Updates job knowledge by participating in educational opportunities.Qualifications:High school diploma or equivalentData entry skillsPrevious customer service or sales experience.Ability to multi-task and resolve problems quickly.Positive attitude and disciplined work ethic",Benefits:15.25/hr (non-negotiable)Medical/dental coverage(13) Paid vacation and personal daysTuition reimbursement (after 6-months),0,1,1,,,,,,0
15995,BACKEND TEAM LEAD AND ARCHITECT,"IL, M, Tel Aviv",,,"CloudShare is creative, vibrant… and growing fast. Our organization fosters entrepreneurial and innovative thinking. Our culture thrives on teamwork, open dialog, and creative problem solving. We value honesty, hard work, and responsibility  and we care for our customers, our products and each other.CloudShare is always on the lookout for talented, passionate people who have demonstrated excellence in their work and academic achievements. If You...Like working in agile methodologies (Kanban)Love coding and solving problems hands-onBelieve in deep test-coverage and continuous-integrationWrite code only when necessaryLove new technology; Are never afraid of embracing it where applicable; Believe in finding the right tool for the jobHave a rubber duckThen CloudShare is looking for you!","We have an opening in leading our innovative backend team. In this role you will take part of the entire development process, be the architect of the next-gen backend services (from scratch!) and take full command of our current-gen backend which is an advanced infrastructure management and orchestration service.In this position you will deal with many technology domains such as cloud and virtualization, networking, storage, RDBMS and No-SQL, and more.","At least five years of development experience with a proven track record of high quality software development (professional experience with Python is an advantage, managerial experience is an advantage)B.Sc. in Computer Science or equivalentKnowledge and interest in different domains and programming paradigmsExperience with architecture and development of modern cloud-based services (experience with AWS is an advantage)",,0,1,0,Full-time,Director,,Computer Software,Engineering,0
7371,Front-End Developer,"US, IN, Bloomington",Product Development,,,"RideAmigos needs a great Front-End Developer to work with us on our ""cloud-based"" software as a service offering.  We are a rapidly growing company working on innovation in the transportation space.  In short, we do cool things.  This is an on-site position, full-time with flexible hours.  You will be working on our platform, applying your skills and experience to enhance our product.  You won't be designing how things look, but you will be making sure they look they way they should!  Our users need you to provide them a solid place to click... Don't let them down!!","This opening is for a qualified developer focused in front-end web technologies.  Basically, you'll provide high-quality user-focused markup, while working to build and maintain codebases for the visual aspects of our projects.  Ideally you match the following... Experience: extensive knowledge of web design standards & practices, HTML5, CSS3 & LESS, JavaScript, jQuery, Twitter Bootstrap, git, Photoshop, UI/UX sensibilities, responsive web development, mobile web development, cross-browser & cross-device compatibilityMindset: Entrepreneurial, motivated, self-starter, hungry for knowledge, desire to master, good sense of humor, sticktoitiveness, stubbornness  ability to lead, ability to follow, willingness to disagree, problem solver, lover of puzzles, open source contributor (or wannabe) ... you get the picture.","This is a full time, salaried position. Let us pay you what you are worth.Flexible schedule  We want you to have a happy work/life balance. You get to live in Bloomington, Indiana and work downtown  It's pretty great here. Check out this video: #URL_0851649533a12c90b857ba09088bd7ec8d4d7f2a398bbface80fb64f52bc6f12#RideAmigos rewards hard work and loyalty. Growth potential out the wazoo for the right person.And more...",0,1,1,,,,,,1
10672,Communications / Media Relations ,"SG, 01, ",Marketing,,"If working in a cubical seems like your idea of hell then joining our awesome startup team might be the opportunity youve been waiting for.Come join the TradeGecko team, were a Singapore head-quartered company, were ventured backed and were growing fast.Our team comes from all corners of the globe, the founders are Kiwis, we've got Singaporeans, Dutch, Filipino, Australians, Argentinians, the list goes on.If you think you have what it takes and can handle our group of talented, hardworking, sarcastic miscreants, then apply now … right now!","Recognized as one of the regions hottest startups, TradeGeckos a venture-backed company dedicated to consigning boring business software to history, through the redefinition from the ground-up of supply chain operations in the B2B world  all while making our customers more awesome.We currently have a multi-cultural team of nearly 40 tackling a global customer base in over 90 countries, and are seeking even more talented individuals to join our fast-growing team on our rapidly developing journey.Sick of briefing documents, and time-sheets? Tired of pitch deck after pitch deck? Would one more mention of terms like man hours, new business or key messages drive you absolutely insane?Assuming youre not too jaded, burnt out or dead inside from agency life  well provide you with a rare and exciting challenge of helping establish, cultivate and nurture the public image of a B2B Software-as-a-Service (SaaS) company well on the trajectory to major global success.We guarantee that the sense of ownership and empowerment from helping advance TradeGeckos overarching Communication narrative, through the embracement of our long-term strategy and positioning will provide you with infinitely greater fulfillment than yet another hollow industry award.In this role, you will focus on areas of responsibility including but not limited to: Conceptualizing, crafting and management of media collateral and materialsUpdating/growing the in-house media databaseEstablishing (and writing for) guest post opportunitiesAgency management and relationsWorking as the cross-functional bridge across the wider internal teams","You should possess experience and capabilities including: Agency experience handling major B2B tech accounts preferred (ironically)Be an effective writer with the ability to understand complex information, and to communicate it to a wide variety of audiencesAbility to develop and edit high quality written materials, including media correspondence, bylines, speaking abstracts and guest postsOutstanding inter-personal skills, including the ability to work independently but still prosper within a team-based environment ","Join us, if you want to experience an unconventional working environment in one of the region's fastest growing startups - and a stark departure from agency life.We will provide you with a plethora of top-notch marketing tools (HubSpot, Periscope, Totango etc) to help you achieve your goals, and far beyond that.",0,1,0,Full-time,Entry level,Bachelor's Degree,Public Relations and Communications,Marketing,0
5495,Customer Service Specialist,"US, FL, Miami",Customer Service,,"Super Soccer Stars is the country's most popular soccer development program for kids. For over a decade, we have provided outstanding instruction for thousands of children in 400+ locations in NY, NJ, CT, MA, CA, FL, IL, Washington, DC, and London, UK! Super Soccer Stars was founded in 2000, and since its inception, it has been providing outstanding soccer development instruction for children aged 2 and up.","Are you tired of working the same old, monotonous desk job? Do you believe that your efforts should be better appreciated? Do you want to be part of a fun, creative environment where hard work is rewarded and a positive attitude demanded? Then this is the job for you:We are a fast-growing company operating Miami's leading children's program called Super Soccer Stars and we are looking for a bright and personable Customer Service Specialist.This position is suited for an extremely organized and energetic individual interested in joining a fun and dynamic team.Responsibilities include:Providing friendly and efficient communication with customers via phone and email: relaying information, answering questions, registering children for classes, returning messagesMaintaining relationships with clients to ensure fantastic customer serviceGreeting all visitorsOffering support to the managerial teamPerforming general administrative and office duties ranging from filing and mailings to office upkeep Work Hours: Monday-Friday, 9:00-6:00Please submit a detailed and specific cover letter explaining why you would be the right person for this position, specifying salary history and requirements.  Be sure to also attach an updated version of your resume. Any application that does not include these elements will not be considered.",Superb phone mannersBachelor's degreeAbility to work efficiently in a fast-paced environment is a mustBilingual (English and Spanish) highly preferredDetail-oriented with an acute ability to multi-taskExceptional organizational skills,"We offer a competitive starting salary, excellent growth potential, outstanding benefits (health coverage, 25 days of paid time off, matched 401k plan, etc.), a very friendly work environment and the satisfaction of developing and delivering a fantastic program to children.",0,1,0,Temporary,Entry level,Bachelor's Degree,Sports,Customer Service,0
15860,"Sr. Corporate HR Manager - Florence area, AL","US, NC, Raleigh",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",4-year degree required.12+ years of Human Resources experience in a manufacturing environment;5+ years of experience in a management position;Non-union experience in a 700+ employee environment;Knowledge of employment and labor law;,"Sr. Corporate HR Manager - Florence area, AL(Click ""Apply Now"" to know more about Salary, Job description and Location)Qualification's Required -4-year degree required.12+ years of Human Resources experience in a manufacturing environment;5+ years of experience in a management position;Non-union experience in a 700+ employee environment;Knowledge of employment and labor law;Leadership, coaching and mentoring skills;Project Management, problem solving, and decision making skills;Proficiency in standard business software including MS Office.Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,,Management,0
5784,Position Admin Assistant,"AU, NSW, Sydney",,22000-28000,,"We require an experienced Admin Assistant with great attention to detail to work in our Admin Department, reporting to the Managing Director.*General administrative support and correspondence *Answering phones, setting appointments, answering inquiries, coordinating orders *Collecting and posting mail *Filing documents *Responsible for office stationary and office equipment orders",• Excellent time management & superb presentation skills • Good communication skills both written and verbal and ability to work under pressure and meet deadlines • A good working knowledge of MS Office & MYOB applications • Attention to detail and ability to multi task • A proactive approach and willingness to succeed • Ability to work autonomously and also be a team player • Interest in computers & IT related fields would be an advantage,Part Time  15 to 20 hours per week  you choose the days / time Very FlexibleWe will provide full training on company systems.,0,0,1,Part-time,Internship,Unspecified,Executive Office,Administrative,1
4425,Project Manager ,"US, PA, Waynesburg",Project Management ,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil & Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","A truly fantastic opportunity offering a strong comp and benefits package, temporary housing, and relocation for a sharp industry Project Manager who's excited to join a rapidly growing and dynamic company in one of the most booming industries in the country.Please note: This role will require temporary relocation to Waynesburg, PA or Buckhannon, WV as well as super-commuting between PA, WV, and OH as necessary.Essential Job FunctionsOversee all Hydraulic Fracturing and Water Transfer operations for multiple crews per well pad, and possibly multiple well pads, totaling 15  45 personnel.Report directly to the Operations Manager; manage all workflow, equipment, and administrative aspects of projects under management.Ensure successful execution of services provided to client.Seamlessly conduct handover to fracing operators.Successfully and smoothly manage setup and breakdown of job sites.","Professional experience in water transfer, heavy construction, or oilfield industry experience.Ability to manage and lead teams in demanding environments.Self-motivated with an understanding of urgency and attention to detail.Ability to manage a cross functional team and to operate independently.Experience: 5+ years of recent related experience.*Military experience is highly valued by the employer; candidates with military experience can expect some flexibility in terms of requirements, so long as fundamental skills and competencies are present.","Industry, Location and CompensationIndustry: Oil & Gas  Fracing and Water TransferLocation:  PA, WV, and OH  super-commuting and relocation options availableThis opportunity is especially great for U.S. veterans who want to utilize the skills learned in the military to transition into a promising and exciting career. Apply today for an opportunity to join a robust company in the mission to achieve American energy independence!",0,1,1,Full-time,Associate,,Oil & Energy,Project Management,0
6595,Delivery Drivers - Food,"US, FL, Miami",,,,"Delivery DriversClean Food Co  North Miami, FLPart-Time Delivery Drivers Needed Immediately! (North Miami Beach)Small growing business looking for part-time delivery drivers for Broward, Sunny Isles,North Miami Beach, South Beach, Midtown/Downtown, Key Biscayne, Coconut Grove, Coral Gables, Pinecrest, & West Kendall Routes. Weekly Pay with option for Direct Deposit, Three day work week Tuesday, Thursday, and Sunday and the hours are from 3:30pm to 8:30pm. You will be delivering healthy food to upscale clientele, so there are a few qualities that we are looking for: -Valid Driver's License.  -Own Reliable Vehicle for delivering meals.  -GPS as well as some knowledge of the North or South Miami Area.  -Great Communication Skills.  -Reliable cellphone.  -Ability to work in a team setting.  -Self-Motivated & Flexible.  -Must speak ENGLISHStarting pay is $8.50 per hr and .30 cents a mile reimbursement.  Looking to fill positions IMMEDIATELY!!Salary/Wage: 8.50 per hour/ Plus Mileage Education: High School Status: Part-time, Temp/Contract Shift: Days",,,0,0,0,Part-time,Not Applicable,High School or equivalent,Food & Beverages,,0
12967,Head of Product Design,"NZ, N, Auckland",Product,,"Vend is looking for some awesome new talent to come join us. You'll be working in an awesome team doing awesome things, and generally being awesome.Learn about us on our blog, or meet the team on Twitter, Facebook, LinkedInAwesome SpaceOur brand new Auckland office space is located on Nuffield Street in Newmarket, surrounded by our customers and the industry we love. It is huge, open, and shiny new with great meeting room spaces, casual working environments and plenty of space to host awesome events. We don't do cubicles, just plenty of space, whiteboards and meetings rooms. We also have a great cafe/retail space with our very own Front of House Manager taking care of our visitors and fellow Venders. Like Auckland our Melbourne office is surrounded by retail. It's a great wee space equipped with tiny houses and some awesome cardboard cutout animals.Our Toronto office is located right in the heart of downtown retail district. Like Melbourne and Auckland there's a great vibe with great coffee, boutique stores and some of the greatest restaurants in the city. Our SoMa office in San Francisco is located in the middle of the SF tech scene, just a (Biz) stone's throw from Twitter.The EnvironmentWe want you to be at the top of your game. You can wear whatever clothing you like, start work late in the morning, take breaks whenever you want and generally work the way you want to work. Who we're looking forVend is looking for people to push the boundaries. We are a hard working professional team with a wicked sense of humour, and we are looking for people who thrive in a collaborative open environment. We want passionate, hard working, talented individuals that want to lead in their field.","Who are we?Vend is an award winning web based point of sale software for retail.  Were chucking out crusty old cash registers and replacing them with iPads, touch screens and beautiful software, all of this to make life easier for our retailers.  Vend is a fast-growing tech start-up, since launching in 2010 weve now got 10,000+ customers all over the world and have more than 120 employees.Why youd like usWe use the latest and greatest tech to bring delight to our customers, and we want to add members to our team that live and breathe technology just like we do. Our existing design team are super talented and passionate about the web - they work closely with our Engineers to make sure the look and feel of Vend is just as cutting edge as whats behind the scenes.As well as developing a world-leading product, we believe that our culture is world-leading too! We balance super hard work with having a lot of fun at work!  Like playing pool, getting a Dia de los Muertos makeover, zoning out on the couches listening to music or raiding the snack cupboard (FYI frozen marshmallows are the latest craze at Vend - seriously, try them!).Work-life balance.  We know you have a life outside of work. We know you probably have little side projects or businesses too. This is valued and at Vend you can have a life inside work to.Your role:Officially were calling this role Head of Product Design but its sooooo much more and we know how you designery types love variation. When it comes down to it were looking for someone to define the overall look and feel of the Vend retail platform, who has strong iOS/mobile design skills, is a total pixel geek who can knock out some sweet high fidelity UI on demand with a real love of beautiful software, technology and hopefully retail too! You will design interfaces that will bring joy to our customers and develop strategies that ensure were always innovating and continuing to be world class. Youll be a web native with a recent history totally transforming the UI of widely used SaaS products. Youll also be a natural leader with experience in a similar role - reviewing work of the wider team and being the “design right-hand” for our Head of Product.  Weve got lots on the go with two Designers in product (plus one dog)  and you'll work closely with our Head of Design in Marketing to ensure the personality of the brand is reflected in the product. Design is going to be an area of serious growth for Vend, and this is a real opportunity to make a difference.","We want to hear from you if:Youve played a key role in the innovation of the UI of a SaaS productYoure a natural Leader and have held a similar role beforeYou have experience designing for iOS / mobileInteraction design expertise, including wireframe creation and prototypingUX expertise, including user interviews and testingVisual design expertiseTechnical skills in HTML & CSSAdobe Creative Suite experienceSo, whats next? Get in touch, apply now, hit that button, get it done and come have a chat with us about how you can work at Vend. We cant wait to hear from you.And just one last thing, heres a link to how one of our Marketing Designers managed to wow us into hiring him -  #URL_7ca5f8790f8a2b23b5a4d2d9ee5259ce2624e823baf08c3ce220f938bc1dd085#The pressure is on! Wow us with your awesomeness, we dare you to!  While Vend is totally open to receiving applications from people based overseas, our recruitment process for technical talent is lengthy and involves a lot of face-to-face time between our candidates and existing team members here. We can start initial stages of interviewing using awesome technology like Skype and Google Hangouts, we really need you to be here and to have hung out with us in Auckland before making a job offer.",,0,1,1,Full-time,Mid-Senior level,,Computer Software,Engineering,0
14826,Project manager,"US, NY, Albany",,,,"Need 2 References with resumeonly GC and US Citizens are eligible for this position  Job Description: - Project Manager will ensure multiple legislatively mandated projects are completed and aligned with business goals to identify, monitor, and manage project interdependencies - Assist project team leads with managing individual project activities, facilitate cross-team coordination, and identify and report risks and issues - Assist leadership with planning for system operations evolution, and maintenance - Maintaining the project plan, developing status reports, and drafting and obtaining approval of project artifacts and deliverables  Required Skills: - 84 months of experience with planning and managing IT applications or multiple business area data integration projects. - 72 months of direct experience with managing projects involving multiple, diverse stakeholders or business areas. - 60 months of experience managing projects involving two or more technology teams. - 36 months of experience with managing information technology project(s) that utilizes a waterfall development methodology.   - 60 months of direct experience managing data integration projects.  - 36 months of public health, health, or related IT projects.  - 12 months as a certified project manager professional (such as PMP certification)  - 36 months of experience with managing information technology project(s) which utilize iterative or agile development methodologies.   ",,,0,0,0,Full-time,Mid-Senior level,Certification,Information Technology and Services,Engineering,0
172,System Administrator,"GB, CMD, London",Technology,30000-35000,"The Technical Team and offering at VML London has a very strong heritage dating back to the mid-nineties when the London office was founded. Back in those days we were one of the first few purely digital marketing agencies in London; and back then things were a lot more technically driven than they often are today; as such the Technology team here continues to enjoy a strong strategic influence on the work that the agency undertakes and the clients that we engage.It just works.Technology is brilliant when you dont have to think about it. Thats what we strive for in our solutions. Our technology team has a strong strategic influence on the work we undertake and are involved as soon as the brief lands. They stay involved throughout strategy, creative concepting and design. Acting as important enablers, we bring new and emerging technology to the table to successfully marry the requirements of our clients with the vision of our creatives.Open standards, open minds.We aim to deliver the most elegant technical solution for your budget, and maintain a technology agnostic approach to development in order to achieve that. Technology can be expensive, both in terms of hard costs and costly mistakes, so were as careful with your money as we are with our own.   Perhaps thats why some of our clients have been with us for over 10 years. When faced with a new problem our first instinct is always to see if someone else has already solved it. As such, the solutions we design are built on the rich eco-system of open source software (OSS); the proven and battle-tested kind that we know will not just do the job quickly and cost-effectively, but also robustly. Where OSS isn't appropriate we always favour solutions that are built to open standards that we know will integrate well with other technologies.Strong partnerships.Our work is complemented by strong partnerships with technology vendors and suppliers.   Proven over time, our established strategic relationships with many of the leaders in software, hardware and other technology solutions help ensure were able to continue to offer best-in-class technical solutions for our clients.What we do:High availability and high performance website architecture & developmentWeb content management solutionsCreative technology ideationContent optimisation, search and analyticsHigh volume, multi-locale email campaignsMobile optimisationLocalisation","The role of the SAs is a vital role in the running of the platforms VML manage! We have a number of clients sites that require SA skills and maintenance as well as numerous internal machines/sites that need to be looked after.We are looking for a passionate SA to join the team to bring TLC to the platforms, to make them feel warm, loved and cared for and to keep them happy and running! As well as build new platforms and tools, support in-house development platforms and provide advice and knowledge about OS/DBA/hardware where needed. Role summary:What does the role of the SA entail for us…. Well:a)     Building new environment for clients, be it on the cloud platforms (Azure or AWS) or on bare-metalb)     Maintaining current sites (live and development) which are running Ubuntu, RHEL(4,5 and 6), Windows (not in live), with MySQL, Java, Tomcat, Apache webserver, NGnix, etc.. configurationsc)      Returning sites/machines to working state when they have crashes/had an outaged)     Pro-active maintenance and support to minimize outagese)     Building and configuring new support tools/platforms (e.g. JIRA, Confluence, Hudson etc..) as and when required.f)      Supporting and providing ideas and solutions for the Technical team for OS/DB issues (e.g. suggested infrastructures) etc..","Detailed knowledge of the Linux OS (RHEL ideally, with Ubuntu knowledge)DBA skills in MySQL (e.g. backups, restores, configurations), other DBs acceptableWebserver configuration (Apache and NGnix)Knowledge of Java based application systems (Tomcat ideal, JBoss and others acceptable)Knowledge of scripting (shell/php/sed/awk/etc..)Willingness to support out of hours and be on call if requiredAWS/Azure/Bare-metal builds.Hudson/Jenkins/Automated build and deploy tools (installation and maintenance)Source Control (SVN/GIT) installation and maintenanceGood written and verbal skills Useful extra knowledge and skills:Experience with CMS systems (CQ)Programming knowledge (Java ideal)Virtualization (e.g. HyperV)Windows server support and IIS knowledgePostgres DBA skillsKnowledge of Vagrant, Maven, PuppetLDAPSambaKey responsibilities:Maintaining and supporting live sites/machinesMaintaining and supporting development sites/machinesDeployments of code to multiple environments.Pro-active maintenanceTrouble-shooting and diagnosing issuesBuilding new environments.Taking the lead  proposing solutions, not expecting them to be given to you!Writing (where applicable) P1/2 reports. Challenges of the roleCoping with multiple, sometimes overlapping work streams  including P1 outagesBleeding edge technology changes Communicating with major stakeholders (TD, MD, CFO, Ops Dir etc..)Proposing technical OS/DB solutions for platforms and sites as required.Making sure the live sites *never* go down!!! ",,0,1,0,Full-time,Associate,Vocational,Marketing and Advertising,Information Technology,0
11556,Content & Social Media Executive / Intern,"GB, , ",Festicket,,Playfair Capital is an early stage technology investment fund based in London. ,"#URL_333c3dcf7e6dc4569080b6c3b2ce9434f1852630e71210899b6f1013cc95e1e0# is looking to offer the role of Content & Social Media Executive to a digital native whos creative, analytical, obsessed by live music and travel, and gets excited by driving up audience numbers and engagement rates.  First and foremost a content creator, the Content & Social Media Executive will also be responsible for generating and managing the editorial for the websites festival Guides, writing magazine articles and blogs to get people excited about festivals and travel, helping to write and create Festickets weekly email newsletters and regular Festival “Alerts”, generating audio-visual content when relevant, generating content and coming up with crazy ideas to run across Festickets social channels. They will also work very closely with the festivals themselves to ensure that Festickets products are promoted across their websites and social channels.Working alongside and reporting to Festickets Content & Social Media Manager, the successful candidate will be given the unique opportunity to contribute immensely to a growing brands social marketing and content strategy. This position will aim to increase the companys brand awareness, increase traction with online visibility, engagement, traffic and, ultimately, sales.",,,0,1,0,,,,,,0
17064,Escrow Officer - Title Closer,"US, FL, Tampa",,,"Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Your satisfaction, as our client, is important.  We are here to assist you and ensure a efficient, cohesive transaction.  Our experienced settlement officers and attorneys will travel anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.  For resume review, email to #EMAIL_bbbab0052922a3a922939af2180ff4d9f034ba04634bd573870818da62a0d151# or call Office: #PHONE_113b1bd5d3e5e087c3480c458e2ef299fd3a272612224463899e65ab2fe73995# x7141 Cell: #PHONE_c3853c0e034b798989b048d3a55a28d03177bfde5db40af1c435f6fa5a867868#","DESCRIPTIONLooking for a change?  Not happy where you are?  Then give us a call!!Network Closing Services, Inc., a full service Title Company is seeking Title/Escrow Closers with a book of business.  We are growing nationwide, come join a winning team!Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Our Client satisfaction is very important.  We provide experienced settlement officers and attorneys anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.Close residential purchase/sale transactions, in accordance with closing instructions from lender and sellerPrepare and package all documents to ensure recordingsPrepare all closing documents to ensure Title issues are resolved and Title Insurance is issued at closingConduct closing with customer, realtor, lenders, and attorneysCollect and disburse funds in accordance with escrow instructionsPrepare settlement statements including HUD and GFESend HUD's to appropriate parties and wire transfer informationMaintain and establish new client relationships - MarketWe operate in a paperless environment  Faster ServiceGrowth opportunityEmmanuel Sanchez#PHONE_dc5cff94cbcc4ac18e1234a2e6521946ae7d722bdf66cfc1af3aeca9255aee0d##URL_1bdaa45fd1ec77ea7879abfceafd610ca4fa9b4eb30eb6fa5f39b9ffac8a8c5a#                                            *Seeking candidates in Florida and Nationwide                                             *Mortgage Loan Closers Not being considered","re you a top Closer in your market? Are you a go-getter and able to market for new clients? Are you a dedicated customer service oriented Title Professional? If you answered yes to these questions then we want you!Experienced Title Settlement CloserMust have a current Realtor, Broker, Lender Book of Business  and ability to marketKnowledge of RamQuest a plusNotary public or be bondable","We provide a fun and rewarding atmosphere, our employees are supported at all levels to achieve a common goal.  We also have the traditional benefits including:Vacation PaySick PayHoliday Pay401k PlanHealth, Dental, and Vision Insurance",0,1,1,Full-time,Mid-Senior level,,Real Estate,,0
1361,Director of Human Resources,HK,,,"At Hayes-Corp, we create the fun stuff.  With a focus on software development for mobile platforms, we are constantly looking for talented people that can think outside the box logically and creatively.  Whether you define yourself as a coder, a marketer, or a number cruncher, we give you the resources to excel at what you do best. If you dont see a position you like, but think you have what it takes - just send your resume to #EMAIL_eaa37b5bf6cd5f435db52ea17edfd1f61c685afdf336f31ca36d3514a139e79e#.","At Hayes-Corp, we break molds. Whether you define yourself as a coder, an avid gamer, a painter or even a ninja, we give you the respect and resources to excel at what you do best. Hayes-Corp has an opportunity for the right candidate to join our Hong Kong and China office.Based in Hong Kong, this role with report directly to the CEO, you will be the on-the-ground HR leader of all locations including Hong Kong and various cities in China. Identifying any issues revolving around people ops in the region including internal talent identification and development, technical and non-technical recruitment of Chinese and foreign candidates and the implementation of high-level culture and strategy.The Role:Working collaboratively with the CEO to build and implement best practice HR processes and practicesProactively and creatively solving the day-to-day issues that typically hinder fast growing international companiesManaging the local HR team and all Asia vendors to build an effective and efficient recruiting platformCreating and implementing localised strategies related to training/development and talent retentionDeveloping innovative and unique solutions across all areas of People Operations to solve current and pre-emptively solve future problemsMonitoring the companys Asia cultural environment and proactively addressing issues when possibleMaintaining international best-practice standard of dealing with employees, candidates and vendorsBringing expert advice and tact to resolving local employee relations issues","8+ years HR experience in an international environmentPrevious experience in a Fortune 100 company is preferredBachelors degree in Human Resource Management, Business Management, or relevant fieldsExperience in a high-growth, fast-paced, and constantly changing and challenging environmentFluent spoken and written English and Chinese is mandatoryExperience of working in a technology company and/or start up preferred",,0,1,1,Full-time,Director,Bachelor's Degree,Information Technology and Services,Human Resources,0
13009,Auditor,"US, OH, Cincinnati",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)The Senior Accountant will contribute to and/or lead client engagements and will supervise the field work of junior staff accountants on assurance & audit related initiatives. Client engagements will vary in size, scope and industry and may include the involvement of managers and partners.Job Requirements:A strong desire for continuous learning and career advancementAbility to travel regionally for client engagements as neededExperience working with clients from a variety of industriesQualifications Required:Bachelors degree in Accounting or FinanceCPA certification or JD requiredJob Responsibilities:BudgetingSEC FilingsAnnual ReportsJob Title: Jr. Auditor - 3 years accounting experience - Cincinnati, OHJob Location: Job is in Cincinnati, OHVisit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Accounting,,0
14481,Storage Product Manager,"US, CA, San Francisco",Product,,"At SwiftStack, we build the cloud storage software that is used by some of the most well known brands on the Internet, in media and entertainment, financial services and life sciences. You'll like working here. We're a small company, in the early stages of growth. That means that you'll have the liberty to make a lot of choices, and the decisions you make will be meaningful. We're big enough to offer stability and good benefits (e.g. medical, vision, dental, 401K and commuter), but small enough that you'll know everyone and contribute everywhere. We're serious but casual, agile but judicious, confident but broad-minded. We've got a talented team that's just waiting for you to join us.","The Storage Product Manager is a pivotal role in our organization. In it, youll work with the executive team to refine the product strategy, with customers to understand their needs, and with an agile engineering team to deliver features. Youll harness these disparate forces and use their energy and expertise to create a product that will change the storage landscape. In order to succeed, you must have strong communication skills, a surplus of energy, and a bias towards action.","ResponsibilitiesAs the Storage Product Manager at SwiftStack, youll use your hard-won knowledge toCommunicate priorities, roadmap, and strategy to every corner of the organizationReach out to existing and potential customers to gather requirements for new featuresDesign features to provide a great customer experienceDefine and prioritize features for the engineering team in a way that supports incremental deliveryEvaluate delivered features for quality and completenessThe Storage Product Manager works very closely with executive leadership and the engineering organization. Candidates must therefore live in the San Francisco Bay Area and should expect to work primarily from the San Francisco office.",,0,1,0,,,,,,0
10631,Ohio French Creole Bilingual Communication Assistant ,"US, OH, Dayton",CSD Relay,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","French Creole Bilingual Communication Assistants provide communication access for deaf, hard of hearing, and speech impaired consumers through the telephone network by relaying telephone calls using voice and text in English and/or French Creole. Accept and place local and long distance relay calls for consumers.Follow desired method of billing instructions and enter billing information into the system.Translate electronic messages to voice messages and voice messages to electronic messages.Translate sentence structures and language patterns from American Sign Language (ASL) to Creole and French Creole to ASL to ensure the correct message is relayed.Convey the callers actual feelings and emotions.Relay contents of the call as accurately as possible without intervening in the conversation.Maintain strict consumer confidentiality and professionalism.Please note that we recruit for this position on an on-going basis in order to build a talent pool of potential candidates. When a position becomes available, we will review applicants at that time. Due to the volume of resumes that we receive, only those candidates selected for interviews will be contacted. Thank you for your interest in CSD!","A minimum of a High School Diploma or equivalent.At least 18 years of age or older.Typing skill of at least 50 WPM using a personal computer.Bilingual in English and French Creole.Ability to work various schedules including weekdays, evening and weekends.Ability to learn ASL syntax.","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career page.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, or national origin, including individuals with a disability and protected veterans.",0,1,1,Part-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
4004,Customer Service ,"US, , ",,,,"Our client is seeking an Customer Service with 1 plus years of experience.The ideal candidate must have-1 plus years of experience in the support role-Calendar, scheduling, correspondence, phones-Conference Planning, travel accommodations, hotel bookings, car rentals- Word, Excel and PowerPoint","Able to prioritize and work independently with minimal supervisionAble to work effectively in a team environmentDetail-oriented and the ability to follow up on tasksWork effectively under pressure and maintain a positive attitudeCapable of multi-tasking, prioritizing, and managing time efficiently","Health, Dental, Life and AD&D Insurance, Employee Wellness and 401k #URL_c801649eeb4007728c8f41b2d6629d92c2295ff77e1f2d401d7696ce3569db63# Time Off and Holidays",0,0,0,Other,,,Hospital & Health Care,Customer Service,0
12855,PL/SQL Developer ,"US, VA, Alexandria",,,,"Must Be GC or GC-EAD or US citizen. Must clear Public Trust Clearence.Our Client is seeking a Senior PL/SQL Developer:Responsibilities:Responsible for the architecture, implementation and support of enterprise-wide databases of 500+ GB in size.Create functional and scalable applications from design and development through implementation.Ensure database integrity as well as usability and utilizing knowledge in database (Oracle) design, tuning, modeling methods in multiple computing environments (Unix, Linux, AIX) as well as Oracle PL/SQL programming.Code complex PL/SQL packages, functions and procedures.Evaluate and seek solutions to production issues as well as new projects while working closely with application developers in solving production issues.Recommend schedules for database upgrades; assist in the planning and implementation of major software upgrades.Ensure all database projects and processes are fully documented.Perform in a distributed environment, the modifications and operations for Oracle database (10g DB, 10g AS, 10g CS and 10g DS), and Server (2000) environments.","Bachelors degree or foreign equivalent in Computer Information Systems, Computer Science, Information Technology or related field3+ years of progressive experience as software developer.Experience must include data modeling and OLAP technology, SQL*Loader, TOAD and Korn Shell programmingMust Be GC or GC-EAD or US citizen.",,0,0,0,Contract,Mid-Senior level,,,,0
16945,Home Improvement Marketing,"US, OH, Columbus",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","LEI is currently offering sales and marketing positions that include comprehensive training. No prior experience necessary; we will train you to learn a variety of skills from sales and human resources to management and mentorship. Successful candidates will have opportunities to advance through the organization. As a fast paced company in the direct sales and marketing industry, LEI continues to set the standard for excellence in client acquisition and customer retention.  Our  approach is not only a refreshing alternative to more general marketing strategies, but it is also responsible for the unprecedented growth and stability of our company. We pride ourselves on recognizing top performance, integrity, and a winning mindset; we promote 100% from within our company. If you possess a great work ethic and would enjoy a fun, young, and positive work environment, with the opportunity to learn how to manage the variety dimensions of a business, LEI represents a great fit for you.Responsibilities Include: Assisting in the daily growth and development of our company Acquiring new business clients Expertly managing the needs of external customers Developing strong leadership and interpersonal skills","JOB REQUIREMENTS Professional demeanor, organized, and reliable Great with people A strong work ethic Results driven attitude with hunger for success Ability to excel in a high-energy fast paced environment Must have own form of transportation",We OfferWeekly Salary plus commissions (approx $15-$20 an hour) Paid Training Full Benefits,0,1,0,Full-time,,,Marketing and Advertising,Customer Service,0
1472,Senior Quality Assurance Engineer,"GB, , London",,,"Yoyo has built a platform the creates better relationships between retailers and consumers. Our consumer app seamlessly blends payment, loyalty and discovery done right, and our set of retailer tools allows retailers to bring their customers in the door more often with timely and relevant offers.We're a one-year-old marketing and technology startup founded by senior executives from Visa, PayPal and Zopa. Weve raised over $5 million dollars in funding from institutional investors, strategic investors such as Telefonica, as well as super angel investors from the payment and retail sectors.We're a group of 20 passionate and talented engineers, entrepreneurs, designers and marketers. We're growing and we're looking for amazing people to join our team. Apply to any of the positions below, or if nothing fits your skills, email us at #EMAIL_7ce49be16f9e66439919f1c47a528335d3333bba509ffccb7866380bb8cc171e#.","Yoyo is bringing mobile payments to your favourite high street retailers. You can automatically earn rewards on every purchase you make and receive relevant offers from retailers you shop at or choose to follow in-app. For retailers, we provide the fastest way for customers to pay and get reward by fully-integrating into their point-of-sale system. This tight integration enables retailers to communicate with you in-app and drive return visits and increase average spend per transaction by sending targeted and relevant offers.Yoyo is made up of former senior team members from Visa, PayPal & Hailo, as well as seasoned entrepreneurs, marketers, designers and engineers. With $1.2M in seed funding, Yoyo launched in beta at Imperial College London and has several thousand active customers and has processed hundreds of thousand dollars in transactions. Yoyo is actively working with many well-known universities, catering companies and high-street retailers for further launches this summer. Yoyo is based in Clerkenwell, East London. We work flexible hours in a friendly and open-space environment and work remotely when required (but prefer to be in the same room as much as we can). We also have an open vacation policy, where we dont count days. We provide everything you need to enjoy your work including but not limited to the latest MacBook Pro with Retina Display and large external displays.As a Senior Software Engineer you will create software that consumers and retailers will use. You will be working throughout the entire software stack and building the infrastructure needed to support existing and future products. Our backend is built primarily in Python and Javascript and we use modern frameworks, tools and methodologies to get stuff done quickly.","You should apply if you:have built and maintained widely-used, real-world productshave an awareness of the entire web and mobile stackdemand code to be well-tested and believe in the benefits of testingare able to communicate with non-technical team members as easily as you do with technical team membershave an opinion on product and technical decisions and are able to justify them You would fit in well if you:have worked on an API beforehave worked on and have an understanding of client-side and server-side codehave worked in a startup environment beforeare familiar with: PostgreSQL, RabbitMQ, Puppet, Chef, AWS",,0,1,1,Full-time,,,,,0
9519,Brand Creative Director ,"GB, LND, ",,,,"Based in the heart of the City, this exceptional opportunity as Brand Creative Director in a fast-growing tech start-up is a challenging and varied position requiring a highly motivated and professional commercial guru, ideally with a degree, but certainly with an interest in pursuing a career in start-ups / technological businesses.The CompanyRecognised by Wired Magazine as one of Europes hottest tech start-ups, Appear Here is the leading marketplace to rent short-term space. Hailed a ""digital game changer for the high street"" by The Guardian, Appear Here is the simplest way to list and rent flexible retail space online. Our mission is to build a global network of spaces, so people can rent space in cities across the world and take their ideas to destinations near and far.Since launching in 2013, Appear Here lists over 500 spaces nationwide, and has over 5000 brands and retailers using the site. A diverse mix of big names and upcoming brands have all found space for their ideas with Appear Here ranging from Microsoft, Diageo, Google, & the BBC to Serge de Nimes and Stylist Magazine. The RoleWere growing fast, and to support this were looking for a Brand Creative Director to help us broaden and develop our client base, networks, relationships and commercial strategies. This is a fantastic, unique opportunity to join a great team in a hands-on, leadership role, and play a pivotal role in our on-going growth and success.","You will have a track record within leading a team and working with major brands on numerous projects. You will have experience in being responsible for branding and execution, with a history in working across industries. You will be self-motivated with a creative mind and entrepreneurial by nature.Key areas of responsibility within this Brand Creative Director role include:Develop a key area for our business for major curation projectsBe a bespoke person for our vision, mission and valuesBe a external party for the company at events, PR situations and otherBe responsible for bringing on bigger brands on to the platformBe a key player with sales team to develop bigger projectsOversee local initiativesSkills and Qualifications 10 years of branding expertiseQualification as project managerStrong knowledge across industriesWorked with external communicationProblem-solver and self-starter",Excellent Salaries Company Benefit PackagesInternational Development Company Progression & Support schemes,0,1,0,Contract,Director,Unspecified,Retail,,0
9126,Internship at Forward Partners,"GB, LND, London",FP,,"Based in Hoxton, London, Forward Partners are a “startup catalyst”, combining investment with practical hands-on expertise and insight.We're investors in very early stage ecommerce companies.  Our team have the experience to enable entrepreneurs to succeed.  We do more than advise and mentor. We help the best entrepreneurs quickly find a great product-market fit with our expertise in customer discovery, coding, design, customer acquisition, testing and analysis as well as offering office space.Companies invested in include: Hailo, Zopa, Wool and the Gang, Thread, Big Health, Stylect, Makers Academy, Blik Book, DriftRock, Top10, SnapTrip, Loyalty Bay and Appear Here","About usBased in Hoxton, London, Forward Partners is a “startup catalyst”, combining funding with practical hands-on expertise and insight.Our mission is to invest in the UKs top eCommerce startups and offer them best in class help to succeed.  Our team of startup experts have the tools, tricks and experience to enable entrepreneurs to rapidly and securely grow their companies. We do more than advise and mentor, we roll our sleeves up and get involved. Our support spans customer development, coding, design, customer acquisition, recruitment and fundraising. We also offer co-working space to our companies.Companies weve helped include: Hailo, Zopa, Wool and the Gang, Thread, Big Health, Stylect, Makers Academy, Blik Book, DriftRock, Top10, SnapTrip, Loyalty Bay and Appear Here","About the roleThis internship will be a chance to work directly with the Partners of the business as they complete the set up of their fund structure.  You will assist the Managing Partner as he attends meetings with potential new investors to the fund, taking care of preparation and follow up for these meetings.  You will also assist in the set up of the fund administration; collecting information required for Due Diligence on investments, creating the data rooms and filing systems related to our investments, and working on a project to regulate the firm directly with the FCA.This is an exciting chance to work in a fun, fast moving environment at the heart of Londons tech scene with an innovative venture capital fund and would suit an individual who is looking to learn about the tech startup sector or develop a career in venture capital or private equity.About youYou are studying towards a Masters in business administration (or equivalent)You have the necessary permissions to work in the UKYou are confident, driven but of humble natureYou have strong intellectual ability and great clarity of thoughtYou are persuasive, verbally and in writingYou have mastered business software applications such as Excel and PowerpointYou are highly organised and dependableYou enjoy working in small teams at paceYou have a capacity for hard work and the time available to dedicate yourself fully to this assignment (3-4 months starting mid-August 2014)",,0,1,0,Other,Internship,Some College Coursework Completed,Venture Capital & Private Equity,Other,0
8841,Community Development Manager,"GB, LND, London",Marketing,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"We're on a hunt for a community development manager to join our fast-growing marketing & PR team.This role is about growing our communities online & offline, growing strategic partnerships, engaging with existing communities, building brand awareness and making the whole TransferWise customer experience more and more social. The role will also involve reporting to senior management and training junior employees. The successful applicant will be working in Europe, US and Asia regions and dealing with clients and customer for those regions. We're looking for somebody to: Deal with social media & community management. You'll be the voice of the brand in social media, creating & executing ideas for different target groups.Develop creative and engaging activities to drive brand loyalty and customer evangelism. Devising strategies on how to improve the current customer referral program and conduct A/B testing on  customer referral programs (analytical know-how is key).Grow and source strategic partnerships that fit well with TransferWises key service offering.Develop and manage a 'brand advocates' / star-customers program.Organise & host events for key customer groups and developing our business in this area.Design and roll-out marketing expansion plans from a community perspective.","Is this you?Experience in a management consulting or investment banking role. University degree is a must for this role and if you have MBA it is a great advantageSelf-starter and incredibly resourceful; ability to drive his/her own projects.Good negotiation and presentation skills.Excellent PowerPoint and Excel skills.100% digital native. You know every trick there is.Super-bright, and naturally creative - able to make a financial service come to life in an incredibly compelling way.A people person. You must love people. Meeting them, talking to them and hanging out with them.Perfect spoken/written English is essential and given the international sectors you will operate within and TransferWise international expansion, knowledge of French, German, Spanish or Chinese would be helpful to your role and advantageous for any applicant. The closing date for applications: 19th July 2014","TransferWise prides itself on being a fun and friendly place to work. It cares about developing a strong culture and maintaining its startup ethos. For instance, we hold bi-annual whole team get together abroad and go out for team lunch every Friday.Salary: £40 000 + benefits ",0,1,0,Full-time,,,,Marketing,0
16795,Escrow Officer / Title Closer,"US, FL, Orlando",,,"Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Your satisfaction, as our client, is important.  We are here to assist you and ensure a efficient, cohesive transaction.  Our experienced settlement officers and attorneys will travel anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.  For resume review, email to #EMAIL_bbbab0052922a3a922939af2180ff4d9f034ba04634bd573870818da62a0d151# or call Office: #PHONE_113b1bd5d3e5e087c3480c458e2ef299fd3a272612224463899e65ab2fe73995# x7141 Cell: #PHONE_c3853c0e034b798989b048d3a55a28d03177bfde5db40af1c435f6fa5a867868#","Looking for a change? Not happy where you are? Then give us a call!! - Network Closing Services, Inc. is looking for Local Title/Escrow Closers!  We are growing, come join a winning team!-We are a full service Title Company- Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Our Client satisfaction is very important.  We provide experienced settlement officers and attorneys anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.Close residential purchase/sale transactions, in accordance with closing instructions from lender and sellerPrepare and package all documents to ensure recordingsPrepare all closing documents to ensure Title issues are resolved and Title Insurance is issued at closingConduct closing with customer, realtor, lenders, and attorneysCollect and disburse funds in accordance with escrow instructionsPrepare settlement statements including HUD and GFESend HUD's to appropriate parties and wire transfer informationMaintain and establish new client relationshipsWork independently and in a Team environment - No micromanaging                                 We operate in a paperless environment          Seeking Closer's  throughout FloridaEmmanuel Sanchez#PHONE_dc5cff94cbcc4ac18e1234a2e6521946ae7d722bdf66cfc1af3aeca9255aee0d##URL_1bdaa45fd1ec77ea7879abfceafd610ca4fa9b4eb30eb6fa5f39b9ffac8a8c5a#",Are you a top Closer in your market? Are you a go-getter and able to market for new clients? Are you a dedicated customer service oriented Title Professional? If you answered yes to these questions then we want you!Experienced working at a Title Company as a CloserMust have a client following/Book of Business and ability to MarketMust be able to market for new clientsAbility to work independently and manage time effectivelyKnowledge of RamQuest a plusNotary public or be bondable,"We provide a fun and rewarding atmosphere, our employees are supported at all levels to achieve a common goal.  We also have the traditional benefits including:Vacation PaySick PayHoliday Pay401k PlanHealth, Dental, and Vision Insurance",0,1,1,Full-time,Mid-Senior level,High School or equivalent,Real Estate,,0
11957,New Product Development Project Leader,"US, OH, Cleveland",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500 Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Location - Atlanta, GA, USAJob Type  PermanentJob Requirements & Responsibilities SPECIFIC RESPONSIBILITIESCoordination and project management of New Product Development projects:Act as team leader and perform project management duties on New Product Development projects by leading cross functional teams through a defined and gated NPD process.Lead cross functional teams through the development of a project timeline and maintain it throughout the life of the project. Periodically present the status of the project to management.Facilitate and coordinate project activities to minimize project timelines.Assign to alternate members find alternative resources or personally complete project tasks for team members who are absent or unable to complete tasks per the timeline.Develop and manage the project budget with the team including development expense capital tooling and expense tooling.Guide the team to develop new products that meet cost targets. Primarily responsible for creating preliminary product cost estimates in conjunction with engineering. Primarily responsible for the accuracy of preliminary cost estimates and final costing of products.Define roles & responsibilities for team members in undefined processes when new processes are created or unique instances occur.Manage the collection of project data for NPD process gate exits and release for shipment documents.Facilitate team meetings and record meeting minutes.Connect members to appropriate personnel including manufacturing test labs legal etc.Drive the team manufacturing personnel and supply chain towards on time completion of the pilot build and inventory build.EDUCATION AND EXPERIENCE REQUIREMENTSBachelor of Science degree in Engineering (or other applicable four year degree) and a minimum of eight years of experience in project management product design process engineering or manufacturing engineering. Alternately fifteen years of experience and proven ability in related field or work environment. Experience in managing projects working in cross-functional team environment and/or functioning as project team leader required. Strong organizational and communication skills required.",,,0,0,0,Full-time,,,,,0
6726,Business Analyst ,"US, TX, Houston",,60000-120000,"Omega Systems Group, Inc. is a professional services and management consulting firm, specializing in providing IT services in the areas of; Business Management Solutions, Project Based Consulting, Talent Acquisition, Training, Middleware and Web Services.  We are experienced in working with government agencies and mid to large size corporations within various industries.  While our core business is Strategic Planning and Business Process Re-engineering, we are also considered experts in customized Software Development and Content Management Web Development.Omega Systems Group provides seasoned management consultants and IT professionals for our Clients mission critical projects. We identify the best fit for their project needs through careful assessments and analysis of IT resources in the following areas:► Project Management► Web Design & Development► Information Design & Architecture► Business and System Analysis► Software Development and Engineering► Database Design, Development, and Administration","•   Requires a college degree•   Requires a minimum of five years experience with client relationships and customer service.•   Experience on working with Web Applications and Content Management Systems.•   Proficiency in Microsoft Project Server 2010•   Proficiency Microsoft Project Scheduling (2010) •   Proficiency in Excel, PowerPoint•   Able to use enterprise-wide requirements definition and management systems and methodologies.•   Able to manage multiple initiatives, projects, priorities and deadlines.•   Able to demonstrate strong analytical and product management skills.•   Thorough understanding of how to interpret customer business needs and translate them into application an  operational requirements.•   Able to demonstrate excellent verbal and written communication skills.•   Able to interact professionally with a diverse group including executives, managers, and subject matter experts.•   Strong problem solving and organization skills.•   Able to collaborate with others to accomplish the company's/organization's objectives.•   Able to work in fast paced environment with high daily pressure to meet deadlines.Able to work irregular hours and overtime as needed or required to meet established deadlines.",,,0,1,0,Contract,Associate,Bachelor's Degree,Information Technology and Services,Business Analyst,0
1202,Developer,"GB, ISL, London",Engineering,,"Cohaesus is a trusted partner to the world's greatest advertising, marketing, communications and creative agencies. By bridging the gap between creative and web development, we work together with internal teams to architect, deliver, test and maintain reliable, scalable technical solutions.Our uniquely flexible approach  coupled with a detailed understanding of the agency world  means we offer a set of services specifically designed to support the needs of creative agencies. From extra brains to help get the most from creative ideas, to extra hands to help meet that deadline and extra scale to stretch budgets with our offshore teams.","We are looking for developers who have a thirst for solving problems using the latest technology and best practices. We provide a great environment to build out a career and become famous.You should be eager to get experience on a vast range of platforms and projects. You need to be talented with a real passion and natural aptitude. You probably feel a little frustrated with your current role. You sweat the details. Youre passionate about a great user experience. You would to love work with world class agencies who appreciate it when you get every detail just right.You will be splitting your time between our offices next to Silicon Roundabout in London, and on-site in some of the world's best creative agencies.We believe nothing should get in the way of you doing your best possible work, and have structured the company around this principle. We keep our meetings lightweight, our development processes up to date and dead simple. We aspire to have a role entirely devoted to “developer happiness”!If you are smart, personable, put your team first and want to build a career then join us.","Required SkillsExpert knowledge of .Net, C# with SQL Server;Good knowledge of HTML/CSS/JS;Aptitude for programming;Passion for technology, a self-learner.Preferred SkillsAn appreciation of interface design;An understanding of user experience.PLEASE NOTEWe are unable to sponsor work permits or visas for this role. Applicants must therefore have the continued right to live and work in the United Kingdom to apply for this vacancy.STRICTLY NO RECRUITERS",30 Days HolidayHealth planBonus SchemeMentoring/TrainingA Herman Miller chair!The opportunity to work with the best creative agencies in the world,0,1,1,Full-time,Entry level,Unspecified,Marketing and Advertising,Information Technology,0
16286,Intern,"DE, , Berlin",,,We are on a mission: Making cities smarter.Cities are complex. And your smartphone should save you from the everyday challenges of living in them.How do we contribute? We are reinventing the best public transport app. (#1 Winner of the Mobile Tech Award)We're based in Berlin. Close to Hackescher Markt. We have a rooftop terrace & a plunge pool. We love it.We are hiring now!,"Join a great startup. Contribute your talent. Improve your skills.allryder is one of the leading 'civic tech startups' in Europe. We're open to recruiting a variety of skill sets:* Operations (i.e. HR, Business Development, Project Management)* Marketers (i.e. Performance Marketing, CRM, Social Media, Branding, PR, Media, Communities)* Product Management (i.e. Quality Assurance, Project Management)* Designers (i.e. UI/UX Design, Visual Design)* Developers (i.e. Mobile, Backend, Machine Learning)Anyone that is passionate about what we do and wants to help.Paid opportunity. Rates depends on the person.",,We have a phantastic work environment. See our benefits & perks: #URL_620c3751a70f14f8e05fa45219c418756c9979270b50c234b913b1ad04b3e84d#The greatest benefit: Become part of something amazing. With real impact. Now.,0,1,1,,,,,,0
2883,Entry Level Safety Compliance Manager,"US, VA, McLean",Building Services,40000-50000,"HireHopes is the premier professional services consulting firm that specializes in strategic job search, career advisement services, and professional coaching with an emphasis in technology sectors.","Programs ManagerThe safety program manager will visit job sites to ensure that all operations are performing up to safety standards. Will be trained on proper safety and risk mitigation techniques, and will be responsible for providing feedback to leadership and clients: Showing value of program to client (Selling Value)Potentially selling addiontal safety programs, Providing Sales Support on the programBe an industry expert for window cleaning compliance, safety, etc… (This is where we will have to train and have he/she learn though experience)Creating schedules and being organized for 6-8 certification meetings with clients (2-3 days per week)Inspection of our crews and identifying safety and risk at the job site.  Then, meet with the client and be an intermediary between crew and client.Speaking Spanish would be a huge plus!Learning compliance and safety information.  Then creating a presentation to provide training to our crewsGoal(s):Risk managementClaims managementSafety managementResponsibilities:Coordination and facilitation of professional engineering certificationsReviewing certifications for accuracyPresenting “hands-on” and classroom style presentationsCreating and manipulating training and presentation materials in Microsoft OfficeCreating training videosCreating digital layouts in CAD (Computer Added Drafting)Disseminate and condensing compliance information to communicate to clients and techniciansAnswering client requests and attending client meetingsCreate partnerships with clientsProviding support to business development and operations teamsComplete training/professional development (Example: complete OSHA 30 hour training)Skills:Team builderHard workerProblem solverOrganizedProficiency in Microsoft officePlanningVerbal & Written skillsRelationship building",Highly valued (Not Mandatory)Bi-lingualCollege ExperienceOperations ExperienceSafety certifications (OSHA & ANSI),Base salary / benefits,0,1,1,Full-time,Entry level,Some College Coursework Completed,Commercial Real Estate,Management,0
15646,Accounting Associate,"US, CA, San Diego",,38000-48000,"Who are We?Aya Healthcare is a top healthcare staffing organization because of our passionate, creative and talented employees. We have fun while we work and achieve results. We have grown 77% per year for the last 3 years and we are looking for more phenomenal talent to join our team of A-players. What Sets Us Apart?We are obsessive about creating great experiences for our clients and employees which makes us one of the best.  We will go the extra mile to make both our clients and employees happy.  We value our employees, recognize, and reward hard work.  Youre not just another number to us, youre an important part of our team and we want to invest in you. Who are You?You are self-motivated and strive for resultsYou are just as obsessed as we are about creating great experiences for our clientsYou want to be a part of an organization that values your talentYou think outside the box","Join one of San Diego's 2014 Top Workplaces named by the UT San Diego!Since 2001, Aya Healthcare has been facilitating great travel nursing experiences. We are committed to the highest clinical standards and have been Joint Commission certified since 2006. We are dedicated to providing excellent service and care for our nurses on every assignment.  Our innovative healthcare recruitment initiatives have been recognized by countless of national media outlets and won the media award from the American Academy of Nursing. We offer travel nursing and local contract services nationally and per diem staffing services in select markets throughout the United States.  We are looking to add an Accounting Associate to our corporate headquarters in Sorrento Valley.Job briefWe are looking for an Accounting Associate that is able to handle a variety of responsibilities from payroll to AP/AR.  Key tasks & responsibilities: • GL account reconciliations• Accounts payable• Cash application• Payment research• Payroll auditing• Bank account reconciliations",We are looking for:• Bachelors degree in accounting or finance• Strong excel skills• High level of detail orientation• General knowledge of accounting functions,"What we offer:We value our employees, recognize, and reward hard work. Which is why we only recruit the best. The talent in our business receives great benefits and the opportunity to grow. Unlimited PTO *, free premium medical, dental, vision, and 401K match. Some of our fun perks include yoga, boot camp, happy hours, and more. If you think you would be an asset to our organization, let's connect. We are committed to redefining service in healthcare staffing. Are you?*Effective January 1, 2015E.O.E. Hiring Organization: Aya Healthcare",0,1,1,Full-time,,Bachelor's Degree,Hospital & Health Care,Accounting/Auditing,0
15204,Product Designer,"US, , ",Design,,"Farmigo is a mission-driven startup with a simple, ambitious goal: we want to make local, sustainably produced food more accessible and affordable in every community. Farmigo empowers individuals and communities to create “virtual food co-ops”, where community members can order directly from local farmers and foodmakers on #URL_7ed4cf4f2d751a0be7cc763d0f9863a0e6e3f56e0387d3d0185ac4b29c4af2d7#, then pick up their orders at a pick-up site in their neighborhood.This is an extraordinary opportunity to take a leading role in a critically important movement, and to join a fast-growing, venture backed startup with a world-class team and great (and delicious!) perks. We are a hard-working, passionate group of organizers, designers, technologists, digital movement builders, and logistics gurus, working together to transform the food system one community at a time. ","Were looking for a product designer to help us reform the food system. Youll become a founding member of our design team in New York City, working directly with our Director of Design to establish our practices and shape the design of our product. As a product designer, youll be focused on crafting the most beautiful and usable experience for our users, principally online but also offline. You are rigorous about talking to customers and analyzing data to inform your designs, but you also know when to follow your gut. Most importantly, youre excited to wake up every morning ready to directly shape a meaningful product that has the potential to make a massive dent in the food system.Responsibilities:In a nutshell: Creative problem solvingAnalyzing data and feedback to determine pain points, and designing solutionsWireframing a breadth of approaches quickly (pen, napkin, whiteboard, Balsamiq...your choice)Building interactive prototypes of your designs, with any toolPerforming usability testsGoing beyond the web: You arent afraid to also make our users offline experience as beautiful and delightful as possible","Passion for the local food movement1-2+ years of experience in designing consumer internet applications that have shippedAbility to take ownership of projects and see them to completion, collaborating with different teams within Farmigo (Community, Field Organizing, Marketing, Development)Ability to communicate and rationally defend designs to the rest of the teamAbility to write clean HTML, CSS and ideally some JavascriptHumility and a lack of ego. You want to help sustain a collaborative, optimistic, and supportive cultureA sense of ownership and dedication to your craftFamiliarity with agile developmentYou love design. Like, a lot","Competitive salaryStock options based on level of experienceHealth and dental benefits30% off all Farmigo food (trust us, youll love this one!)Test photo shoot food samplingsWork with our amazing team out of our awesome warehouse office on the Gowanus canal",0,1,1,Full-time,Not Applicable,,Design,Design,0
13923,"Engineering Manager - Project Engineering Exp - Houston, TX","US, TX, Houston",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Engineering Manager is required having responsibility to coordinates, monitor and control all Engineering related activities and issues including the flow and characterization of information and data between the disciplines engineers  Job Responsibilities: In partnership with the Project Manager, mediates the cooperation of the engineering disciplines and prioritizes the arising tasks referring to engineering during the entire project.Coordinates, monitor and control all Engineering related activities and issues including the flow and characterization of information and data between the disciplines engineersProvide functional leadership and support to the Engineering team and to project engineers.Ensure appropriate information exchange between engineering disciplinesSupport of the project manager with regard to engineering issues.Ensure the implementation of the Company's group directive safety, health and environment protection in the design of the plantGenerate and maintain ownership of all major engineering documents for technical process design and plant design as well as definition and coordination of battery limits.This position allows you to provide the following functions:Perform highly complex and diverse assignments utilizing an in-depth and broad knowledge of involved scientific theory and principals, practices and/or precedents.In depth knowledge of the Corporations products and processesUtilizes constructive thinking to define and analyze a variety of problems and alternatives for solutionsMay represent Company to business unit or to oversee counterparts.Manages engineering function for a small to medium group of in house or contractor engineers","15 years or more of project engineering experience.5 years of experience in supervisory or project leadership rolesAt least 3 years of experience at the senior level in the Chemical/Petrochemical Industry.Professional Engineer License preferred.Detailed knowledge of Global Capital practices and Global contractor work processesStrong detailed knowledge of Company's products and processes is desired.Ability to work on multiple projects and tasks at one timeSelf-starter, excellent communication skills, organization, coordination, and leadership skills.Demonstrate good leadership/collaboration skillsDemonstrate good team leadership and coaching skillsDemonstrate good analytical thinking, customer focus Educational Qualifications:BS Degree Chemical, Mechanical, Civil/Structural EngineeringMasters degree is highly preferable.""Make sure the Skill Set, experience and justification for the job must be well demonstrated in your updated resume, Otherwise client will assume that you are not qualified for the job, even if you are. Must have US Green card or Citizenship or valid work authorization, no H1 Sponsorship""i<><69>ot h <20> Symbol;color:red'&gt;·         May represent Company to business unit or to oversee counterparts.Manages engineering function for a small to medium group of in house or contractor engineersWe Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,,,,,,0
3160,Operations & Logistics Manager,"US, NY, New York",Operations,,"Cleanly is the easiest way to get laundry done. At the tap of a button, users can schedule an order and have a Cleanly Valet swing by to pickup and deliver their laundry, with payment and tip charged to their card on file.We're powering local laundry delivery at scale through the use of technology and are looking for super smart people to join our team and help us revolutionize an old industry.","Cleanly is looking for Operations &amp; Logistics Managers to lead our team of Fulfillment Associates and drive growth through continued improvement and optimization of our fleet of drivers and cleaner facilities.The Operations &amp; Logistics Manager is an intense business side startup role &amp; this person will work closely with our engineers and the Director of Operations &amp; Logistics to monitor driver behavior and ensure efficiency through scheduling, capacity planning, turnaround-time management, and driver incentive programs.This role will have direct responsibility for the growth of Cleanly. Are you up for it?What Youll Do:Direct our Fulfillment Associates and provide support to ensure high level of performanceFleet management and scheduling / supply chain managementDriver On-Boarding and trainingAnalyze metrics on a daily and weekly basis and provide insights for product/process improvementsExpansion planning and recruitingSupplies procurement and managementTake ownership of Cleanlys growth in your designated areaWhat Youll Need:Bachelors Degree in Economics, Finance, Engineering or similar field2-4 years of operations/analytical or related experienceData driven decision making and strong analytical thinkingRelevant experience in logistics software or technology a plusLove for the startup mentality of get things done",,Full-time salary negotiable based on experienceEquity compensation planFree laundry &amp; dry-cleaningThe chance to work directly with startup founders at the ground level to disrupt an old industry and change the way people think about laundry &amp; dry-cleaning,0,1,1,,,,,,0
18,Southend-on-Sea Traineeships Under NAS 16-18 Year Olds Only,"GB, SOS, Southend-on-Sea",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Government funding is only available for 16-18 year olds.We have 10 vacancies for career driven, highly motivated individuals living in the Southend-On-Sea area.You will complete a 4 week traineeship before starting your apprenticeship in Customer Service. You will receive full training and support for the training provider.Please apply now and you will be invited to attend the open day.",16-18 year olds only due to government funding.Career prospects,Career prospects.,0,1,1,,,,,,0
15936,"Apparel, Streetwear Designer","US, CA, San Francisco",,30000-48000,Innovative streetwear and clothes you can play with. Soon in a crowdfunding platform near you.,"Prize Pony is a new, fun clothing company with a functional twist. We have a proprietary, tested concept for a new line of men's and women's clothing. Now, it's time to take the concept into reality. Made in the US, fun to wear, fun to sell, different.We're looking for a temp and/or part-time apparel designer who can help us spec out the materials, designs and patterns we need to hit this out of the ballpark. The clothes are straightforward  tshirts, hoodies  with a few embroidery and design challenges. We have detailed prototypes (and lots of them).",Experience in designing streetwear and portfolio to show for itAbility to create wearable prototypesUnderstanding of various materials from basic t-shirt garments to hook-and-loop attachmentsAbility to coordinate with manufacturers as needed,"Flexibility and the ability to set your own hoursPotential for continued employment after initial projectPotential to structure compensation to include royalties, if desired",0,1,1,Full-time,Entry level,Associate Degree,Apparel & Fashion,Design,0
11817,Backend Stack Developer Intern,"IN, KA, Bangalore",,120000-240000,"""Play anything on your TV at anytime with the tap of a finger"" - sounds like a lazy man's ambition, doesn't it? Teewe is one device which we believe can achieve this goal. Teewe is an HDMI Dongle, which allows you to stream media wirelessly via a smartphone application to your television. Get the device home, plug it into your TV's HDMI, download the app and you are good to go!Teewe is Mango Man Consumer Electronics Pvt. Ltd's flagship product. At Mango Man we are obsessed about making great electronic products that are extremely affordable. We believe that a connected home entertainment experience is the next step in the smart life evolution and we aim to be at the forefront of it.Find more about us at #URL_5fc03a7a10c772c41d82945eaec97b1b88b01daaaae606052ff918824a9e952d#","Teewe, is a low cost HDMI Dongle which connects to a Television and allows you to stream content wirelessly via a smartphone application.Post our launch this year, Teewe has seen tremendous uptake and was sold out within 72 hours of its debut. Teewe received rave reviews in leading media publications. Some of them are mentioned belowNDTV: #URL_d131317efec03bff81141cf424864d9974edc62fe8e6848236527f9d2bb5940d#ET: #URL_647e11027d15db707207b89a0cd406859e69a75280dc9c0d55452bb4f178b433#We are a team of young engineers who have worked in best technology startups and have built variety of products, from games to big data analytics products. We believe that we are building a global product which can disrupt the way people consume content in their homes. We are backed by some of the biggest names in the industry and also one of the top tech startups of the country (Our company name is Mango Man Consumer Electronics)As we grow we are looking to hire a bunch of enthusiastic folks to help us build awesome experiences to delight our consumersWe are based out of #URL_4adb443a5653864fc32110a3e8aa9a77fa9b24682e12323d0e89541f6511e839# you are looking to work with a world class Engineering and Product team to make an amazing hardware cum software product then teewe is your calling!PS: Please note that this is a 4-6 month internship ",1. Comfortable with handling databases (postgreSQL/mysql)2. Proficient in Java/PHP3. Well versed with revision control systems like GIT,Stipend of 20k pm&amp;Hardware all around you to tinker around&amp;Free Beer!,0,1,0,Part-time,Internship,,Computer Software,,0
15861,School Bus Driver - Strathroy,"CA, ON, Strathroy",School Bussing - London & Region,,"Voyageur is one of Ontario's leading transportation companies, serving Southwestern and Central Ontario for over 34 years. Voyageur offers professional passenger transportation solutions in four different business segments: Non-Emergency Medical Transportation (wheelchair and stretcher transfers), School Bussing and Charters, Public Transit (Conventional and Para), and Checker Limousine. We also provide various Training Solutions including Red Cross First Aid and CPR and First Responder training, licence upgrading and various Health and Safety Programs. We continuously focus on safety and driver training. A careful recruitment process, a comprehensive orientation program, regular road evaluations, performance appraisals and defensive driving education programs assist us to maintain the standards of our drivers and the quality of the services they provide. Much of our management team and seasoned drivers have been a part of the Voyageur team for many years.","Voyageur's School Bussing fleet is comprised of school purpose mini-vans, mini-buses, wheelchair buses as well as 72 passenger school buses. We provide special needs, ambulatory and school student transportation services. Voyageur currently operates in Middlesex, London, Oxford, Waterloo, Elgin, Dufferin, and Wellington counties.A School Bus Driver works a split shift, transporting students to and from school Monday to Friday from September to June. Drivers ensure children's safety and ensures that safe work practices are followed.  Many of the routes are driven with a wheelchair accessible bus.  Drivers of these routes are required to aid passengers boarding the bus, maneuvering wheelchairs, fastening Q-Straint belts, and lifting portable assistive devices.  All Voyageur School Bus Drivers provide superior customer service and many routes require drivers to work closely with individuals with special needs. This position includes the following duties:Transportation of school aged individualsProperly complete Hours of Service paperworkBe able to maintain a strict schedule by arriving at designated locations on timeVoyageur Transportation is committed to provide accommodation for individuals with disabilities throughout the recruitment process. Accommodations are available upon request of the internal or external applicant. If you require an accommodation due to a disability for an interview, please notify Human Resources directly to make appropriate arrangements when contacted for an interview.",Posses a B or E licence. Applicants with any other class of licence (minimum G class) will be considered but must successfully upgrade to an E or B class licence. (No cost training provided)Posses clean drivers abstract and obtain a recent copy for a driver file.Display safe and defensive driving techniques.Ability to work independently.Demonstrate excellent customer service skillsHave a sound knowledge of the region of the assigned school route and surrounding areas.,"Weekend, holiday and summer work is not required but available to interested drivers through our Charters divisionAll drivers requiring an upgrade to a B or E class will receive no cost licence upgrading and training from our in house trainers.As a Voyageur driver you are able to start and stop your route at home, as our drivers can park their school bus at home.You may take your children with you on the bus! (based on sufficient seating)Voyageur prides itself on its family-run tradition and culture, our social committee organizes several social events throughout the year for our staff and their families and we welcome all our drivers to join us at barbeques during the summer and breakfast meetings in the school year.With several other divisions such as Luxury Charters, Non Emergency Patient Transfer, Paratransit and Taxi/Limousine the career opportunities with Voyageur are limitless!",0,1,1,Part-time,,,Transportation/Trucking/Railroad,,0
2422,Finance Assistant,"EE, 37, Tallinn",Finance,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"This position is an exciting entry level position, where we see the experience being the greatest benefit. We're expanding rapidly so if you prove yourself invaluable to the team, there are chances of career growth.TransferWise is a fast growing startup helping people transfer money. Co-founded by Skypes first employee and backed by some of planet's most experienced innovators, including PayPal founder &amp; Facebook's first investor Peter Thiel, we're disrupting the world of currency &amp; international money transfer.We are looking for a finance assistant to support accounting, control and finance development activities. Our finance team aims to do accounting as easy and automatic as possible and do magic with the data we gather. As volumes grow exponentially then this is a continuous challenge to reach.We envision you a soon-to-be or recent graduate, ready to take in a huge amount of knowledge in short time period, without very much supervision. In return, you'll get the crash course of your life within a small world-class team.",REQUIREMENTSDo you?- Have passion for numbers- Enjoy problem solving- Love precision and pay attention do details Are you?- Intelligent- Motivated- Curious Can you?- Understand basic accounting principles- Juggle Excel spreadsheets- See meaning behind data and numbers,"BENEFITS- Competitive salary, depending on experience- Options Package",0,1,0,,,,,Finance,0
10535,UI / Web Design,"US, GA, Savannah",,,"Focus Lab, LLC is a boutique brand and interactive design company headquartered in the heart of Savannah, Ga. Our clients—ranging from innovative West Coast startups to established global firms—come to us for clean, intentional design work, customized content management builds and consulting, and a great overall customer experience. We have an integrated, talented team of designers and developers with a penchant for web nerdery, Superman t-shirts, and old school Gameboy. More at #URL_8cf0af10d3b6d6b96ca3cab7993e43c3b759467d96c24660e54ecdf60f92cc74#","The lowdown: We are in need of a strong designer with skills in both UI and web design (mobile &amp; desktop) to assist us with some awesome work. This starts as a part-time contractor (1099) position. We are going to be extremely selective about your:Strength of work with web + UI (branding is a bonus)AvailabilityAbility to prove efficiency with working remotely (if you're remote)Compatibility within a team atmosphereRemote is acceptable, United States only - Timezone relative to ours (we're aiming for US Central or Eastern)You can expect to be:Designing large scale websites with various level of complexitiesTackling UI work, including mobile and web appsPotentially kingpinning new UI projectsInterested? Cool. Heres what happens now…Please respond with statements of your experience, expertise, enthusiasm, wage requirements, and a link to your portfolio. We will be reaching out with follow-up questions and phone interviews over the next couple weeks. We look forward to hearing from you!","You must be able to say yes to the following:Consider yourself creative?Is web design / UI one of your biggest strengths?Are you passionate about what you do?Have you worked remotely before?Do you like other people?Have a sense of humor?Do you live in the United States?You have:Extensive knowledge in Adobe Creative Suite, PS, AI (Duh)A portfolio that we can review (web format, por favor)The ability to work collaborativelyGreat communication skills",,1,1,1,Contract,,,Design,Design,0
17212,UX Designer + Architect,"US, CA, San Francisco",,,"From the lands of Can Do, Roll Our Sleeves Up and Get It Done, we create and solve things previously unimaginable. Were revolutionizing the IT industry with a platform that provides unprecedented control over all service layers in an organization. We also transform the way people experience enterprise software — theyll accomplish more each day and enjoy using our platform as part of their daily lives. This sounds massively ambitious and tough because it is. Were a small team that doesnt shy away from hard problems and we need more big thinkers and self-starters like you. If you want to be at the forefront of innovation, join us at Apcera and shine.","Apcera is revolutionizing enterprise technology and the experiences around it. Continuum by Apcera is an innovative platform that solves some of ITs toughest challenges in a user-friendly way. Our platform lets people apply policy to ensure that what should happen, will happen. We make technology smarter so that people can spend less time on maintenance and more time on making new things.Were seeking an experienced UX Architect who will work closely with many members of our team in order to create innovative user experiences and designs for the Continuum product.","RESPONSIBILITIES:Develop UI designs and visualization strategies to help our users accomplish complex tasks associated with large-scale enterprise cloud platforms.Understand and break down complex problems, and overcoming design challenges, to create smoothly flowing user experiences.Create mockups and use-case storyboards to test out different UX approaches.Work closely with both the product management and engineering teams to iteratively improve your designs.Create clickable HTML prototypes demonstrating the design and the key interaction flows through it.SKILLS:Information architecture and design skills to present complex information in an intuitive and understandable form.Expertise with tools for creating wireframes and storyboards.CSS/HTML and JavaScript coding skills are a big plus.REQUIRED EXPERIENCE:10+ years of UX/IA experience, with demonstrable portfolio of work in the creation of customer-facing products.Experience with visualization of large, dynamic data sets, and novel ways of presenting and navigating through complex information structures.Experience working in small, agile software development organizations, as part of a close-knit product development team.","Competitive salary &amp; equityGreat location in the heart of SOMA SFFlexible vacation policy Free weekly gourmet lunches100% premium-paid medical, dental, vision, and life insurance Endless snacks and beverages of your choiceWorkstation setup of your choice Team and family events and excursionsGame room ",0,1,0,Full-time,Not Applicable,,Information Technology and Services,Design,0
15584,Systems Engineer,"NZ, N, Auckland",Infrastructure,,"Vend is looking for some awesome new talent to come join us. You'll be working in an awesome team doing awesome things, and generally being awesome.Learn about us on our blog, or meet the team on Twitter, Facebook, LinkedInAwesome SpaceOur brand new Auckland office space is located on Nuffield Street in Newmarket, surrounded by our customers and the industry we love. It is huge, open, and shiny new with great meeting room spaces, casual working environments and plenty of space to host awesome events. We don't do cubicles, just plenty of space, whiteboards and meetings rooms. We also have a great cafe/retail space with our very own Front of House Manager taking care of our visitors and fellow Venders. Like Auckland our Melbourne office is surrounded by retail. It's a great wee space equipped with tiny houses and some awesome cardboard cutout animals.Our Toronto office is located right in the heart of downtown retail district. Like Melbourne and Auckland there's a great vibe with great coffee, boutique stores and some of the greatest restaurants in the city. Our SoMa office in San Francisco is located in the middle of the SF tech scene, just a (Biz) stone's throw from Twitter.The EnvironmentWe want you to be at the top of your game. You can wear whatever clothing you like, start work late in the morning, take breaks whenever you want and generally work the way you want to work. Who we're looking forVend is looking for people to push the boundaries. We are a hard working professional team with a wicked sense of humour, and we are looking for people who thrive in a collaborative open environment. We want passionate, hard working, talented individuals that want to lead in their field.","Who are we?Vend is an award winning web based point of sale software for retail.  Were chucking out crusty old cash registers and replacing them with iPads, touch screens and beautiful software, all of this to make life easier for our retailers.  Vend is a fast-growing tech start-up, since launching in 2010 weve now got 10,000+ customers all over the world and have more than 130 employees. Do you want to do cool shit?We're serious about continuous delivery here at Vend and we've got a pretty slick operation in place. Check out the presentation one of our team gave at the last CD meet-up here. Our systems engineering team do so many different things including (but not limited to):1. Scalability2. Availability3. Performance4. Security.... 5. Procurement of GIFsHow do we do it? We use Puppet to manage our servers, various Ruby tools to deploy and build our code and development environments, PHP / Symfony 1.4 to build our app, github to manage it, Linux, Percona, Redis, nginx, PHP-FPM  to host it, New Relic, Ganglia, Server Density to monitor it, and anything else that we find to be useful. They've also got some seriously cool projects in the pipeline including the implementation of ElasticSearch.Do you want to work with a seriously smart team?Meet Morgan, Dom, Nick, Scott, Robin and Rafael. Click on their photos to find out more about them!If you're totally pumped after having a look through Nick's presentation and think these guys look like a cool bunch then get in touch with us now! We'd love to hear from you.",,"What can you expect from us?An open culture where we openly share our results and where your input is valuedFun at work!  Like playing pool whenever you want, getting a zombie makeover, or playing Minecraft on our dedicated Vend server.Work-life balance.  We know you have a life outside of work.  At Vend you can have a life inside work, too. Utilise all your talents. Not just the ones written in your job description.We welcome all of you at work.  We dont want you to leave your personality at the door. So, get out of the Command Line for a moment and get in touch.  While Vend is totally open to receiving applications from people based overseas, our recruitment process for technical talent is lengthy and involves a lot of face-to-face time between our candidates and existing team members here. We can start initial stages of interviewing using awesome technology like Skype and Google Hangouts, we really need you to be here and to have hung out with us in Auckland before making a job offer.",0,1,1,Full-time,Mid-Senior level,Unspecified,Computer Software,Information Technology,0
11955,Engineering Intern,"BE, VOV, Gent",Engineering,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"Massive Media is a company that believes in the potential of young engineers and provides an excellent environment in which to grow.We have internships and holiday jobs available in:Web Engineering (main technologies: PHP, jQuery, MySQL)Mobile Engineering (main technologies: Java, Objective C, REST)System Engineering (main technologies: Ubuntu, Nginx, Memcached, Redis, Puppet)Data Science (main technologies: Python, Hadoop, HBase)Are you getting your Bachelor or Master degree in Computer Engineering or Information Technology? Do you want to have a once-in-a lifetime student experience at a young and dynamic company? Don't hesitate &amp; apply now!",,,0,1,0,Temporary,,,,Engineering,0
9346,"Key Account Manager, Asia Pacific","SG, , Singapore",,,,"Are you passionate about driving business growth within a fast-paced, dynamic environment? Are you excited about working with colleagues from all corners of the globe? Universums Key Account Manager, APAC role could be your next career step!While Universum has been around for over 25 years and works across 46 countries, it has the culture of a start-up and just over 200 employees. Every day, we challenge ourselves to push boundaries and explore new possibilities.  Our innovations not only impact the way we work, but are also shaping the future of a high-growth industry: Employer Branding. “Global yet local“ embodies our organisational culture in Singapore.  With a close-knit team hailing from different continents, a typical day in the office is filled with English, Swedish, French, Mandarin and more. We're a bunch of creative, business-minded people that are passionate about helping our clients conquer new frontiers, locally and globally.As a Key Account Manager, you will drive Universums growth in the APAC market by educating and empowering Fortune 1000 organisations to establish talent engagement strategies and compelling employer identities. You will: Identify and secure new business opportunities and engage new and existing clients through consultative sales processes, proposal writing, and presentation deliveryServe as a trusted adviser to C-Level executives at the regions largest organisationsManage an active account pipeline with multiple clients and accelerate sales cycles to grow in-region businessServe as the eyes and ears of the Asia-Pacific market through your understanding of nuanced regional trends ",8-12 years of sales experienceAn ability to quickly build rapport and credibility with C-Level executivesA drive to surpass performance goals and proven track record of sales successA deep understanding of the business culture in APAC and an established network across the regionAn entrepreneurial spirit and willingness to proactively take on challengesAn ability to adapt within a dynamic environmentA Bachelors degree or higher,,0,1,1,Full-time,,Bachelor's Degree,Market Research,Sales,0
1992,Software Engineer,"GR, I, Athens",Engineering,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","As a Software Engineer you will be part of a highly skilled team heavily involved in all phases of our software delivery process, from development to quality assurance, deployment and seamless operation. Your role will require solid software development skills, but also a broader view and exposure to systems, networks and databases. You will focus on various tools development, middleware and infrastructure architecture, system profiling and troubleshooting.The role is based in Athens-GreeceKey AccountabilitiesDrive the profiling, performance tuning and troubleshooting processes of our software systemsDevelop the infrastructure and the tools required to improve and expand our core servicesImprove our software deployment and service delivery process","Knowledge, Skills and ExperienceBSc/MSc in Computer Science or equivalent3+ years of full time experience as a Software EngineerDiverse background, including both software development and hands-on system, application, and/or database administrationHands-on experience with software development in Java or PythonExperience with software and system troubleshootingSolid understanding of web architecture and web-related protocolsWorking knowledge of SQLPersonal CharacteristicsAbility to pursue complicated technical problems with limited data and come up with solid engineering solutionsAbility to work well under pressure with track record of meeting deadlines in challenging situationsTeam playerDependable, reliable, with clear thinking and attention to detailFlexible work style to get the job done without compromising quality standards.","Salary &amp; BenefitsWe offer a very competitive base salary and benefits, directly dependent on candidates qualifications and skills. By joining the Software Delivery team, you will be exposed to an international environment in a very dynamic and progressive group.",0,1,1,Full-time,Mid-Senior level,Master's Degree,Telecommunications,Engineering,0
3689,Data Inputter,"GB, , Ossett",Multiwork,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","This is a fantastic opportunity for someone looking to receive full training in a business admin position. The role will involve full training on how to use our bespoke systems. The apprentice will be answering the phone, asking the required questions for registration and inputting data on to the system. they will then put the candidate forward for the role after initial vetting process. The role will also include matching skills with the clients criteria.General office duties and supporting team members.","Telephone, communication, good PC skills.",Working as part of a forward thinking organisation who have a work hard-play hard attitude. Modern environment and a definate permanent position after training.,0,1,1,Full-time,Entry level,High School or equivalent,Staffing and Recruiting,Administrative,0
4023,Mechanic - Trucks/Heavy Equipment,"US, WV, Buckhannon",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Inspect machinery, such as dozers, graders, excavators, rollers, and trucks and their components thoroughly.  Make any necessary repairs and replace damaged or worn parts.Utilize proper tools and technology to find solutions to fix equipment.Ability to take machinery apart as well as reassemble.Familiarity with engine diagnostic equipment, such as computerized test equipment, and calibration devices, as well as use of micrometers and gauges, hoists, jacks, and hand tools.Schedule maintenance for machines and equipment and keep accurate service records.Overhaul and test machines or equipment to ensure operating efficiency.Assemble gear systems and align frames and gears.Fit bearings to adjust, repair, or overhaul mobile mechanical and hydraulic equipment.Thorough understanding of engines and transmissions, plus capability to clean, maintain, and service.Understanding the potential liability of personal actions and work behaviors.Responsibility for completing paperwork and submitting in a timely manner.","GED or diploma required.  Vocational or community college courses related to mechanics are a plus.Two years of experience required.Valid drivers license required.Must be able and willing to work in adverse weather conditions, shift work, nights, weekends,  holidays and overtime when necessary.Must be a self-motivated individual who can work independently.Must possess good communication skills and ability to work well with others.Must be a highly safety minded individual.Good follow through skills and attention to detail.   Solid mechanical and troubleshooting skills.Must have own tools.","Full-time opportunity with excellent, safety-focused services company. Benefits. O/T",0,1,1,,,,Oil & Energy,,0
5617,Sr. Android Developer,"US, NY, New York",,,"Fueled is a NY-based design and development agency that specializes in mobile application development.Based in New York City with offices in Chicago and the UK, the Fueled team is united by an unwaivering passion for quality. We are a team of developers, designers, strategists passionately pursuing the bleeding, hairsplitting, cutting edge of mobile apps. We're not here to work on just any apps or for any client. We come to work in the morning to build the best apps for the best clients. It's what makes us tick.Our original New York offices were located in the world-famous General Assembly in Flatiron, but we are now located at the historic The Prince Building in Soho, built in 1897 as a sewing factory.","What We're Up To:We're leading the charge in a world of apps that do stuff, and do it with style. We work with our clients--from small startups to big brands--to figure out how to achieve their marketing and business goals through smart, high-functioning apps and websites that genuinely engage theirs users and keep them coming back for more. We also run one of the top coworking spaces in Manhattan, located in the heart of Soho and recently featured in The New York Times. The Fueled Collective is home to over 30 startups, and boasts a well-stocked snack wall, a ping pong table, and incredible views of the Manhattan skyline. Where You Fit In:You are an experienced Android developer with a working Proficiency in, at least, two object-oriented languages such as Java, C/C++, Ruby, etc. and you have leadership experience. You will support the development of apps that embody Fueled's commitment to building excellent products, and must understand mobile development at an experienced level. You will love working with a team of highly skilled people, whether they are in the office next to you or working remotely. As a member of the Fueled team you must be inspired by technology and have a passion for creating innovative technical solutions. ","The Ideal Candidate Has:BA/BS in Computer Science or related fieldAndroid application development using Java, Android SDK / APIs / Frameworks, and Eclipse with successful applications released to the MarketObject-oriented design &amp; development, data structures, algorithm design, problem solving, multi-threading, and complexity analysis3+ years of professional development experience required",,0,1,1,,,,,,0
3083,Temporary Litigation Secretary Job in Orange County,"US, CA, Orange County",Legal,,,"A well-known law firm has a long term contract job opportunity in their Orange County office for a Litigation Secretary. The ideal candidate will have 5+ years of litigation experience. Candidate must possess the following: E-filing experience in BOTH state and federal courts, strong interpersonal and organizational skills, be detail-oriented and have the ability to prioritize and manage workload. Candidate must also be able to work independently as well as part of a team. Take advantage of the opportunity to work at a busy firm.In order to be considered, applicants MUST have five + years of prior, stable experience. This is a time sensitive opportunity so please apply with availability information.","• Bachelors Degree• BOTH State and Federal E-Filing experience• Excellent writing skills• Strong interpersonal and organizational skills• Be detail-oriented and have the ability to prioritize and manage workload Job Snapshot Base Pay DOEEmployment Type Contract, TemporaryJob Type Legal, Legal AdminEducation Bachelors DegreeExperience 5+ yearsManages Others NoRelocation NoIndustry LegalRequired Travel Not Specified ","About Special CounselAt Special Counsel, we are the nations leading provider of legal staffing services. Since 1987, Americas most respected law firms and corporations have looked to us again and again for the very best talent, giving us access to more premier career opportunities than anyone else. Your expertise makes you valuable, and thats why we offer a competitive salary and a wide range of benefits, including group medical, dental and vision insurance, 401(k), referral bonuses and more. We also offer career guidance and ongoing support to help you flourish. Become a part of our winning team. Apply today or contact your local Special Counsel office to learn more.",0,0,1,,,,,,0
4822,Buyers Admin Assistant,"GB, RIC, Twickenham",,18000-20000,"With an exceptional record of over 50% growth in each of the last 5 years, weve become the UKs largest online retailer of products for the home and garden. We employ over 200 staff, offer more than 500,000 products on our sites and achieve sales in excess of £70m a year. Were increasingly renowned as one of the UKs most exciting ecommerce success stories and have ambitious plans to become a household name in home and garden retail.","As Buyer's Admin Assistant you will be providing administrative support and assistance to your Buyer, carrying out essential administrative tasks to curate online promotions that are uploaded weekly to the site. Attention to detail is key when checking details such as pricing, dimensions, delivery dates and product attributes. You will also be responsible for maintaining the critical path to ensure that each date and deadline in the web production process is met.Casafina is a young and fast growing brand with a lovely handwriting to our products.  We work in a very entrepreneurial environment where you can really put your stamp onto your work with opinions and ideas encouraged.  If you have the passion and drive to work in interiors in a fast growing e commerce company we would like to hear from you.TASKS &amp; RESPONSIBILITIESCollating product details and information for sales and converting into an excel sheetProducing on-time and accurate copywriting and content for upload to the website and maintaining the critical production pathResearching brand and competitor activityWorking closely with the content team to optimise the look and feel of each promotion, ensuring each listings maximises all information and features provided.Communicating with suppliers and liaising with the content, stock control and marketing teamsSupporting the buyer seeking out deals and promotions with suppliers","Proficiency in ExcelCommercial awareness and customer focus, with a working knowledge of the retail market and brandsPlanning and organisational skills, with the ability to prioritise your workload effectivelyExcellent attention to detailAbility to prioritise and meet tight deadlinesClear and effective communicationAbility to work on own initiative, but be a team player in a fast-growing and exciting young companyEnthusiasm and a willingness to learn and ""get stuck in""",,0,1,0,Full-time,Entry level,Bachelor's Degree,Retail,Administrative,0
8013,Sales Manager,"UA, 61, Ternopil",,,,"Responsibilitiesactive sales, realization of company aims and sales plancommunicating with potential and existing clientsconverting leads into clientsdevelop and maintain strong business partner relationshipsmaximizing company profitmarket trends monitoringResponsibilitiesactive sales, realization of company aims and sales plancommunicating with potential and existing clientsconverting leads into clientsdevelop and maintain strong business partner relationshipsmaximizing company profitmarket trends monitoring","Main requirementsexperience with Sales (2+ years)fluent spoken and written English is a mustexcellent communication and negotiation skillsability to stay focused and work under pressureuniversity Degree (economic, technical)eagerness and ability to learn quicklyadvanced computer knowledgedevoted team player","Since 2001, MagneticOne has been an innovative software development company providing full-cycle services. MagneticOne products help online merchants from all over the world to get more revenue.Company provides a number of eCommerce solutions for effective store management, SEO and marketing automation, comparison shopping engines.We are looking for a responsible, result oriented, open-minded, self-motivated person, with excellent communication and customer service skills.",0,0,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Sales,0
14647,SECURITY OFFICER (SO/PSO/ERT),"US, CA, San Jose / South Bay",,,"At SMGI we believe that 'Our People are the Difference'. Working for SMGI is a great opportunity for our employees to learn and grow in their career, while supporting clients in communities where they live. Our company pledge to our clients is ""Your Satisfaction is our Success."" Our ability to accomplish this goal is based primarily on the people we hire.There is no firm like SMGI. We employ only the most qualified individuals—and they choose to remain with us for many years. We have one of the lowest turnover rates in the industry. This is a result of our commitment to our team. Only satisfied employees can provide the exceptional customer service our clients deserve.At SMGI we have a unique pay structure. Employees have the opportunity to take classes and additional training to increase their base wage. Our employees learn and grow with us. As a result, our clients receive the best service in the industry. In addition, we develop and promote our security officers into supervisory and management positions at SMGI. Our employees have career advancement opportunities that are real and attainable. We recruit highly qualified and highly skilled personnel, including professionals from the private sector as well as former U.S. military and law enforcement. We search for people of the highest caliber; accountability, integrity and professionalism.At SMGI, ""Our People are the Difference.""","SMGI Employment OpportunitiesSecurity Management Group International (SMGI) currently has exciting career opportunities for qualified candidates in the Private Security Field in the San Jose and South Bay region. We have both full-time and part-time positions available at a variety of locations. Setting the industry standard for integrity means having dedicated and professional people to serve our clients; our skilled officers are the reason why we are regarded as one of the most trusted security consulting and protection firms in the world.A career at SMGI means you'll benefit from an employee retention plan where continued training is available to help you evolve personally and professionally, and one that recognizes individual and team achievements with a merit based competitive pay program.  You will work alongside industry veterans, as well as motivated and career orientated newcomers to security consulting, and become a part of a growing family and ever evolving firm dedicated to protecting our clients.SMGI leads the industry in mitigating risk to our clients.  Examples of the services SMGI provides are:  Uniform Armed/Unarmed Security; Plain Clothes Security; Physical and Electronic Surveillance; Due Diligence and Business Investigations; Workplace Violence Prevention; Disaster Preparedness and Emergency Response Planning and Services; Personal/Executive Protection; Maritime Security; and GSA/Federal Government Assignments.","What are we looking for? SMGI employs highly trained protection professionals, including uniformed and plain clothes officers. We are currently welcoming candidates for Security Officer and Protective Security Officer positions. In order tobecome a Security Officer (SO) at SMGI, you must be able to meet the following criteria:Minimum Requirements (SO):18 years of age Must obtain and maintain state security officer credentials (CA BSIS Guard Card or WA DOL Security Officer License)Submit to and successfully pass a comprehensive background check, including drug screeningBe able to speak and write effectively in EnglishMeet and successfully interact with co-workers, supervisors, clients, and the publicUnderstand and apply designated post ordersBe able to maintain self-control and professionalism in stressful situationsHave a high school diploma or GED equivalentU.S. citizenship is required due to government contract assignmentsBe able to demonstrate a stable work and employment historyHonorable discharge from the military, if you served in the Armed ForcesThree (3) years experience in the Security Industry or related field (Law Enforcement, Military, etc.In order to become a Protective Security Officer (PSO) at SMGI, you must be able to meet all Security Officer requirements (above), as well as the following criteria:Minimum Requirements (PSO):21 Years of ageOC/Pepper Spray Permit, Handcuff training certificate, ASP or Baton permit (CA ONLY)CA BSIS Exposed Firearms Permit Preferred Requirements:Carry Concealed Weapon (CCW) PermitMulti-language skillsCurrent Government Clearance Advanced Certification/TrainingFirst Aid/CPR TrainedPrivate Investigator LicenseTransportation Workers Identification CardPolice Officer Standard Test Graduate College DegreePrior Law Enforcement or Security or MilitaryMust pass SMGI Security Officer Examination.SMGI Security Officer Examination Study Materials:  #URL_55759097eda6c83fb409fa3a265affbe307a91793a5cf649f55b1fe2c6a95db5#?id=0BwlqGhjSVG7aUjZLYzVjd1lIQkE&amp;usp=sharing ; #URL_9ac84c1972a14b84d480593612623f44c29be294401c5ffc6ebee2d6326561aa# ;  #URL_2f9e55f48d609bb699a9cfc5be44eeabc1f706f70dc3132867778d83fcc921a2#?list=PL77E021F1804ACEA3 SMGI Security Officer Examination:  #URL_91468296f871ee378e6206e780cb392452b4f205004c70a5d75c6921c0eb7cb7# ","RANGE OF PAY:  $11.00 - $30.00 PER HOURIf you meet all of the above requirements, we encourage you to apply online.  Please send copies of required certificates to the SMGI Operations Manager, Ralph Cabuco (#EMAIL_f106d0bba06554f1e3db294331fc3c0d3508ba93f43e2dbc7e6a4e27bc2c37e4#), after submitting your application online .SMGI is an Equal Opportunity Employer.",0,1,1,Full-time,,High School or equivalent,Security and Investigations,,0
2768,USER EXPERIENCE DESIGNER,"US, NY, New York",Design,,,"If you have an appetite for new technology and a desire to be part of a rapidly growing company, then OpenCrowd is for you.  As User Experience Designer, you will collaborate with cross-functional teams of strategists, technologists, and project managers to create effective user-centered online experiences. Also, you will draw on your expertise in information/interaction design, visual design, usability, and relevant web technologies.ResponsibilitiesUse your information/interaction design skills to develop and document site structures, navigation flows, wire frames, and mockupsUse your creative and visual design skills to develop creative concepts and detailed, visually appealing page designs that will translate into validating, standards compliant table-free documentsCreate iterative mockups, prototypes and production-ready XHTML/CSSFocus on user needs and optimum user experienceStay current with evolving standards and technologies, learning new skills as needed","Solid information, interaction, and visual design skillsExperience creating site architecture diagrams, wireframes, process flowsExperience designing for dynamic and template-driven sitesExpert Adobe CS skillsMastery of hand-coded XHTML and CSSWillingness to contribute to both large, complex projects and smaller tasks as directedAbility to work quickly and manage multiple projects and timelinesGreat attitude, strong work ethic, excellent communication skillsCreative, yet meticulously detail oriented. Sense of craftsmanshipExcellent problem solving ability","Competitive base salary &amp; bonusMedical, dental &amp; vision coverageLife InsuranceShort-term and Long-term disability coverage401(k) with company matchPaid time off (PTO)Flexible spending accountPre-tax transit benefitGym membership discountCourse reimbursementGreat peopleOpenCrowd is an equal opportunity employer",0,0,1,,,,,,0
13317,Linux Engineer,"US, PA, Mechanicsburg",IT ,,"i-Recruit LLC is one of the leading full service recruitment solutions company. We offer a wide range of recruitment solutions like Recruitment, Staffing, Executive Search, RPO. Our solutions can be configured to address your business needs. i-Recruit LLC,gives the ability to tap the best of talent available across the globe and also provide clients personalized account management. At i-Recruit LLC, we take time to understand our clients need and culture and map it with the skills and aspirations of potential candidates. Our holistic approach to recruitment ensures a correct fit both technically and culturally with our client's organization. At i-Recruit LLC we believe in the ""Partnership Approach"" with our clients and consider ourselves as an extension of clients.","Job Title: Linux EngineerLocation: Mechanicsburg, PA/ Westerville, OH/ Tempe, AZDuration:  Perm",Must Have: Red Hat LinuxEnterprise Linux ExperienceBest PracticesOracleOracle of LinuxOracle RACLinux Monitoring and toolsLinux Security Best PracticesLinux Systems AdministrationBackups5+ years of IT Infrastructure experienceSAN Storage DevicesEMC Storage is a plusExperience with 150-250 Linux Servers100+ is required,All Benefits,1,0,0,Full-time,Mid-Senior level,,Information Technology and Services,Information Technology,0
6895,iOS Mobile Engineer,"GR, I, Athens (Iraklio)",Engineering,,"Transifex is a SaaS continuous localization platform powering the process of launching products into multiple languages for companies with rapid development cycles. To date, Transifex has simplified the localization process for over 15,000 projects and more than 150,000 users. Customers include Coursera, Waze, Eventbrite, reddit, Disqus and Firefox.","Transifex is looking for an experienced iOS mobile engineer to design and build a new framework to help iOS app developers reach a more global audience. Want to be involved in the design of a cutting edge tool that will make every iOS developer a polyglot? This position is for you.Qualifications4 years of experience in software development and have a strong command of object oriented principles (can be non-professional experience)1-2 years of experience in iOS development, using Objective-C and Cocoa Touch. You have some nice iPhone/iPad apps in the App Store that you can show us.Experience in building APIs or developer tools suitable for mobile constraints. Underneath our iOS application logic and UI written in Obj-C, we are designing and building a library shared with other mobile and desktop clients, and we want you to participate in its design and implemention with the rest of our team.ResponsibilitiesYou'll be involved in end-to-end iOS software development - front-end, APIs and backend development.Participate in team brainstorms, design discussions, prototypes, code reviews and our yearly hackathon!Work closely with a first-class product team building the next generation of localization products.Work in tandem with engineers in other teams to define RESTful APIs for our data service.Keep up to date with new mobile technologies and releases - iOS, Android and HTML5.About usTransifex, Inc. is a Menlo Park, Calif. based company that provides a cloud-based Continuous Localization Platform for the software industry. Transifex has consistently helped software companies of all sizes go global while making software localization a more automated and less painful process. We're 5 years old and growing! Transifex is the localization platform of choice for software developers around the world.A few additional highlights:Our team is growing and very diverse internationally. We have great revenue and high growth.Our customers include Coursera, Eventbrite, Waze, Disqus, Nokia, Prezi. We have more than 9,500 software projects and 100,000+ users under the Transifex umbrella!Links to your Github profile or App Store applications are welcomed and encouraged.","Seasoned iOS engineer: armed with strong Objective-C coding skills, you have solid hands-on experience with the iOS core framework and libraries.Good understanding of JavaScript, HTML(5) and browser technologies.Solid design and prototyping skills.Strong CS fundamentals - algorithms, data structures, operating systems, networking.Impeccable software development skills with a solid understanding of design patterns and OO/functional programming paradigms.Proven debugging and troubleshooting skills. You know how to use debugging/profiling tools in Xcode or on the command line if needed.Comfortable working in a test-driven development environment.Experience working in a continuous integration development cycle.Excellent written and verbal communications skills at the business and technical level.Strong work ethic, commitment and team work.Fluent in writing well-documented code, explaining methods in good English.A degree in computer science or similar is a plus.Android/Java development experience is a plus.We greatly value participation in Linux / Open Source projects. We live and breathe Open Source.Self-motivated, but can excel in a team-based environment. Strong initiative and enthusiasm.You have to be eligible to work in the US.",Stock optionsCompetitive salariesPaid time offEmployee status (not contractor)Flexible spending accountsApple equipmentCompany sponsored tech talks and happy hoursMuch more...,0,1,1,Full-time,,Bachelor's Degree,Information Technology and Services,Engineering,0
6903,Microsoft Dynamics AX Technical/Functional SME,"PH, 00, Taguig City",Information Technology,20000-40000,"Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking &amp; Financial Services, Telecommunications &amp; Media, Technology, Energy &amp; Utilities and Manufacturing &amp; Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development &amp; Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence &amp; Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.","Job Title :- Microsoft Dynamics AX Technical/Functional SMELocation :- Taguig CityYears of experience :- At least 2 years.Job Description:Responsibilities:• 5 years of SAP Business Objects XI R2/XI 3.x experience, 1-2 years of SAP BI 4.x experience Experience in Web Intelligence (WebI), Dashboards/Xcelsius, Design Studio, Information Design Tool and Universe Design Tool using SAP BW as a sourceHours: 8:00am to 5:00pmLocation: Quezon City","Job Description:Responsibilities:• 5 years of SAP Business Objects XI R2/XI 3.x experience, 1-2 years of SAP BI 4.x experience Experience in Web Intelligence (WebI), Dashboards/Xcelsius, Design S","Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking &amp; Financial Services, Telecommunications &amp; Media, Technology, Energy &amp; Utilities and Manufacturing &amp; Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development &amp; Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence &amp; Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.",0,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
8478,Senior Software Engineer QA Automation,"US, CA, San Mateo",Engineering,,"#URL_ddb080358fa5eecf5a67c649cfb4ffc343c484389f1bbaf2a1cb071e3f2b6e7e# and Aptitude Staffing Solutions have partnered up in an effort to streamline the hiring process and provide a more efficient and effective recruitng model.  Our focus is to help develop and achieve your career goals while makeing a solid geographical, cultural and professional fiit when leveraging your career into your new and exciting professional venture!Please direct all communications throughout this process to the HR department at Aptitude Staffing Solutions#EMAIL_0c020555b4dbc1c1e03d03c46cc181bcfde81bf5b20fea95d1bc7dc64c79814c#Darren Lawson | VP of Recruiting | #EMAIL_f4da338e899ddba983ac771b001681d1d2d93b3327ddc420a15f4e5a310071a9# | #PHONE_90d33c9d7ec1484aebfe37b153d677decc6f5f53b316489ed24061544c04eb66#","Senior Software Engineer, QA AutomationQuality Assurance | San Mateo, CAAs a Sr. Software Engineer on our QA Automation team, you will play a key role in continuous deployment in environment and processes. You will be responsible for designing and implementing test infrastructure, and develop “Immune system” - a set of automated test suites that run in less than 10 minutes before every deployment.Your primary responsibility will be to work with the development, product management and functional testing teams to create new test harnesses and automated test cases. These test systems validate the software functional correctness and performance capabilities. Responsibilities:• Build advanced automated test suites to exercise our world-class applications• Work with the development and functional test teams to automate test cases• Analyze and decompose a complicated software system and design a strategy to test this system.• Train and mentor other team members. ","Qualifications:• BS in Computer Science or similar field (In lieu of degree, 3 years of relevant work experience).• 3-5 years of relevant work experience in software development and/or test automation• Good scripting skills in at least one common language (Python, Perl, Shell)• Excellent problem solving and debugging skills• Proven ability to quickly learn new technologies Preferred qualifications:• Master's degree or PhD in Computer Science or related field.• 5 years of relevant work experience.• Excellent coding skill in C, C++, Java, or Python.• Highly proficient in a UNIX/Linux environment.• Deep knowledge of internet technologies• Experience with Javascript, AngularJS, Jamine Test Framework• Experience with Selenium Web Driver• Familiarity with Continuous Deployment• Experience with static code analysis","Our core values drive our culture. This is what we believe: Why #URL_ddb080358fa5eecf5a67c649cfb4ffc343c484389f1bbaf2a1cb071e3f2b6e7e#? Watch our culture video to learn more.MAKE OUR CUSTOMERS SUCCESSFUL Our customers' success is ours. We live to solve their problems, improve their futures, and exceed their expectations. When our customers win, we win.SET THE BAR HIGH. We're trying to revolutionize an industry, so we can't be just good—we have to be the best. That means striving for the best team, product, and company on the planet.BE ACCOUNTABLE. We own our work. We keep our promises. And we always follow through. We take responsibility for failures, and humble bows for successes. There's no time for excuses and finger pointing.SHOW INTEGRITY. Forget loopholes, back doors, and shades of gray. We just say it. We are honest and straightforward with everyone. The only way we can bring clarity to a chaotic world is to walk-the-walk ourselves.MAKE EACH OTHER BETTER. Everything we achieve, we achieve together. Nobody is too important to grab a broom.ALWAYS MOVE FORWARD. We see the world as it could be, not just as it is. With our passion for finding new solutions to old problems, we're creating that new world. It's a future worth adapting to.The Benefits Generous paid time off to help you maintain a good work-life balanceFully catered lunches available everyday to all employees at the corporate officeOffices fully stocked with snacks and refreshments to keep you energized and productiveExtensive employee benefits and perks to show how much we value your effortsFun team events, company events, employee sponsored events, employee recognition awards, and more!                                                        ",0,1,0,Full-time,,Bachelor's Degree,Marketing and Advertising,Engineering,1
4821, Senior IT Security Lead (SIEM / IDS),"US, CO, Colorado Springs",,,"Roland &amp; Associates is a Corporate Recruitment Organization providing solutions to Global MNCs for the past 12 years.We specialize in High end Recruitments in IT, Telecom, Embedded, Chip Design and Non-IT (Manufacturing, Engineering, Oil &amp; Gas, Infrastructure, BFSI,FMCG,Automobile,Aeronautics, Pharmaceutical, Healthcare and Life-Sciences and Business Advisory) domains.We have a strong team of more than 100 employees operating from multiple offices in Bangalore located on HAL Airport Road.Visit us also at #URL_1722ee2d0bc47c66058101f0a811deb4a0991ebf80d1cadd752ef83c41ebfd15# , #URL_1bf25f25493e97bdc8354cb65991bb196949302fd5331c01b896c04df7c80783# , #URL_387f3642127667d307b8bfb226e4fb0d52ab6c3140cc7d544b8027e21c4fc3a5#","You will own the development of operational playbooks, oversee the incident response process, drive our client's Security Incident and Event Management (SIEM) technology and ensure appropriate logging and monitoring across the company's infrastructure and applications.","Job Role :  Senior IT Security Lead (SIEM / IDS)Job Type : Full Time/PermanentJob Location : Colorado Springs,COIndustry Type : Electrical/Electronics ManufacturingExperience Required: 5 to 15 Years Education : Bachelor's DegreeRequired Primary Skills:- Directly related experience in Information Security Threat Management.- Deep technical skills with: Intrusion Detection System (IDS/IPS), infrastructure and application logging, and incident #URL_5807d2262e9bf4a7f1c80c715bddf7a2144c5a4ba304c30fcdfe52a01521d8e3# management experience with incident response and SIEM.- IT Compliance: Sarbanes-Oxley IT compliance (DS5 Ensure System Security process management) or others.Required experience in Security Vulnerability management, data protection.Other Skills: Information security experience in a high-tech manufacturing organization.Salary: Excellent package with full benefits and bonus.Note:For U.S. Citizens / Green Card /or Valid EAD only.Interested professionals,please share your updated resume to #EMAIL_3acde4fc16546e0d5330b0fb787ad6ae35c6f3691e4f523833db5fa0442e0f66#",Salary: Excellent package with full benefits and bonus.,0,1,0,Full-time,Mid-Senior level,Associate Degree,Electrical/Electronic Manufacturing,Information Technology,0
4879,Ilkey Optician's Business Admin Apprentice Government Funding for 16-18 Year Olds Only,,,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds as this job is an apprenticeship. This is fantastic opportunity for someone wanting to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position.You will be working for an Opticians and the role will involve:-Answering the telephone-Making appointments-Booking in and organising contact lenses and spectacles-Processing salesIdeal candidates will be computer literate and have excellent communication skills,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Entry level,High School or equivalent,,Administrative,0
5204,Java Developer ,"NL, , The Hague",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Java Developer, fluent in English, to offer his/her services as an expert who will be based in The Hague. The work will be carried out either in the companys premises or on site at customer premises. In the context of the first assignment, the successful candidate will be integrated in the Development team of the company that will closely cooperate with a major clients IT team on site.Your tasks:Analysis, design, prototyping and development of applications based on the clients requirements and high level technical architecture, using Java and MS SQL Server;Implementation of unit tests for the developed components;Contribution to the improvement, development and building process of the applications;Liaise with the technical manager to review the status and progress of the tasks set out above.","Your skills:University degree with minimum 6 years of experience in POJO and JEE based Java technologies;Minimum 5 years of experience in application frameworks like Spring;Working knowledge of JMS message providers (ActiveMQ, Websphere MQ) is mandatory;Knowledge of Portlet development (Liferay or similar), design and versioning best practices for web services is desirable;Knowledge of Microsoft SQL Server, Maven and TeamCity or other Continuous Integration tools will be considered an asset;Excellent command of English, both oral and written.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (PJD/06/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive compensation (either on contract basis or remuneration with full benefits package), based on qualifications and experience.All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter(@EURODYN_Careers).",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
5320,RECRUITMENT CONSULTANT - Sth Yorks,"GB, SHF, ",,20000-30000,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","My client is looking for two new recruitment consultants based in either Sheffield or Doncaster. Both roles will involve both sales/telesales and servicing so candidates with exposure in a sales and resourcing environment. My client will consider candidates from any recruitment sector, but have a particular interest in those from a design/creative background. The company are well respected and carry a high number of regular clients whom they service and grow.The two roles are immediate starts and one role will be a senior position whereby the candidate should have at least 3 years recruitment experience and be able to provide evidence of their achievements. The second is a junior role where it is expected that the candidate should have 1 years recruitment experience.The client would consider strong closers from non-recruitment backgrounds but in this scenario, the candidate must have design and/or creative experience.The interviews will take place locally and candidates should apply via Workable for consideration.#URL_e81e945b7d155983382676dffa79ba8ad80b29a69a201fdfef2554cbe04f9c81#Dave Barber07749 133321","An understanding of the sales process and an interest, if not direct experience of recruitment.Driving licence and car would be preferableFlexibility as to working hours.HardworkingWillingness to learn",Senior role up to £30KJunior Role upto £22KHigh commissionsCar allowance availableDefinate career advancement,0,1,1,Full-time,Associate,High School or equivalent,Staffing and Recruiting,Business Development,0
13256,Flex Developer,"IN, TN, chennai",Engineering ,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI &amp; Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&amp;D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall &amp; excellence in the field of Business Intelligence &amp; Analytics by driving BI adoption with our innovative analytical solutions and applications.",1)      Developing Custom components for SAP Xcelsius / SAP Dashboards using Flex SDK 2.0 / 4.0.2)      Converting the business requirements from document to working functional components.3)      Delivering quality product and enhancing it whenever business needs getting changed.4)      Improving the performance of the developed components.5)      Providing support to the Internal &amp; External Clients when required.,"A BAchleors Degree inComputer Science Engneering or a Master's in Computer Applications with 2-3 Years work experience in the following areas:1)      In-depth knowledge in Flex - Component Life Cycle.2)      In-depth knowledge in Flex Components and its constructions method.3)      Creating styles, item renderers &amp; components in Actionscript.4)      Flex Event  Mechanism and Custom Event.5)      Good Understanding in Flex  Data Visualization package.6)      Adhering to timelines7)      Ability to understand and increase the performance of existing custom components.",,0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
5867,Apartment Community Service Manager,"US, TX, Corsicana",,34000-38000,"LumaCorp Inc., founded in 1984, is an Accredited Management Organization as designated by the Institute of Real Estate Management (IREM), specializing in real estate services for the apartment industry. Based in Dallas, Texas, LumaCorp Inc. has more than 150 employees working to provide property management services in numerous Texas cities. LumaCorp Inc. strives to deliver consistent positive project performance for its real estate clients by providing proactive, professional real estate services enhanced by local market expertise  LumaCorp Inc. is a licensed Real Estate Broker in the State of Texas, and is a member of the National Apartment Association and the Texas Apartment Association through its membership in numerous local affiliates including, The Apartment Association of Greater Dallas, The Apartment Association of Tarrant County and The Houston Apartment Association.  LumaCorp Inc.'s three officers bring over 75 years of Texas real estate experience to meet the challenge of today's real estate environment. We have managed, renovated, and arranged financing for apartment communities, retail centers and commercial space. Combined, we have directed property and asset management for properties with a total insured value in excess of $1.5 billion.  LumaCorp Inc. thoroughly trains each employee to maximize job efficiency while maintaining a high level of customer service, with documented policies and procedures guiding and directing all site and corporate personnel. Our success has been recognized by the industry as well. LumaCorp Inc. and its employees have received numerous awards for outstanding performance in the field of apartment property management, including IREM's President's award, the Apartment Association of Greater Dallas (AAGD) President's Award, IREM's Certified Property Manager of the Year award and the Texas Apartment Association Regional Manager of the Year award. We appreciate the opportunity to share our experience with you.","The Service Manager of LumaCorp Inc. is to keep the property maintained and operating 24 hours a day through effective leadership, team building and coaching. Train, implement and follow LumaCorp Inc. service programs, policies and procedures, including a monthly preventive maintenance program and inventory control system; must be able to assist in leading the other service staff and FOLLOW safe work habits through training and weekly and monthly meetings.  Service Manager is expected to assist the Property Manager in budget adherence through cost and time saving suggestions regarding maintenance operations, inventory control, and ordering of supplies with proper authorization. Service Manager has authority and responsibility to perform the functions and tasks set forth in the following. ·     Able to perform all service requests, including A/C and appliance within 24 business hours·     Schedule and perform preventive maintenance; complete monthly property and hazard inspections·     Schedule and supervise complete make ready process.·     Maintain parts/supplies inventory·     Lead the service staff and provide in-house service training to ensure safety practices·     Monitors curb appeal daily·     Maintain a professional appearance·     Available for rotating call","Preferred Qualifications ·     2 years experience in full-time apartment maintenance·     ability to communicate verbally and written with good English skills (Bilingual preferred)·     own tools to perform the job (See Exhibit ""A"")·     able to implement, train and monitor work safety programs·     high school diploma or equivalent·     willing to contribute in other areas, i.e., cleaning grounds, painting, etc.·           formal maintenance training and have CFC II certification and current pool operators license","Benefits SummaryCompany-Paid BenefitsVacationRegular full-time employees are eligible for paid vacation.  Your vacation is paid on an accrual basis.  Vacation is accrued at the rate of .83 days (6.67 hours) per month worked, up to a maximum of 10 days (80 hours). Length of ServiceEarnedAccrual RateDate of Hire - End of 1st calendar yearDependent on start date.83 days per month2nd  4th calendar year2 weeks (80 hours).83 days per month5th  9th calendar year3 weeks (120 hours)1.25 days per month10th  remainder of employment4 weeks (160 hours)1.66 days per month80 hours of vacation can carry from year to year.  If unused vacation time remains, it may be converted 1 hr vacation for 1 hr Personal Leave or cashed out at 1 hr for ½ hr. HolidaysAll employees are eligible for 6 (six) standard paid holidays a year.  There is also an additional ½ day for your birthday, for a total of 6 ½ days.  Company LeaveCompany Leave days are earned on an accrual basis; 4 hours is earned for every month worked.  Unused Company Leave days may be donated to another LumaCorp employee when needed.  Company Leave days can be retained up to 30 days before being lost. Basic Life InsuranceLumaCorp provides every employee with a $10,000 life insurance policy following 90-days of employment. Accidental Death and Dismemberment Accidental death and dismemberment insurance covers you for accidental death or loss of limbs or sight.  Coverage amount is the same as basic life and begins after 90-days of employment. Long Term Disability InsuranceIf you become and remain totally disabled for at least 90 consecutive days, long-term disability insurance will help make up your lost income. Coverage begins after 6 months of employment. Education ReimbursementAny full-time employee meeting the employment requirement is eligible for up to 6 (six) days per year of paid educational leave per year.  LumaCorp requires the employee to pay for ½ of the expense. Upon successful completion of the course, the employee will be reimbursed.   If an employee does not have education leave or is not able to pay their portion of the class, LumaCorp will pay 100% of the class and you take the course on your own time.  Employee Referral ProgramThis is a great way to earn some extra cash.  When you recruit your friends and professional acquaintances to the LumaCorp team, you will receive a $300 referral bonus. Company Subsidized Benefits-Optional PlansMedical InsuranceMedical Insurance will become effective on the first day of the month following 90-days of employment.   Employee Only:  $29.81 monthly ($13.76 biweekly)   Employee + Spouse:  $238.55 monthly ($110.10 biweekly)   Employee + 1 Child:  $84.09 monthly ($38.81 biweekly)   Employee + 2 or more children:  $152.58 monthly ($70.42 biweekly)   Employee + Family:  $381.70 monthly ($176.17 biweekly)401(k) PlanAll full-time employees are eligible to join the 401(k) plan after 6 months of employment.  The plan allows for maximum pre-tax contributions up to 15% of your annual salary with a choice of 26 funds. LumaCorp will match your contribution 20% with no cap and you are 100% vested on day one. Dental Insurance (you and company share cost)Employees may choose to enroll in the Guardian Dental plan.  A network of 20,000 dentists and 100% coverage for diagnostic and preventive care.  Voluntary Group Term Life Insurance (you pay the cost)This plan offers additional life insurance for employee and family. Coverage can range from an additional $20,000 to 5 times your annual salary up to $300,000. Section 125 Plan (you pay cost)This plan allows you to pay for non-covered dental care, health care, insurance premiums, and dependent daycare expenses with pre-tax dollars. Business Casual Working EnvironmentOffice Staff: LumaCorp will pay 50% of your corporate apparel expense after 30-days of employment.  Service Staff: LumaCorp will pay for 100% of the first 6 shirts ordered and 50% of all replacements after 30-days of employment. Employee Assistance Program An EAP is an employee benefit that covers all or part of the cost for employees to receive counseling, referrals, and advice in dealing with stressful issues in their lives. These may include substance abuse, bereavement, marital problems, weight issues, legal issues, financial issues, debt management, college planning, adoption planning, retirement planning, and many more. The service is completely confidential.  ",0,0,0,Full-time,Associate,High School or equivalent,Real Estate,Other,0
16064,Director of Product Development / Engineering,"US, OR, Portland",Internal Engineering,110000-150000,"Urban Robotics Inc. provides cutting edge software and hardware solutions for Intelligence, Surveillance and Reconnaissance (ISR), Remote Sensing and Geospatial applications. Products include aerial EO and NearIR digital sensor systems, high performance aerial and ground computer clusters, and automated algorithms and services for generating fast turn-around 3D orthorectified maps.","Seeking a vibrant, dynamic, high-energy leader with a broad technology base to take on the role of Director of Product Development / Engineering. If you are interested in being responsible for leading cutting edge software and hardware engineering teams with expertise in Computer Vision, High Performance Computing, Remote Sensing, Unmanned Aerial Drones and Sensors, and Geospatial Intelligence, then this is your dream job. Our customers come from both the Intelligence, Surveillance and Reconnaissance (ISR) and commercial Geospatial industries, and have an appetite for cutting edge technologies. This critical and highly visible position is responsible for all product and research development in a company that sees engineering as core to its nature. The established (10+ years), growing, and consistently profitable business is located in Portland, OR. Our engineering teams efforts are split between product development and unique custom solutions.  As the Director of Engineering you will lead sensor and software teams, and represent their interests to Executive Staff in both short term and long term decision making.","Key RequirementsLead an innovative and growing engineering team that solves complex challenges in a fast-paced environmentDevelop and manage plans to increase product development effectiveness through people, processes and equipmentWork cross-functionally on distilling requirements into technical designs that result in innovative productsEstablish development practices for the team, including release cycles, choices of technology and coding standardsResolve personal and technical conflicts, ensure that developers are taking on projects and challenges that engage them and foster growthBe the eyes on the ground for the tech team, spotting issues, celebrating successes, and brainstorming new ways to do thingsExercise a deep familiarity with open source technologies and platformsFind and hire top notch software and engineering talentDevelop career paths for employees and be a catalyst to spur individual growthAbility to scope out requirements and estimate development schedulesWork with the executive team, aid in developing multi-year strategic plansKeep current on technology trends both inside and outside the company. Work with other senior technical leaders to ensure we have a robust reputation in the tech communityExercise a natural ability to communicate and raise the skills and productivity of teams/team membersKey CompetenciesA proven leader who welcomes change and can build a positive and effective team promoting a fun, dynamic work environmentStrong software development focus as well as experience with electrical, optical, and mechanical engineeringStrong past performance of successfully managing large programs, on time and on budgetDemonstrated ability to effectively generate and communicate program status and risk assessmentsEducation and Work ExperienceMaster degree in Engineering or Computer Science preferredExperience with optics and EO remote sensing systems helpfulAt least 10 years of professional progressive employee management experience in an engineering development roleMust be a US Citizen","The company offers a comprehensive health insurance package which offers the choice of a Health Savings Account. In addition to health benefits, the company offers a company paid 401(K) plan, generous vacation and sick leave, paid parking or bus pass, employee recognition programs, a variety of paid and unpaid leave of absences, incentives and rewards. ",0,1,1,Full-time,Director,Master's Degree,Computer Software,Engineering,0
8680,Lead Front End Developer,"GB, , ",Production,,"The Beans Group is a media company that exists to empower young people to thrive.  We have been on an exponential growth spurt since our inception as #URL_8d1ca4faf2d2518ce9ec21b3fbe5c63e4b47f383d6bb1f0a681ff6cc801b4550# in 2005 and in 2013 we won Digital Business of the Year. The Beans Group owns two brands: Student Beans, our youth media brand, strives to make life a little more awesome; and Voxburner, our youth insights consultancy, helps young people to be understood.We have created a business we are passionate about and really proud of. To continue to grow we need brilliant people who inspire and deliver change all around them - that's why we're looking for talented, ambitious and creative folks to come and join us.","Come join The Beans Group, Digital Business Of The Year 2013, in this Lead Front End role as part of a knowledgeable and passionate team, backed by a fully self-funded start-up, helping fuel international expansion plans.This unique opportunity involves taking the technical lead in architecting and delivering front-end solutions, acting as your own project manager, to maintain and scale our products, which already reach millions of users per month. Examples of things we're building include: a location based mobile app on iOS &amp; Android, scaling an editorial platform (which currently serves millions of users/month), an innovative job board application and iterations on the recently launched #URL_965ede282d860adcae0fcbc4b7aa1684dc02ef45a7bbf8d45eda061074f836de#. We're also in the process of scaling various products into new countries so internationalisation is a big part of our roadmap going forward.You will be free to use and advocate for bleeding edge technologies. We love open-source and web technologies.Youll be remunerated well, given training and development, and brought in to a vibrant organisation housed in new, purpose-built offices in Kentish Town, with your your pick of new Apple hardware to work with.  About usThe Beans Group developed from a successful start-up into an award-winning technology &amp; marketing company. Our flagship product, #URL_8d1ca4faf2d2518ce9ec21b3fbe5c63e4b47f383d6bb1f0a681ff6cc801b4550#, is the UKs leading website in the 18-24 demographic, and we have developed multiple other youth focused web and mobile products. Voxburner, our insights arm, produces thought-leading content, events and research projects for businesses keen to gain insight into the youth demographic.Here, the culture is values driven, incorporating our employee-created values into everything we do; whether it be creating fun, celebrating mistakes, creating results that WOW, putting the cherry on top, or showing we care.2013-14 is a huge year for us, as we look to scale up existing products, bring online new ones and expand internationally. Our development team is key to our success, working closely with our in-house designers, UX strategists as well as product managers, and were looking to double our existing capacity in the short-to-medium term. ------------------------------Recruitment AgenciesIf you want to work with us on this role, please take a look at our instructions here. We do not work with agencies in any capacity other than this and do not take sales calls under any circumstances. If you ignore these simple guidelines, we can't work with you. They'll tell you everything you need to know. ","Strong understanding of HTML, CSS, JavaScript and browser compatibility issues;Experience with CSS-meta languages (SASS, Compass);Experience with markup abstraction languages (HAML);Experience with Javascript transcompiler languages (Coffeescript);Responsive design;Desirable: exposure to Ruby on Rails, TDD and Mobile development.","Multiple Award-Winning Company and Brands; Entrepreneurial Startup Culture with Security of being Fully-Self-Funded; Major Growth Plans; Awesome Team; Competitive Salary; In-House Training and Development; International Opportunities; Childcare Vouchers and On-Site Childcare Facilities; Discounted Gym Membership; ad hoc Partnership Discounts (e.g. Tastecard, Local Dining Establishments); Office Remote-Control Helicopter Collection.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Production,0
11941,Marketing Director - Global,"AU, NSW, Sydney",Squiz ,,"Squiz is one of the world's leading web solutions companies. We design, build and manage engaging websites and online applications using our Web Experience Management Suite, the Squiz Suite. Our clients include many household names and range from large global organisations to Government bodies and charities. We have an international network of offices in Australia, the UK, USA, New Zealand and Poland, and employ over 250 permanent members of staff.As a team, we are talented, motivated and enthusiastic. We live and breathe the web and our passion is finding new and innovative solutions using Squiz products alongside the latest web technologies. Our staff give 100% and are offered a high level of autonomy, responsibility and opportunity in return.","First and foremost the Marketing Director will have accountability for six exceptional marketing professionals. If you have a passion for leading &amp; developing strong talent then we want you for this role. Secondly would you say stakeholder management is one of your key strengths? Squiz is one of those unique but great environments that breeds an agile workforce. Effective communication and managing expectations is key to the success of this role. Finally we are looking for an analytical marketer who has proven experience in using numbers/data to drive decisions. High level:As the Marketing Director for Squiz you will grow revenue by protecting the global client base, growing the value of each client, and by adding new services and new clients.You will do this by developing a deep and proactive understanding of our clients needs, the competitive landscape, our solutions, technologies and services, and our service delivery capabilities.Work closely with Sales and Production Leadership to design, implement, and measure strategic marketing plans and programs that align with the overall business and financial objectives.Manage teams across regions to deliver on global and local B2B marketing objectives, on time and on budget.  Primary responsibilities:Develop and execute integrated marketing campaigns, including goal setting, budget management, and results measurement.Have a solid understanding of a range of marketing strategies and tools, including content marketing, digital marketing, direct mail, marketing automation, event marketing and more.Coordinate internal teams, agency resources and stakeholder access to ensure strategies are correctly resourced and can deliver on time and on budget.Monitor and report on campaign effectiveness regularly, to all stakeholders.Continually improve our web presence and ensure clients and prospects can easily find the services information for which they are looking Performance Measures:Financial:Service revenue as measured by growth, budget attainment, revenue per client, etc.Marketing Campaign Effectiveness:Client Base Retention and growth, customer value, net adds etc...New client acquisition, expense to revenue ratio, performance against goals. Primary- Skill Set RequiredA minimum of 3 years of experience in similar role or as a Director/ Senior Manager in Marketing function of a global software services companyProven success in the ability to grow a B2B service lineProven success developing and executing integrated marketing campaigns, including goal setting, budget management, and results measurement.Proven success in management of agencies and staff to deliver on goalsMust have demonstrated ability to understand and analyze customer data, financial and other business data.Strong relationship acumen.International experience is highly preferred.Excellent verbal and written communication Secondary/ Good to have- Skill SetStrong integration skills that crosses different countries and cultures a plusExperience in brand building and active role in brand building exercisesExperience in UK and/or US markets a plus.",,,0,1,0,Full-time,Director,Bachelor's Degree,Information Technology and Services,Marketing,0
12058,Senior Quality Assurance Specialist,"US, , Portsmouth, NH",,77000-87000,"OBXtek is an award winning Service Disabled Veteran Owned Small Business (SDVOSB) providing Information Technology Engineering and Support, Program Management, Software Development, Testing, and Information Security services to the Federal Government. As the prime contractor for over 85% of our current work we possess a robust corporate infrastructure that provides management oversight and support for all of our programs","Senior Quality Assurance Specialist Qualifications:The purpose of this position is to establish a solution-oriented team that strives to provide the Visa Support Services (VSS) Contracting Officer Representative (COR), A/COR, and the National Visa Center Director assistance with contract oversight and quality assurance in a manner that promotes continuous improvement throughout the contracting lifecycle.  The  goal of this task order is to provide the expertise and infrastructure necessary to ensure that Visa Support Services contracting results in quality services, high accountability, minimized risk, and optimal value.   ","Education and Years Experience  Bachelors Degree.  As the lead staff position for this contract, no less than five years managing a team, including:Training in Quality Service SurveillanceCOR certification/experience on past projectsExperience running large Quality Assurance programsThe Senior Quality Assurance Specialist will develop the program from the bottom up and will develop the QA plan.Project Management Professional Certification (PMP) preferableSubject Matter Expert in Quality AssuranceNo less than four years working in Quality Management related field (examples: quality assurance/control methods, principles, and practices, including statistical analysis and sampling techniques, developing processes and procedures, and/or contract oversight and monitoring functions),No less than two years experience monitoring federal Government contracts (project/contract management, former USG COR, etc.).Demonstrated experience with operational applications to test and inspect a product or to provide guidance, control, and processing logic in an integrated system Demonstrated ability to assure consistent quality of production by developing and enforcing good quality monitoring systems; validating processes; providing documentation; managing staffAbility to achieve quality assurance operational objectives by contributing information and analysis to strategic plans and reviews; preparing and completing action plans; implementing production, incentive, quality, and customer-service standards; identifying and resolving problems; completing audits; determining system improvements; implementing change.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Government Administration,Quality Assurance,0
3382,"Administrative Assistant, Fine & Performing Arts","US, , ",Education,,"Located in the heart of the Green Mountains, our welcoming, mountaintop campus is home to more than 1,900 students. We offer 25 undergraduate majors, two associate-degree programs and M.A. degrees in education, counseling and studio arts.Johnson State first made its name in preparing public school teachers. In the mid-1800s, our students came from local farms and nearby villages to earn their college degrees. The same adventurous spirit distinguishes our students today, though they come here to study not only education but also a variety of other liberal arts subjects.Our faculty and staff are dedicated to educating the next generation of leaders. ","Oversee and carry out various day-to-day departmental administrative functions.Coordinate, initiate, prepare, process, and/or monitor various administrative/financial/operation forms, records, reports, schedules, and other documents.Organize and maintain various departmental files and records.Compile a variety of information from both manual and computerized records and files for regular and special reports and in response to specific requests by supervisor or other staff members.Perform routine liaison functions with students, faculty, staff, parents, or other department constituency; explain policies/procedures, answer questions, coordinate services, handle special requests.Perform other specialized or technical administrative tasks related to the departments primary function.Confer regularly with immediate supervisor, assist other AAs as may be needed, communicate with various firms/organizations/individuals outside the College to plan and coordinate activities, exchange information, resolve problems, and the like.Perform various bookkeeping functions: initiate and process financial documents related to operating budgets or special accounts; monitor financial activity; organize and maintain financial records; prepare related reports.","Associates degree in business or other appropriate discipline, plus two to three years of relevant clerical and administrative experience or a combination of education and experience from which comparable knowledge and skills are acquired; broad base of general clerical/secretarial skills; good administrative and organizational skills; good reading, writing, math and computer skills; ability to deal effectively with a wide variety of College personnel, students, and/or outside individuals/organizations.",,0,1,1,Part-time,,Associate Degree,Higher Education,Education,0
15579,Key Account Manager,"JP, 13, Tokyo",,,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","An incredible opportunity to join our brand new Japan office in central Tokyo and to help drive the growth of our business across APAC.As a Key Account Manager you will be responsible for supporting and managing our clients and their campaigns. The integral link between our delivery and sales teams you ensure that communications are clear and that all parties are well informed throughout the deal lifecycle and beyond. You will pro-actively support our commercial strategy by nurturing existing relationships and being a go-to point for clients.The role is a hybrid position that requires both great client servicing skills and also an evolved understanding of campaign workflows, digital advertising and a highly organised work ethic. The ideal candidate should be able to quickly respond to client demands whilst juggling multiple on-going campaigns and at the same time have the vision to up-sell and find opportunities to grow client accounts. ","We are looking for individuals with a focus towards client services and a commercial edge, experience of supporting bespoke creative and technical solutions for advertising agencies and global brands is a huge benefit. A track record of supporting complex digital solutions or campaigns is essential as is intimate knowledge of the advertising and media space.Successful candidates will have:A minimum 3 years of client services experience (Ideally in advertising, digital or marketing technology)Commercial acumen with a proven ability to up-sell and build valueExcellent client-handling skills with exposure to managing multiple clients simultaneouslyUnderstanding of the digital marketing landscape (agencies, adtech, media)Agile and creative approach to business developmentBi-lingual Japanese and English","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new advertising medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter &amp; Gamble, Nestle, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesInternational travel opportunitiesChance to develop ground-breaking techWork with state-of-the-art hardware and softwareCreative freedom and controlCollaborative opportunities with huge brandsPlease apply now for more information about this role, or check our careers page for details of other opportunities to work with us.",0,1,0,,,,,,0
8500,Financial Administrator,"CA, BC, Vancouver",,,"Jiffy Worldwide is the parent company of the Jiffy Group of Companies.   Jiffy operates a mobile application development company, a telecommunications company, a cellular telephone service in Canada and the US, amoung other ventures.   Jiffy employs nearly 500 employees and contractors globally.  ","Reports to: CFOWe are an international company based in downtown Vancouver. We are a hard-working office that enjoys working as much as we love playing. Were a bunch of young-thinkers who are passionate about what we do. Our company is in the process of growing exponentially, so youll be working in a very busy, fast-paced environment where you can thrive with the rest of us. The financial administrator is responsible for providing financial, administrative and clerical services in order to ensure effective, efficient and accurate financial and administrative operations.Daily Responsibilities:This includes processing and monitoring payments and expenditures and preparing and monitoring the payroll system. Providing these services in an effective and efficient manner will ensure that municipal finances are accurate and up-to-date, that staff are paid in a timely and appropriate manner and that vendors and suppliers are paid within established time limits.Main Activities:Receive and verify invoices and requisitions for goods and servicesVerify that transactions comply with financial policies and proceduresPrepare, verify, and process invoices and coding payment documentsProcess backup reports after data entryPrepare, enter, and maintain A/P, A/R, and payroll for all companiesPrepare vendor cheques for mailingMaintain the general ledgerMaintain updated vendor files and file numbersPrint and distribute monthly financial reportsEstablish and maintain confidential casual employee filesProcess TD1/T4 formsVerify pay amounts, hours of work, deductions, etc.Prepare and remit source deductions and payroll taxMaintain a filing system for all financial documentsEnsure the confidentiality and security of all financial and employee files","Honest, respectful, trustworthy, and flexible to a changing environmentPossess cultural awareness and sensitivityDemonstrate sound work ethicsPerform other related duties as requiredExceptional customer service skillsAbility to work independentlyAbility to make quick, accurate decisions with sound judgmentAccuracy and attention to detail are required in billing operations.Excellent written and verbal communication skillsStrong organizational skills with proven ability to multi-task in a fast-paced environmentWorking knowledge of distribution business systems, such as Microsoft Office Suite, Adobe Acrobat required, as well as other general office equipment  Proficiency in QuickbooksFamiliarity with automated business operating systems preferredNetSuite experience is an assetPreferred Qualifications:3+ years experience in an office setting, preferably in accounting environmentComputer systems proficientHigh School diploma/GED required.Some bookkeeping or office procedures coursework is an asset","Competitive wagesGreat benefits packageA flexible work environmentThe ability to grow within a large companyLocation, location, location - weve got the best view in the city with amazing places to walk and take a breathFREE FOODFriendly team membersOut of office team building outings",0,1,1,Full-time,,,Financial Services,Finance,0
7611,HR Generalist - Benefits and Safety ,"US, CA, Modesto",HR,,"With over 30 years of experience in the industry, Mercer Foods has been providing superior quality freeze dried foods and ingredients to clients around the world for two generations. Our dedication to stringent quality control and complete customer satisfaction are the core of our company tradition, allowing us to provide our clients with the best freeze dried foods on the planet.Here at Mercer Foods, you will find ultra-modern facilities, uncompromising quality assurance programs, complete compliance with freeze dried food standards, and innovative technologies that include everything from sustainable energy practices to automated sanitation and allergen removal.Mercer Foods proudly provides a comprehensive list of products and services for our clients around the world. From total menu and ingredient control to fully customized retail packaging services to a global shipping network that delivers your freeze dried foods reliably and consistently, Mercer Foods spares no expense in ensuring your complete satisfaction.In addition, Mercer Foods employs an integrated research and development team that can help you map out a production and delivery schedule tailored to your specific needs. From organic freeze dried fruits and vegetables to specially prepared and packaged bulk freeze dried foods and ingredients, Mercer utilizes innovative technologies and techniques to ensure prompt and accurate delivery of your product.Quality, sustainability, and service have been the hallmarks of Mercer Foods since our inception, and our ongoing commitment to perfecting our freeze drying process has solidified our reputation as a leader in the freeze dried foods industry.Mercer Foods is an equal opportunity employer. We take pride in the diversity of our staff, and seek diversity in our applicants.","Job Title: HR Generalist - Benefits and SafetyDepartment: Human ResourcesReports to: Human Resources DirectorSchedule: As Posted - Overtime as needed.ESSENTIAL DUTIES AND RESPONSIBILITIES: Responsible for safety and health information for production and corporate personnel. Assists with policies and procedures and recommends improvements in a production environment as they pertain to employee safety and health, operational methods, equipment, operating procedures and working conditions. Other duties may be assigned that pertain to the HR classification. Demonstrated job duties and knowledge listed are sum for the job classification. Knowledge of all duties is to be cross functional but specific duties are assigned within available personnel.Primary duties and responsibilities:Comply and assist in enforcing benefit and safety programs.Participates in benefits administration to include claims resolution and change reporting.Coordinate the open enrollment administrative process.Be an active participant in employee benefit interactions.Assist with training and creation of training programs.Conduct organizational training and development efforts.Must be highly motivated and able to work independently.Ensures effective employee relations.Provides employee coaching and development.Resolves employee issues through problem resolution through escalation to supervision.Works to continuously improve in all areas.Maintains records and compiles reports from database as needed.Acts as Insurance Contact (GL, WC, Cargo, EPLI, Medical, Dental, Life, etc.).Interacts with benefit vendors and acts as a health, safety, and wellness advocate.Leads benefit and safety performance measures, including visual controls and provides regular progress reports to supervisor.Provides safety leadership to entire plant through written safety and health programs and policies.Performs initial accident / incident investigations.Influences safety priorities.Provides facility support in hazard control, PPE, and overall minimizing risk.Responsible for holding safety committee meetings on a regular basis.Coaches and develops employees on safety expectations.Participates in administrative staff meetings and attends other meetings and seminars.Assures safety related policies are accurate, current and in compliance with federal and state regulations.Interpret and disseminate information on all safety matters.Makes presentations on safety and regulatory matters.Acts in a proactive manner to communicate company and employee needs and resources.HR back-up to HR Payroll AdministratorPerform on-call responsibilities as required.Perform all other duties as assigned or needed. Education, prior work experience and specialized skills and knowledge:  A bachelor's degree in Human Resource Management, or a minimum of two years experience in the HR field, or any similar combination of education and experience. The position will be required to demonstrate an ability to communicate orally or in written form effectively with co-workers, departments, and vendors, excellent interpersonal skills, and computer literacy.  5+ years of industrial benefits and safety experience preferred. Demonstrated understanding of ADA, OSHA, WC, FMLA, PFL, STD, etc. desired.Physical environment/working conditions: The position is a manufacturing setting and could involve sitting, standing, walking and lifting for long periods of time throughout the day. Unrestricted visual and audio abilities are required for the safety of all employees. The ability to lift up to 25 lbs. is required.Equipment and Machinery used: MultipleOther (e.g., customer contact or access to confidential information): Must be able to work as part of a team, in extreme temperatures, and various shifts.   ",,,0,1,1,Full-time,Associate,Associate Degree,Food Production,Human Resources,0
11894,Junior Specialist - Seed Production and Harvest ,"PH, , ",,,,#NAME?,"Qualifications-Vocational training/Diploma in Agriculture and minimum 5 years relevant experience, or;-Bachelors degree in Agriculture, Business Management, Engineering or related field with 2 years relevant experienceSkills Required-Is in possession of Professional Drivers license-Can operate light and heavy farm machinery, and especially harvest and post harvest equipment-Has some experience in leading teams-Has good communication and interpersonal skills",,0,0,0,Full-time,Entry level,Bachelor's Degree,Farming,Other,0
11624,Studio Jr. Visual Designer ,"US, CA, Manhattan Beach",,,"The Boston Consulting Group (#URL_45423e1e16703aa4d8ee444d3ad69bb51525f1dad0b3115713cf80dc496299e2#) has launched a new digital business, BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) - a digital innovation, product development, and commercialization firm.  This first-of-its-kind firm will bring unique capabilities to create and grow disruptive digital products and platforms.  BCG Digital Ventures goes beyond providing consulting, design, and technology services to form strategic venture teams with its clients in order to rapidly develop, launch and grow transformational digital products, platforms and businesses.The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy.  BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises.BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries.  Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a world-class professional services organization.","BACKGROUND:  Our Visual Designer translates business objectives into strong online graphic design solutions with a solid understanding of visual communications for both digital and print. He or she will work in a dynamic group environment to support a multi-disciplinary team in accomplishing both internal and external client tasks. Some example tasks include designing interface screens, information graphics, and iconography; creating design style guides; designing print collateral and logos. Our purpose is to help C-level executives imagine, bring-to-life, &amp; stand-up digital business and solutions that have transformative customer impact.  To do this, weve assembled a group of world-class consulting and operations professionals with deep knowledge and experience in digital business and solutions  from mobile applications and e-commerce, to new and emerging digital solutions yet to be realized. RESPONSIBILITIES:Meets design standards for brand, consumer-focused creative for print collateral, digital interfaces, PowerPoint/Keynote presentationsManages all creative responsibilities of assigned projects with little or no supervisionResponds well to feedback, art and UI direction providedPresents work to Creative Director or Art Director, communicating design solutions that meet strategic goalsEffectively works on multiple projects at the same time and meets overlapping deadlines as they arise. Must know the status of jobs being worked on, and be able to prioritize their completionCollaborates with cross-functional teams: Creative, User Experience, Technology, and Project Management, to ensure compelling print and online solutions and seamless executionsPrepare Powerpoint presentations for C-level executives for various business unitsCreate production-ready digital assets","QUALIFICATIONS:1-2 yrs Graphic Design Experience. AA - BFA Concentration in graphic design and multi-media web designMust be highly proficient in Photoshop, Illustrator, InDesign and Powerpoint. Knowledge of HTML, Word, Dreamweaver, After Effects is helpfulExcellent written and verbal skills. Able to receive direction, and to communicate effectively with peers and managementAble to plan, prioritize and organize work effectively and efficientlyAccurate attention to detail: must be aware of evolving creative guidelines, and adhere to the latest direction ","“Top 5 Fortunes Best Companies to Work for”Named #1 ""Best Firms To Work For"" by Consulting Magazine96% of the staff is proud to be part of BCG92% of alumni recommend BCG as place to workBCG works with 2/3 of Fortune 500 Companies ",0,1,0,Full-time,Entry level,Bachelor's Degree,Management Consulting,Design,0
6096,OUD: Stage Marketing 3.,"NL, UT, Amersfoort",,,"SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert ophet snijvlak van controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over eengereedschapskist vol met kennis, extra technische ondersteuning van developers ensoftware op het gebied van business intelligence. Oplossingen die SummaView biedt zijninventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun businessintelligence-activiteiten op een hoger plan te tillen.","SummaView is op zoek naar een gemotiveerde stagiair(e) Marketing met ingang van eind januari.SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert op het snijvlak van controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over een gereedschapskist vol met kennis, extra technische ondersteuning van developers en software op het gebied van business intelligence. Oplossingen die SummaView biedt zijn inventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun business intelligence-activiteiten op een hoger plan te tillen.Op de afdeling Marketing werk je met gezellige collega's die beschikken over veel energie, creativiteit en passie en nauw samenwerken om ambitieuze doelen te bereiken. Wanneer je een stage gaat doen bij SummaView word je onderdeel van een team die jouw ideeën en input zullen waarderen en die je zullen ondersteunen tijdens je stage. ","Je volgt een HBO- of WO-opleiding richting Marketing en beschikt over een pro-actievie werkhouding. Je beheerst de Nederlandse en Engelse taal uitstekend en bent in staat om zelfstandig te werken. Kennis van Adobe Photoshop is mooi meegenomen, maar zeker geen vereiste.Je werkzaamheden zullen o.a. bestaan uit:Ondersteuning Marketing Manager bij lanceren SummaViewOntwikkelen partner programmaMarktonderzoekOnderhoud Social MediaPR",Wij bieden jou: Een uitstekende begeleiding.Gezellige en leuke collegas.Een marktconforme stagevergoeding.Telefoon en laptop voor zakelijk gebruik.,0,1,1,,Internship,,,Marketing,0
10625,Restaurant Assistant General Manager (Fast Track GM),"US, VA, Richmond",,75000-85000,,"Restaurant Assistant General Manager (AGM)/Fast Track GM $75K/$86K + fully paid medical plan and other great benefitsWe are looking for Restaurant an AGM/Fast Track GM (within 6 months) for a solid concept in the Richmond, VA area. Please have at least 5 years of Restaurant Management experience, including some on the AGM or Senior Level Management level with a full service/ full liquor operation that has a reputation for quality. Your resume should show stability of at least 2 1/2 years per position and that you have achieve some career progression during your work history. Corporate or privately run restaurants are okay. Great benefits package including paid vacations and fully paid medical plan.For consideration please submit your resume - thanks!",,,0,0,0,Full-time,,,Restaurants,,0
1511,Marketing Representative,"US, CA, Sacramento",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Financial Services Company is seeking a full-time Marketing Representative to add to our sales team. Qualified candidates should possess a strong background in customer relations and business development, familiarity with the finance industry, automotive or other is a plus. Primary responsibilities include, but are not limited to the following:Maintaining and building automobile dealer relationships via contact through phone, email, direct visits and other correspondence.  Facilitate new dealer sign-up to include background investigation and provide ongoing training and coordination.Source current relationships and prospects for developing joint marketing initiativesFollow-up of pending credit applications and contracts.Prepare and analyze activity reports, monitor trends, increase sales market penetration and share strategies to maintain competitive advantages. This position requires the following qualifications:Previous finance, auto financing or auto dealer experience in the areas of sales/marketing, underwriting or customer service preferredStrong customer service skillsAbility to multi-task and work independentlyTime management and organization skillsProficient in Microsoft Office Suite a mustEffective communication skills; written, verbal and listeningThe standard hours for this position are:Monday through Friday with some adjustments required to accommodate dealer operating hours on Saturdays.Must be able to travel within assigned territory, monthly overnights approximately 15% of the time.Our company offers a competitive salary as well as a comprehensive benefits package to our full-time employees, including: paid vacation, holidays, sick time, health, dental, life and supplemental insurance and 401k.Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  ","This position requires the following qualifications:Previous finance, auto financing or auto dealer experience in the areas of sales/marketing, underwriting or customer service preferredStrong customer service skillsAbility to multi-task and work independentlyTime management and organization skillsProficient in Microsoft Office Suite a mustEffective communication skills; written, verbal and listening","Our company offers a competitive salary as well as a comprehensive benefits package to our full-time employees, including: paid vacation, holidays, sick time, health, dental, life and supplemental insurance and 401k.",0,1,0,Full-time,Associate,Unspecified,Financial Services,Marketing,0
17204,Solar Installer,"US, CA, Ontario",Installers,,"Solar electricity is the way of the future!  We are focused on bringing solar to homeowners in a simple solution with no up-front cost to the customer.  We are looking for talented and driven people to join our team and take their careers to the next level while growing our business into a national leader in solar energy development.  Our culture is a fast paced, high growth, work hard, play hard environment that encourages collaboration.","Solar electricity is the way of the future!  We are focused on bringing solar to homeowners in a simple solution with no up-front cost to the customer.  We are looking for talented and driven people to join our team and take their careers to the next level while growing our business into a national leader in solar energy development.  Our culture is a fast paced, high growth, work hard, play hard environment that encourages collaboration.Your RoleYou will install residential grid-tied solar arrays. In this position, the Solar Installer will provide support to the crew lead of the installation team, communicate job status data to the operations management, and ensure the completion of installation of all solar arrays/systems. It is the responsibility of every Installer to ensure safe work practices for the installation team.ResponsibilitiesLayout and assembly of solar modules / array and mounting hardwareMechanical/structural mounting of racking, modules and electrical equipmentElectrical wiring of solar array/system (AC and DC)Document completion of completed installationClean-up of job siteAttend training sessions on new products, installation methodology and safetyAdditional duties required as needed","1 year of roof work, general construction, or carpentry preferred but not requiredSolar experience is a plusMust be able to lift 50lbsEnjoy working outdoorsMust be willing and able to climb ladders, stairs, work on rooftops and able to work on your feet for long periods of timeExcellent verbal communication skills requiredThrive in a team environment",Compensation depends on skill &amp; experienceCareer path opportunities for top performers,0,1,1,Full-time,Entry level,,Utilities,Other,0
17867,Post Production Editor,"US, IA, West Des Moines",,,"Stories by RELFrom 5,000 feet, we look like a media company. If you look close, our greatest strength is producing video. If you find our sweet spot, we are really into agriculture. If you pin us down, we value quality and work hard to deliver as much as we can. If we had a wish, we would be doing this (with an unlimited budget and no deadlines). If you sum us up, we make stories.Produce the story.Every project starts as an idea and ends as a finished product. Producing starts someplace after “idea” and ends when the client is happy with the finished product. Everything in-between is a variable. Our focus is taking that idea and helping our clients get it to an end product they can be proud of.Lets get our hands dirty.We believe in hard work, but we also believe in working smart. If we have learned anything in nearly 20 years…you need to have processes, technology and workflows…that can be managed and operated efficiently, that are client friendly and are able handle most anything thrown at them. You will understand it when you see it. The tour is free.","Key Duties:• Rough cut shows on Adobe Premier adhering to technical video and audio specifications (within REL workflow)• Finish shows in Adobe Premier or Smoke when necessary   • Basic sound editing, sweetening and final mixing   • Basic digital video color correction• Export and encode for final distribution• Prepare/encode final video files for final delivery• Revise existing and/or archived projects   • Collaborate with producer to ensure technical accuracy of video including:   • Client-specific brand guidelines• Content relevance and accuracy• Collaborate with Production Coordinator to ensure project timelines and specifications are met• Some travel required  Production Assistant/Grip on various video shoots","Additional Duties:• Ingest, log and label footage from tape and external sources• Manage and archive media and video-related projects (within REL workflow)• Prep footage for rough cut editingEfficiencies:• Mac OS• Adobe Premier Pro (required)• Autodesk Smoke (preferred or willing to learn)• Adobe After Effects (preferred)• Adobe Photoshop (preferred)• CatDV Digital Asset Management System (will train)Additional Skills:• Organized• Creative• Critical thinker• Able to effectively carve a story from non-scripted interviews• Looks at challenges as opportunities• Willing to work long hours and weekends when needed• Be able to work with and take direction on shoots from director/producer and senior camera operator",Salary and benefits package is negotiable based on experience.,0,1,0,Full-time,Mid-Senior level,,Motion Pictures and Film,Production,0
14570,Occupational Therapist (Home Health),"US, AZ, Scottsdale/Phoenix",,,"Mission StatementGood Life Home Care is committed to providing superior, safe and cost-effective home health services, so our patients can live as independently as possible. We also seek to coordinate community and agency resources, and to decrease the burdens of illness and the unnecessary duplication of services, resulting in lifestyle improvements and positive outcomes for our patients.Our VisionGood Life Home Care is committed to serving the home health needs of every community in the counties in which we are licensed. We emphasize honor, professionalism and excellence.Our Goals• To be the premier home health agency in every market we serve.• To treat every patient with dignity and respect.• To remain a family-oriented operation.• To continually grow in size and scope, while maintaining a high standard of quality.","Good Life Home Care, an established, family-owned and Medicare certified home health agencyseeks an Occupational Therapist to support with Clinical Evaluations and Treatments throughoutthe Mesa, Chandler and Tempe area. Good Life is known for its commitment to excellence in patient care and supportive workenvironment. Service territory is flexible to meet the needs of our employees.","PRN patient care provided on a per visit basis (~10-12 visits/week).Work with administrative and supervisory personnel regarding therapy visits to assurehigh quality and proper follow-up patient care.Participate in case conferences to ensure optimum communication within and betweendepartments and to discuss active issues.Report all events that vary from policies and procedures and/or standards of therapy careto the Therapy Supervisor.Follow physician orders for treatmentJOB REQUIREMENTSActive OT License in the State of AZ.Current CPR, TB, Work Clearance Physical, Drivers License and Auto Insurance.Reliable transportation and comfortable providing service in the home environment.Excellent physical assessment and critical thinking skills.Excellent written and verbal communication skills",COMPENSATIONRegionally Competitive per visit reimbursement.Mileage reimbursement for travel expenditures.Supportive work environment.Personal Point of Care laptop for completing documentation.,0,1,0,Part-time,Mid-Senior level,Bachelor's Degree,Hospital & Health Care,Health Care Provider,0
7093,iOS Developer,"US, CA, San Francisco",,,"Not a ninja, rockstar, or guru? Perfect. Weaver's hiring!Weve just closed a round of funding and are looking to fill the following roles in Austin and San Francisco.Weavers like emoticons on steroids. Its an app that automatically illustrates your conversations as visual stories. Were developing technologies to give people more creative and fun ways to express themselves on mobile (and wearable) things. Well be pushing the limits of NLP, 3D visualization, and real-time interaction.Weavers looking for people passionate about storytelling and crafting expressive tools for others. We want to build a culture of fun, creative people who play well with others. If that spells out someone youve enjoyed working with, please do have them reach out or ping us with an intro.","Weaver Labs is looking for energetic and dedicated individuals with a keen interest in developing the future landscape of communication and storytelling. The iOS Developer will be responsible for developing, writing, and debugging code as well as working closely with design staff and other engineers to develop a broad range of client based messaging such as networking, graphics, and interface. Responsibilities:            •           Objective-C programming            •           Concurrency on iOS Platform            •           Service oriented development            •           Cocoa development            •           API design            •           Debugging ","•           Strong knowledge of Objective-C runtime, language and techniques (memory management, introspection, dynamic methods)            •           Experience creating dynamic interfaces in Interface Builder            •           Must possess excellent architecture and API design instincts and skills            •           Must possess excellent profiling and debugging skills            •           Strong understanding of concurrency on iOS Platform (NSOperation and GCD)            •           Strong networking understanding (TCP/IP, HTTP, REST)            •           Familiarity with service oriented development            •           Must have modern Cocoa development experience Preferred:            •           Understanding of user interface design concepts and best practices            •           Familiarity with programming language interface design and implementation a plus            •           Experience with compiler implementation and architecting integrated development environments To Apply:   Please send your resume and a cover letter with your salary requirements.",,1,1,1,Full-time,,,Computer Software,,0
203,English Teacher Abroad,"US, OH, Tiffin",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
11118,CR0 4XH Customer Service Apprenticeships Under NAS 16-24 Year Olds Only,"GB, CRY, CR0 4XY",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Customer Service. During the first 12 months you will work towards a Level 2 Customer Service NVQ and then be kept on in a permanent position.You will be working for one of the UK's largest vehicle rescue organisations and the role will involve:-Being a vital team member-Making outbound calls to customers on behalf of their insurer-Asking questions to acquire all the relevant information-Inputting all of the information onto the sheet/data inputting-Ordering partsIdeal candidates will be happy to pick up the phone.If you are confident with a positive attitude please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Customer Service,0
11533,Stockton-On-Tees Apprentice Booking Clerk Under NAS 16-18 Year Olds Only,"GB, STT, Stockton-On-Tees",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",This position is only available for 16-18 year olds due to government funding.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin and anyone with a passion for train stations. You will be working at a train station and the role will involve:-Planning journeys-Helping customers with journeys-Issuing tickets-Working as a team of threeIdeal candidates will have good geographical knowledge and will be computer literate.If you are career minded and self-motivated please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,,Unspecified,Transportation/Trucking/Railroad,Administrative,0
8553,English Teacher Abroad ,"US, WA, Pullman",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
6238,"  Resources Change Management, Process Excellence and Change Enablement Manager","US, TX, Houston",,,,"Change Management professionals provide knowledge and experience related to the skills, structures, tools and support mechanisms needed to manage change and to foster an environment conducive to sustaining that change.Adapts existing methods and procedures to create possible alternative solutions to moderately complex problemsUnderstands the strategic direction set by senior management as it relates to team goalsUses considerable judgment to determine solution and seeks guidance on complex problemsPrimary upward interaction is with direct supervisorMay interact with peers and/or management levels at a client and/or within AccentureDetermines methods and procedures on new assignments with guidanceDecisions often impact the team in which they resideManages small teams and/or work efforts (if in an individual contributor role) at a client or within AccentureAdditional responsibilities in at least one of the following change management offering areas:Change Strategy· Application of the change architectures, models, and frameworks used to execute global, multi-polar, multi-workforce, cross-generational, or multi-cultural, complex change successfully· Assist client executives to communicate frameworks, cultural norms, and stakeholder engagement practicesOrganization Change Enablement· Approach Change Management as a ""Science"" instead of an ""Art"" by using data-driven and predictable methodology· Utilize methods, estimators, and frameworks that integrate tightly with the broader project· Drive stakeholder engagement, leadership alignment, impact analysis, learning/training, communications, business readiness, deployment, and adoption measurement· Help re-align the organization, its people, and their actions with critical business imperatives and specific objectivesOrganizational Change Capability· Support clients in achieving sustainable performance and/or improvements within their organizations· Assist clients in building strong change management capability within their workforce· Assist with the workforce transition from internally managed operations to outsourced operations· Identify opportunities to provide or create additional client value· Develop trusted relationships with key clients or internal customers · Ability to meet travel requirements, up to 100%","Basic Qualifications· Minimum 4 years of consulting experience or other relevant experience related to successful delivery of change management work in the disciplines of change management methodology, job/role/organization design, stakeholder identification and engagement, sponsorship alignment, marketing or communication, training/performance support, organizational readiness, or transition to outsourcing· Bachelor's DegreePreferred Skills· Some background in individual and/or organizational psychology· Experience leading at least one other person· Experience working with offshore and third-party vendors· Experience with global, cross location or multi-national projects· Experience in a delivery role in a complex and integrated environment· Capable of meeting with senior executives (Directors and Vice Presidents)· Skills and hands on experience implementing portions of large-scale ERP organizational change programs related to enabling systems and process change. This may include but is not limited to:· Change Network Strategy, Design, and Implementation· Organizational Impacts Identification and Mitigation Plans· Organization Alignment· Role Mapping· Super / Power User Strategy, Design, and Implementation· Skills and experiences in other related Talent &amp; Organization Performance areas such as Human Capital &amp; Organizational Effectiveness, Learning &amp; Collaboration, and Human Resource and Talent Management· Experience in using process mapping, training development, or web-page development applications· Experience in Project Management such as work planning, status reporting, issue / risk management, and estimating· Strong proficiency in using Microsoft Office products (e.g. Word, Excel, PowerPoint)Professional Skill Requirements· Proven success in contributing to a team-oriented environment· Proven ability to work creatively and analytically in a problem-solving environment· Desire to work in an information systems environment· Excellent leadership, communication (written and oral) and interpersonal skillsAll of our consulting professionals receive comprehensive training covering business acumen, technical and professional skills development. Youll also have opportunities to hone your functional skills and expertise in an area of specialization. We offer a variety of formal and informal training programs at every level to help you acquire and build specialized skills faster. Learning takes place both on the job and through formal training conducted online, in the classroom, or in collaboration with teammates. The sheer variety of work we do, and the experience it offers, provide an unbeatable platform from which to build a career.",,0,0,0,Full-time,,,Oil & Energy,Human Resources,1
16020,Swamper,"US, PA, Waynesburg",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Our client, located in Waynesburg, PA, is actively seeking a Swamper that possesses excellent communication skills and works well with others. The ability to work independently is a must. The ideal candidate will be a self-motivated problem solver.Responsibilities:Perform daily inspections on all rigging equipment.Load and unload equipment from trucks.Safely work safely in unpredictable and adverse field conditions and around continuously moving vehicles and equipment.Ability to rig-up and rig-down oil and gas rigs.Understand the potential liability of personal actions in relation to work behaviors.Monitor work-in-progress and successfully adhere to established timelines and schedules.Develop logical approaches to correct problems.Develop and maintain positive public relations.","Must have valid drivers license.Comfortable and able to work at heights between 10 and 40 feet.Willing to work overtime, in adverse weather conditions, and perform shift work during nights, weekends, and holidays. Must be able to drive safely off-road and in adverse conditions. Travel to out-of-town project sites in the tri-state area (hotel stay provided by company). Qualifications:Minimum of 6 months' experience preferred.Rig-moving experience preferred.Company Overview:Our client is dedicated to providing a variety of quality services in the oil and gas well industry.  ",,0,1,0,,,,,,0
16367,Sr. Account Executive,"US, NY, New York",,,"Were always looking for highly motivated “founder-types” to join us as we grow. Heres what you need to know.Our Core Values:Every member of our team brings something unique to the table, but we share the same values:Use your intelligence without arroganceTake initiative, and execute tenaciouslyPut the teams needs above your ownRespect customers, partners, investors, and co-workersFocus on results, but never compromise on qualityLive to learn, and share what you discoverBe honest, be transparent, and be goodNever, ever give up!Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort.Culture and Benefits:Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:Full medical, dental, and chiropractic coverage  even acupuncture.Daily company lunches catered in  plus snacks and drinks for days!Frequent team bonding events and company outings (including the occasional beach day!)Unlimited paid vacation time  because we trust you to hold yourself accountable.Gym membership with access to facilities all over LA.Flexible work hours  we know 9am isnt for everyone.Stock options  if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.A referral bonus program.Last, but definitely not least  dogs in the office! Bring your own furry friend, or feel free to cuddle with one of the The Mobile Majority pups.","The Mobile Majority has invented an entirely new mobile media platform that leapfrogs outdated legacy technologies and solves the biggest hurdles in mobile advertising today. Including creative limitations, viewability, lack of transparency and unverified targeting. This means better quality, better value, and better results for brand marketers.Were led by a team of experienced serial entrepreneurs and media industry veterans - all with a shared passion for eliminating what clients have identified as the biggest issues in mobile today. Our headquarters are located in Santa Monica, CA, with additional offices in New York City, San Francisco and Brazil.As the Sr. Account Executive, East, you will a key member of a growing team focused on the development of innovative sales and marketing solutions that will drive revenue. Responsibilities include:Build strong relationships with advertising agencies and clients in order to increase revenue through the presentation of The Mobile Majority's services and suite of product offeringsPartner with Sales Planning to create proposals in response to RFPsUtilize The Mobile Majority's sales methodology from proposal to closeEvangelize The Mobile Majority in market and actively share materials on thought-leadershipManage pipeline of activity and provide regular status updates to Vice President of SalesMonitor clients campaign performance through a partnership with the Client Services TeamRepresent The Mobile Majority's value proposition throughout The Mobile Majority and the communityWork with Sales Planner(s), Account Manager(s), Creative Lab, Marketing, Business Intelligence and AdOps to develop market-leading proposalsManage a pipeline of revenue opportunitiesBe a role model and representative of The Mobile Majority's culture both internally and externallyIf you are a good fit, you will be joining a team of passionate, happy entrepreneurs that are looking to build a new kind of company. Things to consider if you want to join our team:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means you need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort.",A minimum of 7-8 years of experience in sales required; advertising experience strongly preferredStrong book of digital agency contactsA minimum of a Bachelors Degree requiredAbility to effectively analyze market opportunity and pipelineMust have a distinguished track record of sales successWilling and able to travel as needed,"This position offers a competitive starting base salary with huge bonus potential, stock options and an amazing opportunity to work with experienced entrepreneurs building a fun and fast paced company.Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:•    Full medical, dental, and chiropractic coverage - even acupuncture•    Daily company lunches catered in - and snacks for days!•    Unlimited paid vacation time - because we trust you to hold yourself accountable•    Flexible work hours - we know 9am isnt for everyoneStock options - if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.",0,1,0,Full-time,Associate,Bachelor's Degree,Marketing and Advertising,Sales,0
3668,Senior .NET Developer,"GR, I, Athens",Software Development,,"Working at i-kiosk means following your passions. We hire rockstars, hackers and pioneers. We want people who can solve challenging problems, make a real impact and build something big. You should join us.","The Senior Developer is expected to play a major role in ensuring that the technical procedures, tools and ultimately the code produced by the Development Team is high calibre and fit for purpose.Also, he or she should participate in complete SDLC and ensure best practices and agile methodologies are followed in all stages and is expected to play a key role in the architectural design of solutions.","Programming SkillsCandidates will be expected to be highly knowledgeable and experienced in:VB.Net / C# (.Net 2.X, 3.X, 4.X )MS SQL / MySQLMultithreaded programmingDatabase architectureNetwork programming (Sockets)Further to this, they are expected to build expert skills in:SOAP, RESTful, JSONSelection CriteriaOver 4 years software development experience on commercial productYou should be fun to work with!&nbsp;","You will be team member of an awarded tech startup who's trying to make a dent in the retail universe.Our company is our people. Here in i-kiosk we are a team and we mean it, that's why we want to make your life at work easier, more fun and more productive!We have many perks for you some of which include:A brand new Samsung Galaxy S3 (or similar) for every employeeWorkstation setup of your preference (we love dual-monitors)In-house lending libraryFlexible working hours",0,1,1,,,,,,0
12557,Dewsbury Business Admin Apprenticeship Under NAS 16-18 Year Olds Only,"GB, LDS, Dewsbury",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then you will be kept on in a permanent position.You will be working for a pharmaceutical supplier and the role will involve:-Assisting the Operations Manager-Processing orders-Filing and faxing-Data inputting and using spreadsheets-Dealing with customer enquiriesIdeal candidates will be good communication skills and confident on the telephone.If you are motivated and career minded please apply now.,16-18 year olds due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Administrative,0
6957,Graphic Designer / Large Format printer operator,"US, NY, Long Isalnd City",Graphics/Production,37500-42500,,Fabrication and Printing Company in Long Island City New York is actively looking for a Graphic Designer / Large Format printer operator. ,-        You must be proficient in Photoshop and Illustrator-         Ability to deal and communicate effectively with clients-        Experience or knowledge in working on large format printing is a plus ( not required)-        Experience or knowledge in Vinyl application is a plus ( not required)-        Detail oriented    -        Reliable                                                                                                                                                     -        Must be willing to work late hours (when needed).,           Excellent opportunity to learn exciting new skills for a MOTIVATED worker.,0,0,0,Full-time,Entry level,Some College Coursework Completed,Graphic Design,Production,0
1822,Principal/Senior Mechanical Engineer (Package Equipment),"US, TX, Houston",,,"Aker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.","Corporate overviewAker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.We are looking for individuals who are prepared to take a position. Not only a position within Aker Solutions, but also a position on the exciting challenges the global oil and gas industry faces now and in the futureAker Engineering &amp; Technology based in Houston is a leading international provider of front end studies, engineering, procurement and project management services needed for initiating and undertaking of contracts for the oil &amp; gas industry, both onshore and offshore. The company is also a major contributor of new technology and products, new knowledge and new methods for efficient cost saving and environmentally friendly exploitation of the world's oil and gas reserves.Responsibilities and tasksResponsible for the execution and co-ordination of the technical &amp; procurement activities of assigned packages, with a specific focus on Package Equipment (Makeup Water, Chemical Injection etc)....This includes activities such as:- Identify available equipment types for the specified equipment service and duty- Undertake equipment sizing to determine footprint and weight requirements, input to layout, electrical load and weight/cost estimate- Justify and recommend equipment selection and document the selection via study reports- Close cooperation with the Process, Layout and other disciplines to ensure robust solution.- Liaison with equipment suppliers to support the equipment selection process- Managing design interfaces for package equipment.- Compiling Technical Requisitions &amp; Specifications for Budget, Enquiry and Purchase Order.- Execution of technical Pre-Order Activities.- Evaluating Supplier bids/solutions for package equipment.","Qualifications &amp; personal attributes Experience:7 years' experience in engineering and technical procurement activities associated with process and/or utility package Equipment in Oil and Gas, Petrochemical and related industriedQualifications:Degree in Mechanical EngineeringChartered EngineerA positive and flexible approach to assigned tasks is required",We offer• Friendly colleagues in an industry with a bright future.• An environment where you are encouraged to develop your skills and share your knowledge with your colleagues.• Competitive benefits and strong focus on work-life balance.•Friendly colleagues in an industry with a bright future•An environment where you are encouraged to develop your skills and share your knowledge with your colleagues•Competitive benefits and strong focus on work-life balance• A good and creative working environment in a technical driven organisation. • Interesting and challenging work tasks in one of the leading oil &amp; gas technology companies worldwide. • Good career development opportunities nationally and internationally • An expansive company under constant development,0,1,0,Full-time,,,Oil & Energy,Engineering,1
14092,Healthcare Assistant,"GB, KEN, Sidcup",Health and Social,,,"Health Care Assistants required for the Bromley and Orpington areas. Must be 18+. The post involves supporting individuals to live as independently as possible in their own homes. While experience is preferred; enthusiasm and a real interest in caring for people is essential. Duties include assisting with personal care, domestic work, shopping and assisting in any other tasks in daily living. Applicants must be able to work a range of shifts which should include some weekends and evenings. Part time shifts are also available. Initial and on going training is provided. Successful applicants are required to supply an enhanced disclosure, expense to be met by applicant. Car driver preferred due to the nature of the work but not essential",Driver preferred,,0,0,0,,,,,,0
17606,Data Entry Clerk / Administrative Assistant,"US, TN, Memphis",Administrative,21-63000,,"Experienced, reliable team members are needed for our Data Entry Clerk / Administrative Assistant needed! We are currently searching for candidates with previous experience and/or motivated quick learners. These positions require a friendly phone personality, great attention to detail and the ability to work quickly and efficiently. This is a customer contact position that requires patience, a great phone demeanor, excellent verbal and written communications, and reliable work attendance.Key Aspects of Position:Provide extraordinary service to our customers at all times.Work as part of a Customer Service team.Other duties as assigned.","6 months to a year experience working in a fast pace, back to back call handling in a call center environment.High comfort level with computer-based work. Google applications knowledge and Netsuite or similar CRM/Ticketing software a plus.Must be able to multitask between various web applications.Passionate about providing stellar service to customers.The ability to be as friendly and helpful at the end of an 8-hour shift as in the beginning of the shift.Ability to work at a fast pace while maintaining accuracy.Great attention to detail, and a high sense of urgency.Excellent written and verbal communication skills.Ability to work various shifts during a 24 hour period, as schedules may vary from week to week. Solid record of good attendance at prior employer's references.All applications must be received online. No walk-ins or phone calls accepted. Due to the volume of applicants, we are unable to accept phone or email inquiries on application status. Applicants must follow these requirements in order to be considered.","Health, Dental, Life and AD&amp;D Insurance, Employee Wellness and 401k #URL_c801649eeb4007728c8f41b2d6629d92c2295ff77e1f2d401d7696ce3569db63# Time Off and Holidays with Generous Company Discounts",0,0,0,Full-time,Entry level,Bachelor's Degree,Telecommunications,Administrative,1
14034,Administrative/Project Assistant,"US, CA, Pleasanton",,,,"Job OverviewApex is an environmental consulting firm that offers stable leadership and growth, views employees as valuable resources, and rewards success with competitive pay and growth opportunities.  We are seeking a self-motivated full-time Administrative/Project Assistant to join our team in Pleasanton, CA and become an integral part of our continued success story.ResponsibilitiesThis position entails providing a variety of business functions in support of a rapidly growing branch office of a $100MM national consulting firm.  Routine responsibilities include data management using MS-Excel, document production in MS-Word and Adobe, regional AP/AR, project support such as vendor research and travel arrangements for field staff, and routine office duties including filing, phones, and administration of office equipment.QualificationsPosition requirements include a high school diploma and at least 10 years of significant office experience in a professional services environment.  We are seeking an administrative professional with a demonstrated history of stable employment and exceptional attention to detail; Must be proficient with Microsoft Office (Word, Excel, PowerPoint, and Outlook) and Adobe.  The ideal candidate is a self-motivated individual with superior communication skills who excels in a team environment, is comfortable multi-tasking, and wants to grow with a rapidly growing branch office.Want to join a team of talented professionals? Submit your resume for consideration today!#URL_f030e16ff4531e87a62857357985e3e8f1fdedb40dbfebfeb0e7e3a5ead65097#About ApexApex is a customer-focused company that delivers environmental, health, safety and engineering services to over 700 clients across the United States and abroad. Driven by an entrepreneurial spirit and a dedication to providing responsive, cost-effective solutions, Apex has grown rapidly since our founding in 1988.Working in partnership with our public and private sector clients, our team of experts provides services tailored to support each customer's unique goals and objectives. By blending strong technical skills, business acumen, and superior customer service, we are able to deliver creative solutions that deliver high quality results at low cost.From commercial and industrial firms to construction, petroleum, and utility companies to financial institutions and government clients, Apex has extensive experience in a wide variety of industries. Our corporate professional resume includes proven capabilities in the areas of water resources, remediation and restoration, assessment and compliance, and industrial hygiene, among others.Apex is an entrepreneurial firm, and ensuring that our senior managers are able to move unencumbered is our priority.  We are a successful and growing mid-sized firm.  We're small enough that our employees still have access to our leadership, and it's easy for high-performers to be recognized for their contributions and advance without bureaucracy.  With over 30 office locations, we're big enough to provide comprehensive environmental consulting and engineering services to our diverse client base and to provide resources to our employees to help in their professional development.                 Apex Companies, LLC is an Affirmative Action/Equal Opportunity Employer",,,0,0,0,,,,,,0
13196,Tools Engineer,"US, TX, Austin",Engineering,,"MaxPlay™ is a cross-platform digital publishing company focused on revolutionizing the games as a service market. You probably havent heard of us yet, but thats about to change. Recently spun out of Technicolor Ventures, were busy building a world-class set of tools and technologies that enables game developers to reach larger audiences and provide the best gaming experience to their consumers. MaxPlay has a great team of veteran game publishers, engineers, and consumer product folks but we also need you to reach the next level.Its not easy disrupting the game publishing business. Each day were tasked with building a broad range of products and services, and were looking for talented people in Austin, Los Angeles, and San Francisco that are excited to meet those challenges. Even if you're not a gamer, but love technology and creating new tools and platforms, we want to hear from you.",The Tools Engineer will work closely with the UI/UX team to develop tools used by artists and designers throughout the production pipeline.,"At least 3 years of C++ experienceAt least 1 year of experience working with a UI frameworkFamiliar with version control, such as PerforceExcellent written and verbal communication skillsBachelors Degree or equivalent experience Bonus Points:Experience with the QT frameworkPython experienceFamiliarily with game engines such as Unity, Unreal, or CrytekADDITIONAL INFORMATIONAll information will be kept confidential according to EEOC guidelinesMaxPlay and the Technicolor Ventures Group are an EOECandidate must be eligible to work in the United StatesNW Austin office location",,0,1,0,Full-time,Mid-Senior level,,Entertainment,Engineering,0
16702,Creative Website Bakers,"PK, SD, Karachi",Design,400000-450000,"A Creative agency for Web Design &amp; Development, Software Development and Mobile Application Development. We offering a vast array of solutions on varied platforms. Our aim is to amalgamate the best in technology, design and usability in presenting solutions that best suit your requirements. Therefore, we invest in our mind resources in order to gain success which is lifetime in means of assets and building our basics stronger. We provide market competitive salaries, outstanding benefits &amp; fringe facilities to the right candidates.",We are looking for a highly creative website bakers. The candidate must possess at least few years of experience in web designing for small and medium-sized projects. Candidates are required to submit their portfolios which is essential. He will be involved in baking new concepts and cooking designs for the clients.,"We are in need of Expert Website/Print Media Designers. You must have expertise in.1. Website designing (Static, Joomla, WordPress, Web 2.0).2. HTML5 Plus XHTML slicing.3. Good Communication with your team.4. Other design expertise including (Brochure, Flyer, Banner, Header) designing.","Ample paid time off (a.k.a. PTO) so you can finally take that vacation when required.All the usual company holidays, plus a few you might not expect.A sweet 401k plan with company matching (retirement, here you come!)Flexible work arrangements to accommodate your ever-busier life.Enough free snacks and drinks on overtimes to make your dentist nervous.An annual company outing that people actually want to attend.Legitimately great medical and dental coverage with low premiums and co-pays (Coming Soon)A work environment that's so fun and casual we hesitate to call it &ldquo;work&rdquo;.Aptitude tests so you never have to stop learning.",0,1,1,Full-time,Mid-Senior level,,Design,Design,0
1629,Entry Level / Jr. Art Director,"US, NY, Flushing",,,"As the premier design studio in Queens, we craft beautiful work using the latest technology and solve design problems that lead to satisfaction not just for our customers —  but for the design team as well. Clients such as Facebook, Reebok, and Ogilvy &amp; Mather come to us for our creative ideas and always-on-time reliability. From developing a web site that provides impactful business value, to producing a critical sales presentation on a tight timeline, we bring together gifted designers to deliver solutions that actually matter.In addition to the satisfaction of a job well done for industry-leading clients, we offer a full range of benefits for full-time employees including health insurance, vision/dental, 401(k), generous paid time off and a professional development program.","You love creativity and have a passion for what's hot in the marketplace right now. You devour design, as it is essential to your survival. Design to you is more than just a pretty picture - it solves a business goal and meets a user's need. You enjoy working with other designers across a spectrum of projects, and no assignment is too big or too small for you or your ego. Creating compelling work in a fast-paced environment means having confidence, extreme talent and an ability to handle and grow from criticism.  As an Entry Level / Jr. Art Director, you understand that prima donnas and superheroes are detrimental to the collaboration of the team as a whole and it's essential that each designer carries his/her own weight.You'll keep busy by:Executing a wide variety of graphic projects in the worlds of digital, print and presentationsMaintaining our high standards of excellenceTurning us on to new sources of inspirationSupporting the design team on larger projectsParticipating in Business Development pursuitsSharing your insights on how to refine and improve our design process","We want you if you have: A BFA or MFA degree in Graphic design, Interactive Design, or AdvertisingPresentation ExperienceReal-world visual design experience (freelance, part-time or internship)Strong conceptual skillsFluency in Adobe Creative SuiteAn ability to stay extremely organized with files and internal communicationsExperience working with Apple's iWork and Microsoft OfficePixel perfect attention to detailNot required, but very useful: Basic HTML and CSS skillsSelf-driven, with a fearless interest and curiosity in technology   Interaction Design skills (i.e. wireframing)Strong written and verbal communication with the ability to present your work and rationale to the internal team","Why work for C42D? We craft beautiful work using the latest technology and solve design problems that lead to satisfaction not just for our customers —  but for the design team as well. Clients such as Facebook, Reebok, and Ogilvy &amp; Mather come to us for our creative ideas and always-on-time reliability. From developing a web site that provides impactful business value, to producing a critical sales presentation on a tight timeline, we bring together gifted designers to deliver solutions that actually matter.",0,1,1,Full-time,Associate,Bachelor's Degree,Graphic Design,Art/Creative,0
10570,OUD: Business Controller 6.,"NL, UT, Amersfoort",,,"SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert ophet snijvlak van controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over eengereedschapskist vol met kennis, extra technische ondersteuning van developers ensoftware op het gebied van business intelligence. Oplossingen die SummaView biedt zijninventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun businessintelligence-activiteiten op een hoger plan te tillen.","SummaView is opzoek naar een (interim) business controller met een passie voor Business Intelligence. SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert op het snijvlak van controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over een gereedschapskist vol met kennis, extra technische ondersteuning van developers en software op het gebied van business intelligence. Oplossingen die SummaView biedt zijn inventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun business intelligence-activiteiten naar een hoger plan te tillen.Voor onze projecten zijn wij continue op zoek naar (interim) business controllers die onze passie voor Microsoft BI delen. Je bent een generalist, die zich als een vis in het water voelt bij complexe controlling en IT-projecten. Of het nu om financial, logistieke, marketing of sales-vraagstukken gaat, het maakt je niet uit. Je weet met je kennis en passie mensen ervan te overtuigen om jouw advies te volgen en ziet het als een uitdaging om met weerstanden om te gaan.Je doorloopt eerst een uitbreid trainingsprogramma voordat je bij de klant aan de slag gaat. Je leert werken volgens de SummaView-methodiek en wordt geïnformeerd over de laatste (technische) ontwikkelingen op het gebied van Microsoft BI. Ook vragen we van jou een actieve bijdrage in het bijhouden van je skills en delen van je kennis met anderen. Denk aan het bijwonen van congressen, schrijven van blogs en het geven van webinars.",Je maakt als SummaView Specialists deel uit van een team die de crème de la crème vormen op hun vakgebied. Wij hanteren daarom strenge selectie-eisen. Hieronder hebben wij ze op een rij gezet:Eisen:Academisch kennisniveau op het gebied van Controlling of BedrijfskundeEen passie voor MS ExcelGevorderde kennis MS Access / SQL / VBAMinimaal 7 jaar relevante werkervaring als business controller,"Je aanmelden als kandidaat voor vaste functies en/of interim-opdrachten via SummaView bied je tal van voordelen: Je mag kosteloos een BI-training volgen, waarvan je zeker de toegevoegde waarde zult ervaren, ook al ga je uiteindelijk niet via ons aan de slag.Wanneer je uiteindelijk via SummaView aan de slag gaat bij een bedrijf, kun je ons trainingsprogramma blijven volgen zodat je niet alleen up-to-date blijft over de laatste ontwikkelingen op het gebied van BI, maar we nemen je dan ook de diepte in, met meer complexe BI-oplossingen die je als controller kunt gebruiken.Hieronder de voordelen op een rij:Lid van een elite groep van business controllers met BI-passieEen uitstekend salaris/uurtariefToegang tot een vacatures/opdrachten bij gerenommeerde bedrijvenFocus op persoonlijke groei d.m.v. trainingen en bijwonen events",0,1,1,,,,,,0
8822,Call Center Representatives,"US, SC, Beaufort",BDC,,"Stokes Automotive Group-Beaufort is a family owned and operated dealer group in Beaufort, SC.  Stokes is the leader in the automotive market of the Low Counrty in South Carolina offering new Toyota and Honda vehicles as well as over 1000 used vehicles while delivering a Truly Exceptional Experience to our customers.  We strive to put our customers first and make purchasing and servicing a vehicle a fast, fun, and easy experience.Our team is equipped with State of the Art facilites and the highest tech equipment on the market that makes Stokes stand out above the rest.  Come join our winning team!","Stokes Automotive Group, located in Beaufort, SC is looking for Business Development Representatives with a proven track record to join our team.  #URL_d3eae39e001b44c53f2be484d6874eaf087e9bf811ca69d8c4ce1d351728ba9e#Stokes Automotive Group believes in promoting Associates and Managers within our dealership group.  We strive to deliver a Truly Exceptional Experience to each and every customer that we contact each day.With our winning franchises of Toyota and Honda, our business is dramatically increasing and we need more staff to assist our customers.  Our Business Development Department is growing and entry level positions are opening up as will management positions in the future.  Prior Call Center experience is extremely desirable as we continue to build our BDC.  Come and Grow with us.Stokes Automotive Group has 4 dealerships in Beaufort including Stokes Brown Toyota of Beaufort, Stokes Brown Toyota of Hilton Head, Stokes Honda Cars of Beaufort, and Stokes Used Car Center with over a dozen sister stores across South Carolina and Georgia. We are family owned and operated which provides a rare blend of outstanding leadership and a culture that is distinctively people-oriented.Summary:Business Development Center Representative is responsible for lead generation activities in support of the companys sales and service goals.RequirementsEssential Duties and Responsibilities include the following. Other duties may be assigned.Answer ALL incoming phone calls according to a proven, pre-set script, and schedule a sales appointment.Log ALL customer notes into CRM ToolSchedule follow-up contact if no appointment is made in CRM.Confirm scheduled appointments with future happy Stokes clients.Post scheduled appointments on appointment board in the BDC.Re-schedule “no-show"" customer appointments.Follow-up with sales department to determine if the appointment was kept and what the outcome was. Schedule future contact as needed.Purify and update customer changes in database.Contact current customer base on current marketing incentives.Respond to customer website request (internet inquiries).Contact internet clients via e-mail and phone to schedule a sales appointment.Notify necessary departments to inform of appointments set.Forward ANY customers concerns to the correct department Manager and follow-up. ","Job Requirements Pleasant and engaging phone personaDealership experience preferredCall Center experience requiredProven track recordStrong record of positive Customer Satisfaction resultsTeam-orientedSubmit to and successfully complete MVR, background check, and pre-employment drug testValid driver's license with good driving record","Benefits IncludeOur team members enjoy a positive working environment with opportunities for professional growth through training and advancement from within the organization. Our team members also enjoy a comprehensive benefits program including:Medical and prescription coverageBasic life insurance, 401(k) with company matchEmployee Assistance ProgramEmployee discounts on vehicle purchases, parts and servicePaid-time-offWe also offer a group of supplemental benefit plans including dental coverage, short-term disability, long-term disability, and supplemental life insurance.Opportunities for career advancement.**Please no phone calls or in person submittals - All applications must be completed and submitted online - We will review your resume and be in contact with you should it fit our current needs and requirements - Thank you for your interest in Stokes Automotive Group.",0,1,1,Full-time,Entry level,High School or equivalent,Automotive,Business Development,0
8650,Office Administrator / Bookeeper,"US, IL, Naperville",,,,"Boutique sports marketing agency based in the Western Chicago suburbs is seeking a part-time Office Administrator / Bookeeper to join our expanding team.  This person will be responsible for maintaining accurate control of all office accounting; organizing office operations and procedures; planning, managing and implementing all shipping / inventory needs for applicable agency clients; general administrative support.  We're a ""roll up your sleeves and get it done"" kind of culture, so there will be support in all areas from other agency team members...but this person will be given the opportunity to lead the charge and own these core responsibilities.","To succeed as our Office Administrator / Bookeeper, we see this person:- able to maintain a high level of accuracy in preparing and entering information- having excellent interpersonal skills and decision making skills- enjoying the analytics and mathmatical problem solving from computer spreadsheet / financial programs- effectively communicating with internal stakeholders and external partners- detail-oriented, yet flexible based on the fluid nature of our businessAs important as this person's skill set, we would also look for our new team member to be:- honest and trustworthy- respectful of others- ethical- excited to succeed and achieve","This person will step in to a growing organization and be able to make their mark on day one.  The way in which we develop best practices and processes may be shaped and influenced by this person in a way that we believe is unique and appealing.  We anticipate the role to be 30 hours per week, with the exact days and times to be set around the candidate's personal schedule.  Salary commensurate with experience.",0,0,1,Part-time,Associate,Bachelor's Degree,Accounting,Accounting/Auditing,0
7240,HAUSA RADIO PRODUCER,"US, DC, Washington",African Program,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","Applied Memetics LLC (AM LLC) is seeking a Hausa Radio Producer to work at a US International Media organization.  This mission critical office plays a key role in the development and implementation of innovative media and information communication technology (ICT) projects, programs, and services across U.S. international media. It focuses on expanding the use of the best core technology platforms, as well as assisting entities in executing global digital and brand strategies.  It also builds out the next generation of digital products to present multi-media content in an increasingly complex global media landscape.The ideal candidate will:- Prepare high-quality production of targeted program material as needed for daily production duties - Direct live or recorded program involving a number of topics, complex combination of inserts, sound effects feeds or remotes. Contributes to improving production and voicing performance of other staffers, attaining services production objectives, and enhancing program impact - Responsible for working with Power Gold 24/7 music scheduling such as, music, voice tracks, liners, notes, automation commands, log editing - Responsible for creating, publishing, and editing multi-media content for both web and mobile sites - Responsible for successfully completing training for, but not limited to web publishing, audio and photo editing, video publishing, and writing as applicable to support web mobile sites - Updates the sound of the language shows with fresh bridges and promos - Prepare daily broadcast using all Dalet Technology. Work side-by-side with staff members, assuring that they are up to speed in recording and editing from Dalet system.  - Complete language services daily radio logs. Radio logs should be accurate and prepared on daily basis - Work as part of a broadcast team, cooperating with other team members to ensure deadlines are met and assignments completed in a constructive manner ",The potential candidate must:- Have a working knowledge of Hausa language- Have a good understanding of African issues- Have background in journalism,,0,1,0,,,Bachelor's Degree,,,0
15775,Senior iOS Engineer,"US, CA, Los Angeles",,,,"Take the lead and have a significant impact on an early stage, well funded social Q&amp;A startup, Ponder, based out of the sunny city of Los Angeles.Ponder is a fun, interactive social polling network. At its core, its two things: • A tool to interact with content you care about • A way to get instant crowdsourced opinions and responses to your questionsThink of us as the baby of Tinder and Quora.Social networking's no longer a one way street. Instead of just consuming content, Ponder allows you to interact with it.Imagine YouTube stars having their audience vote on their next video with Ponder, people using it to debate which team will win a sports game, friends asking friends which outfit looks better on them. The possibilities are endless, and whether you use Ponder as a practical tool to make better decisions or a fun way to debate others, you're guaranteed to have a good time along the way.",12+ months of iOS developmentAt least 1 app published in the App StoreSelf motivated and ready to take initiative at an early stage startupNo formal education requirement,"Competitive salary &amp; equityNice office space with perks such as a movie screening room, beer and cold brew coffee on tap, and dozens of restaurants within walking distanceSignificant creative control regarding the direction of the appOther benefits negotiable",0,0,1,Full-time,,Unspecified,Computer Software,,0
7055,Cost Engineer,"GB, LND, London ",Oil and Gas,70000-90000,"Middle East Recruitment is a specialized recruitment and consulting agency. Established since 1997 founded by professionals that understand the need for exceptional people in the corporate world, with headquarters in London. Middle East Recruitment has become successful in providing the best talent and consulting services to an array of industry sectors.","Major Oil &amp; Gas Company in the Kingdom of Saudi Arabia is seeking highly skilled Cost Engineer To work in their company, throughout KSA. UP to £90,000 Tax Free.Looking for experienced Cost Engineer The assignment will initially be on a year contract basis, with a view to being extended thereafter.Interview workshops will take place in:      USA (HOUSTON)               8 JUN, 2014Please Note: Interviews can only be conducted face to face NO phone or Skype Interview.If an applicant is selected to attend to the interview will be reimbursed for the travel and hotel cost for up to $1000 on the day of the interview regardless if you get the job or not.Deadline of submission 8 MAY, 2014 This is a Face to Face interview onlyPlease apply only if you can attend to the interview on the above location. As there will be No phone or Skype interviews. Many thanks and wish you all the best.","BS Engineering, Construction, Management, Economics, Bus Admin, or Accounting, or closely related major with minimum 6 years experience ORB. Tech engineering with minimum 8 +years experience ORHigh School Diploma with minimum 10+ years experience.Cost engineering experience including at least five years devoted to petrochemical, refinery, pipeline, or power generation projects.Must be familiar with construction practices, materials, equipment.Must have ability to communicate fluently in both spoken and written English.Construction experience and/or preferredPetrochemical experience and/orOil and gas experience preferred","Package Salary up to total £90k, inclusive of allowances Tax Free - Accommodation- 3 leave a year with free air-tickets- Free medical care/medical insurance- 1 year contract renewable with merit increase- Long term employment opportunity- Car provided- Tax free",0,1,1,Full-time,Mid-Senior level,High School or equivalent,Oil & Energy,Engineering,0
791,"Dance Instructor-Tumbling, Cheer/Pom","US, SC, Easley",,,,"Seeking a creative, kid friendly person who has experience teaching for a part-time position. Must be dedicated &amp; professional. Send a resume' as this position is available now. Position is for a Pom/Cheer and Tumbling Instructor for ages 5 &amp; Up.","Must be  a Professional &amp; Experienced Teacher.  Background in Cheer/Pom, Tumbling, Gymnastics. ",Hourly Class Salary is negotiable. ,0,0,1,,,,,,0
12628,Community Manager,"US, CA, San Diego",CUSTOMER X TEAM,,"At HoneyBook were re-imagining the events industry and building a product that is already changing the world for some of the top event planning celebrities in the nation. Were a well-funded and growing team of 20, passionate about creating the happiest customers in the world. Inevitably this involves a lot of champagne and macaroons, but its always our gorgeously designed product that generates the most smiles.","The City Manager role is one of the most essential roles in supporting HoneyBooks rapid growth and city expansion. Were looking for a fearless leader to launch our product and community in your city. This role will sit at the intersection of all segments of the HoneyBook business: marketing, sales, customer success and product.ResponsibilitiesSpearhead and own customer growth and targets in your city by working closely with sales and customer success.Use multiple channels (e.g. direct outreach, social media, events, partnerships) to build community and generate leads.Identify key influencers and hot spots in your city and champion HoneyBook as the thought leader and “hub” for event professionals among those influencers.Network intensively: attend industry and networking events; join local groups; host HoneyBook events; find local branding partnerships; nurture local press relationships where appropriate.Steward potential customers through every step of the sales process and work closely with Customer Success for successful hand-off and onboarding.Be the face of HoneyBook throughout the local community.Your CharacteristicsThirst for learning: you get excited about diving into new and unfamiliar territory, making sense of it, and figuring out what it means to our business. Adap- tive and introspective; willing to learn and teach.Analytical horsepower: you are able to perform qualitative and quantitative analysis.“Big picture”-to-precision mindset: you understand and care about the details that matter, whether in process or communication, you can go deep—but you can also step back and examine the way the details play out across the business.Maintain positive attitude even in stressful situations.Incredible intuition and emotional intelligence; ability to listen for what is spoken and not spoken; a student of behavioral economics.","You are very well networked in the events and weddings space, ideally because you have worked in the industry and are active in industry groups and associations.You have previous entrepreneurial experience, whether in an actual startup or through side projects.Previous sales experience managing a pipeline of customers from beginning to end, and reporting on progress.Professional experience - 5+ years of events experience (preferably sales and/or marketing), and bachelors degree.You are the ultimate extrovert and extremely personable.Unparalleled written and verbal communication skills, with a keen attention to detail.","Full Medical, Dental and Vision BenefitsUnlimited Vacation401kLots of celebrating and a host of great startup benefits!",1,1,1,Full-time,,Bachelor's Degree,,Marketing,0
13874,Manager Trainee (Albuquerque),"US, NM, Albuquerque",,33000-34000,"The Aguilar Group is a nationwide search and recruitment agency, dedicated to working with our clients to help them find and hire “in-demand” talent. We utilize the latest technology, a strong network of talented professionals, and old-fashion hard work, to consistently fill our clients positions. We work on both contingency and retained basis. We conduct our searches in a high confidential manner, with a high sense of urgency.","The Aguilar Group  is actively recruiting for a Manager Trainee for a company in the Albuquerque, NM area.We are seeking a recent college #URL_acad5f0f9933cd607d50e2450912bfa64c7ff29097041324a069bdc70a4dd4a8# desires to begin a career in operations and management with one of the leading Building Materials Manufacturers/Distributors in the World.This is a ""career-track"" position designed to prepared an individual for a career in Operations Management with an INDUSTRY LEADER.Our client is a 50 year old, 200 MM+ company and one of the world's leaders, in the designing, manufacturing and distribution of building products for both the residential and commercial marketplace.This position is located in the Albuquerque geographic area, and candidates should reside in this area.Candidates should have 1-2 years experience is a retail work environment.(this could be part time or an Internship)Additionally, some leadership experience is highly preferred. Examples are: Manager of a Retail Store, Captain of a (High School/College) Team, Running a Construction Crew, etc.This will be a 6-8 month PAID training program where candidates will be exposed to and learn the company's business operations.The starting salary for the position is $33,000 plus benefits.After the training program is over, candidates will be promoted to a supervisory position ($45K-60K range) to a facility within the geographic region.CANDIDATE MUST BE OPEN TO THIS RELOCATION AFTER THE TRAINING PROGRAM ENDS.We are looking for candidates with great customer service and operations skills.Company offers benefits, 401K, Paid time off, relocation assistance, etc.PLEASE SUBMIT RESUME FOR CONSIDERATION, IF QUALIFIED WE WILL CONTACT YOU WITHIN 24 HOURS OF RECEIPT OF RESUME.","Must be a recent college graduate.Must desire to be in a career-minded position, in Operations and Management.Must be open to relocation, after training (company pays full relocation cost)",,0,1,0,Full-time,Entry level,Associate Degree,Building Materials,Management,0
16779,Technical Specialist - Database Administrator,"GB, ESS, Harlow",,,,"Position Title: Technical Specialist, Database AdministratorLocation: HarlowDaily Rate: £385.00 per day Job Type:Contract Responsible for the 7 X 24 system availability of all production environments in the open systems space including Windows/Unix/Linux/VMWare based servers and operating systems and databases. The Database Administrator will provide release and operational support functions. To maximize the effectiveness of the role it is expected that a proportion of the role will be out of normal business hours and some on-call support is required.","REQUIRED KNOWLEDGE AND EXPERIENCEExperience in companies operating in a high uptime digital management environment with robust knowledge and experience being a SQL Server administrator.Strong technical knowledge in Microsoft SQL Server and related products/technologies such as Integration Services, Reporting Services, Clustering, Log Shipping, Mirroring and Service Broker is requiredKnowledge in MS BizTalk 2010, Quest FogLight, Quest Performance Analysis, SQL Server performance monitoring and understanding of IIS/Apache/web technologies will be advantageous.Exposure to Oracle, MySQL and other open source databases as well as state of the art areas such as virtualization, cloud computing, Enterprise Storage Systems would be desirable too. Formal education or equivalentBachelors Degree in Computer Science, or other related discipline highly desirable or equivalent working experience.Advanced degree in IT discipline CompetenciesCustomer FocusCritical thinkingSpeed of thought and actionCollaboration and communicationIf you are interested, you can send your updated resume. My contact details are as follows: Email Address: #EMAIL_2bc07ff020c5910d791b7575abacd65cdafebec129b019863b8c3141883b1d74#Contact Number: +44 2071 935 362",,0,1,0,,,,,,0
8972,Analyst,"US, DC, Washington",,,"Our work at HPS is collaborative, centered on a fun, team-oriented environment. It is also extremely challenging. We focus on the most complex, difficult debates in public policy, requiring everyone at the firm to continuously learn and stretch themselves in new directions.If you are ready for the challenge and want to make an impact, we encourage you to apply and learn more about what makes HPS unique.","Summary of Position:Hamilton Place Strategies is a policy and public affairs consulting firm based in Washington, DC, providing analysis, communications, and advocacy solutions at the intersection of government, business, and media.  HPS is seeking analysts to join our dynamic team working on some of the most challenging issues in public policy. Analysts at HPS benefit from immediate client exposure and vast potential for professional development, while working in a fun, fast-paced, and challenging environment. Analysts provide policy and data expertise to better serve clients and have the opportunity to lead and contribute to white papers and research projects, both for clients and for the firm. The successful candidate will have a strong quantitative background, leadership skills, related internship or full-time experience, and be a curious, proactive, high-achiever interested in making an impact on public policy. Duties &amp; Responsibilities:Research and monitor clients business and industry to maintain a current knowledge baseInform communication and advocacy strategies with policy expertiseUnderstand and translate complex financial and economic issues for policymakers and mediaPerform statistical and economic analysesProvide feedback to managerial insight/analysisWrite large-scale reportsHandle media requests, arrange press interviews, assist in writing press releases, pitching journalists, etc.Collect, conduct and present quantitative and qualitative research &amp; analysisQualifications:Bachelor's Degree in Economics, Finance, Mathematics, Statistics, Accounting, or related fieldSignificant internship or full-time experience in conducting research and performing financial or economic analysisWorking knowledge of capital markets, financial institutions, asset management, hedge funds, etc.Experience in designing, implementing, and maintaining Excel spreadsheets that combine multiple data sourcesExpertise and interest in a specific policy field; familiarity with politics and the legislative processStrong data management and analytical skillsExcellent verbal and written communication skillsDemonstrated ability to prioritize multiple, competing prioritiesDemonstrated ability to work independently as well as in a small teamWillingness to learn new skills and participate in new projectsAbility to take initiative; motivated and self-startingProficiency in Microsoft Office Suite to include Word, Excel, Access, and PowerPointSolid presentation skills and strong attention to detail",,Competitive salaryExcellent health care &amp; retirement benefitsStipend for public transportationGenerous paid time off and holiday scheduleFrequent firm-wide social events and activitiesFormal leadership training programExcellent environment for learning and growth,0,1,1,Full-time,,Bachelor's Degree,,Consulting,0
13506,Nurse - RN ,"US, FL, Orlando",Orlando,,"MedTalent is a modern staffing company that specializes in the placement of physicians, nurses and healthcare professionals across the United States. For job updates follow us on Twitter and Facebook ","Our client is a one-kind of a kind concept that is changing the way acute healthcare is delivered across the country. Like an emergency room, illnesses and injuries at our clients clinic are handled by Board Certified Emergency Medicine Physicians. We also provide medical treatment for a wide range cases from the common cold through more serious conditions. With onsite ultrasound, CT scan, IV fluids, digital x-ray and diagnostic labs, they provide comprehensive diagnostics, blood work and imaging, all under one roof. Our client also delivers attentive, one-on-one guidance throughout the entire healthcare process—from stabilization and initial diagnosis to prescriptions and insurance claims. The client currently operates one clinic in Jacksonville, Florida.  A second location will open in Orlando, FL, in December 2014, with a third location, also in Orlando, opening in mid-2015.  They also have plans for national expansion, and is in talks with several potential partners throughout the country.Did we mention that they are open 9:00am - 7:00pm No overnight shifts! RESPONSIBILITIES:Work as part of a team with physicians, other nurses and healthcare professionals to provide care, monitor health conditions, plan care needs, administer medicine, use medical equipment, perform minor medical operations, and advise patients and their families on illness, care and continued care.Our facility is often the first line of defense for accidents, allergic reactions, and any number of urgent medical care. Nurses work to quickly assess the needs of each patient, prioritize care based on its critical nature, and work to stabilize a patient, treat the problem, discharge the patient after the emergency is over or make arrangements for a longer hospital stay. JOB DUTIESAssess patient's health, as well as detect changes in symptoms, health or pain, and will need to know when action is necessary.Sympathetic to a patient's needs, and be able to deal with people in various states of pain, trauma and tragedy.Help doctors operate, administer medicines and work with specific treatments that, if wrong could prove fatal. Attention to detail is crucial.Will face multiple patients, with differing needs, stages of health and risks. Being organized and knowing how to prioritize will be crucial.Keep Calm Under Pressure: Being able to function in the heat of emergency will be necessary.You will communicate directly with patients who are scared, in pain or in shock. Families will have questions and want answers. You will need to be a patient listener and good communication skills to help keep everyone calm and help them understand the situation.","Active RN License5 years experience with at least two years of Emergency Room (ER), Critical Care experience.BLSACLSPALS",,0,1,1,Full-time,Mid-Senior level,Certification,Hospital & Health Care,Health Care Provider,0
9908,Web Developer ,"US, NC, wilmington",,,,"Experienced Web Developer/ProgrammerGraphic Moxie has an immediate opening for a web developer with a minimum of 2-5 years experience. This position requires both front-end and back-end programming skills where you will work closely with designers and project managers to fulfill deliverables on schedule and budget. You will be part of an intimately small but talented team of nine. As a Web Developer, your primary focus will be creating elegant and user-focused designs for web and mobile interfaces. You will work closely with the business team to communicate product purpose, story and functionality through typography, color and composition. The ideal candidate will combine the ability to come up with creative concepts with exceptional visual design execution and meticulous attention to detail.About Moxie In the last 13 years, Graphic Moxie has matured into a small but powerful and strategic branding and web development agency that continues to grow right alongside its clients. As a boutique-style agency in coastal Wilmington, NC, we specialize in a diverse range of visual communication that includes branding, print design and web development. Passionate to the point of being compulsive, our team gives meticulous attention to each project.  We work across many industries — healthcare, education, retail and non-profit, to name a few.We're looking for individuals that will be a force of change. We find opportunity in every challenge and take action to make things better, and those are the team members that were are seeking. Make improvements, not excuses. We hold each other accountable to grow through practice, not #URL_3d01864cb486c74e18473a0bd8cd80048811f58b6b84e298a98b6df5623df8ef# by example. We challenge each other to risk temporary setbacks in pursuit of lasting progress. ","Job ResponsibilitiesCode according to the latest technologies and trendsWork closely with designers to produce websites that best match the designers mock-upCome up with solutions and alternatives to complex problems that meet budgetsBuild, test, and debug websites to perfection, and on timeClient interaction and meetings; ability to verbally communicate technology so that clients understandFlexibility to perform web updates off hours; often in the early morning or eveningsSkill Set &amp; ExperienceProficient, and efficient, in PHP, CSS, MySQL, JavaScript,  XHTMLExperience with responsive design using Twitter Bootstrap (or similar framework)WordPress and custom CMS application developmentExperience performing cross-browser compatibility testingMid-level skills in Adobe Creative Suite 6 (Photoshop, Illustrator)Minimum of 5 years of agency experienceAnd just as important: A can-do, anything is possible attitudeLove a challenge and can work on multiple projects while adhering to project timelinesGood eye for aesthetics and basic understanding of branding and designStrong self-motivation with the ability to work independentlyOrganized with exceptional problem solving skillsWeb savvy with an excellent understanding of web usabilityStrong attention to detailThrives in a fast-paced environmentExperience with branding/marketing agency experience a plus","Salary dependent on experience. Paid holidays and vacation, and a company retirement plan offered.Ready to roll?Send sample sites, cover letter, resume, and salary requirements to #EMAIL_6e581e5c3ced8901ed529dc80489b1360135ee8bcd42cfd0ea322ccbf0dacc00#. Only candidates that send all of these items will be considered.",0,1,0,Full-time,Associate,,Design,,0
9606,Direct Support Professional- Part-time,"US, OH, Van Wert",,,"MRSI is a nonprofit organization established in 1977 by a group of parents and professionals as a local alternative to the institutionalization of people with intellectual or emotional disabilities. We provide an array of services from group homes, respite care, affordable housing, homemaker/personal care services, vocational, recreational, and social activities.","We are seeking persons with passion, energy and genuine interest in assisting persons with intellectual disabilities with daily supports and fulfilling involvement in activities. MRSI has been supporting people with disabilities in Northwest Ohio for over 35 years. Job responsibilities will include assisting with personal care, basic home care, and daily activities. Part-time positions are available with some flexible evening, sleep shifts, third shift (awake), and weekend shift hours. High school diploma or GED, acceptable criminal background check, and valid drivers license required with less than 6 points. Starting pay is 9.25 and up depending on experience. ","High School graduate or GED; Sincere desire and ability to serve the needs of individuals with mental and physical handicaps; Ability to express ideas and adapt to change; Must not have a criminal record that includes felonies or misdemeanors against persons that are in conflict with the requirements of the position or agency policy; Ability to effectively communicate in written and oral form; Acceptable physical examination and evidence of no active TB by results of a Mantoux II Step test or chest x-ray; Valid drivers license and acceptable driving record, reliable vehicle and active auto insurance.","MRSI offers competitive salary and benefits package, including life and dental insurance, short term disability, paid vacations and sick time, a 401(k) retirement plan, and incentive bonuses.",0,1,1,Part-time,Entry level,High School or equivalent,Hospital & Health Care,Health Care Provider,0
718,UX Designer,"GB, LND, London",Creative,,"Depop is an exciting new mobile marketplace where you can sell things by simply taking a picture, as well as follow your friends and buy unique things.Depop has already been received with great enthusiasm in our test regions and it is now beginning to expand into the global market, following funding from highly prestigious venture capitalists.This is an extremely exciting time to join a young, fast growing and very promising company based in the Shoreditch area, backed by some of the most prestigious VCs in the technology market.","About DepopDepop is an exciting new mobile marketplace where you can sell things by simply taking a picture, as well as follow your friends and buy unique things.Depop has already been received with great enthusiasm in our test regions and it is now beginning to expand into the global market, following funding from highly prestigious venture capitalists.This is an extremely exciting opportunity to join a young, fast growing and very promising company based in the Shoreditch area, backed by some of the most prestigious VCs in the technology market.Were looking for an experienced User Experience Designer  to make the complex simple and know the details arent the details, they are the product!About the Role:Do you love to architect the user flow of getting users from A to B? Are your instincts in touch with a higher power known as 'usability'? Well then you get that the best interactions aren't even noticed by a user because the design gets out of their way. We seek someone who loves getting into the weeds to smooth out existing interactions and invent new ones. Your work will ensure that our buyers and sellers and partner brands internationally will be able to use Depop.Work collaboratively within the design team, with product and development team to map user flows, paper prototype interactions, and oversee the building processConcept interactions across mediums: mobile and emailRapid protoype in tools of choicePresent openly at team meetingsBe a brand ambassador in the tech ecosystem.",About You:Experience designing for mobile devicesExcellent communication skillsExcellent information visualization skillsLo-fi &amp; hi-fi prototyping skills,"Generous salary and optionsGreat offices near Old StreetApple equipmentWorking with a well-funded, amazing and very friendly teamIts a great time to join us so if this sounds like the role for you, please apply for more information.  We look forward to hearing from you!",0,1,1,Full-time,Mid-Senior level,,Internet,Design,0
12563,Junior Java Developer,"GB, LAN, Wigan",,20000-35000,"Everything you need to track vehicles, assets &amp; equipmentFleetsmart is an easy to use web based fleet tracking solution for businesses.Save Money &amp; Improve Business Efficiency without feeling overwhelmed",An opportunity has arisen for a Graduate / Junior Java Developer to join our dynamic team at Fleetsmart. ,The core Fleetsmart application is written in Java and make extensive use of Spring and Hibernate.Professional Java 1.6+ experienceGood understanding of Spring Core and/or Spring MVCExperience with LinuxExperience of web development particularly javascript and JQuery.A good understanding of SQLExperience in one or more of the following areas would be a distinct advantage for the successful graduate / Junior Java Developer:ActiveMQMySQLHibernateSubversion/GitGoogle maps and geocoding,"Salary of £20,000 - £35,000 + Benefits Working with the latest technologiesMeeting customers to design and spec bespoke developmentsDesign and implementation of own ideasOpportunities to work remotely",0,1,0,Full-time,Entry level,Certification,Computer Software,Design,0
983,Lead Community & Marketing Coordinator,"US, DC, Washington",,,"Meet cove, a network of neighborhood productive spaces with a community defining how to be productive together. We are a DC-based startup that launched in September 2013. Members of the cove community come from different backgrounds and work environments. We know all too well about trying to work at the kitchen table, getting lost in a row of cubicles, or fighting to find an outlet in the coffee shop. We created cove with a simple objective: building places you want to be. We've got all the basics covered—fast wifi, color printers, spiffy conference rooms, free coffee. So ditch the coffee shop, boring cubicle, and lonely living room, and come be productive at cove! We have five locations: Dupont, 14th St (Logan Circle), Capitol Hill, Columbia Heights and Old Town, VA.","cove, a technology oriented startup business, is looking for a Lead Community &amp; Marketing Coordinator to join us full time!What is cove? We are a community of people and productive spaces that allow you to ditch the crowded coffee shop and lonely living room. Each cove productive space has all the essential tools for productive work--Wi-Fi, color printing, scanning, and conference rooms, along with free coffee and other beverages--in a clean, comfortable environment that is professional yet social. As we like to say -  be productive, with company. The first cove just opened in Dupont Circle; we need your help to bring productive spaces to other neighborhoods in DC!Principal responsibilities- Engages with neighborhood partners to plan events and other marketing opportunities to build presence in the local community- Manages and expands cove's social media presence- Conceives of and executes creative and unorthodox marketing events- Plans and executes relations with current cove community members, including social and professional events, email outreach, building an online community, member services, etc- Organizes public media presence and other media effortsAs a dynamic, growing organization that is new to the DC community, we anticipate responsibilities to expand based on the awesome ideas you create.","Required- Bachelor's degree or equivalent experience- 2+ years of relevant experience- A real people person who is committed to creating an exciting user experience- Willingness to pitch in on tasks of all natures on our close-knit team- Experience with coordinating projects with many moving pieces as well as coordinating and interpreting input from many sources- Knowledge of branding, advertising and marketing practices that have been successful for small, growing organizations- Works both independently and as part of a fun team- Excellent writing skills- The ability to staff work-related events between the hours of 8 am and 11 pm when required, potentially on any day of the week, sometimes on short noticeDesirable- Experience with membership-based organizations- Experience with business to user sales- Basic web design knowledge- Knowledge of Adobe's creative suite or other design software- Experience with local community organizations- Fondness for Chipotle",,0,1,1,Full-time,Associate,Bachelor's Degree,Consumer Services,Marketing,0
14637,Senior Sales Executive - Central,"US, CA, San Francisco",Sales,,"From the lands of Can Do, Roll Our Sleeves Up and Get It Done, we create and solve things previously unimaginable. Were revolutionizing the IT industry with a platform that provides unprecedented control over all service layers in an organization. We also transform the way people experience enterprise software — theyll accomplish more each day and enjoy using our platform as part of their daily lives. This sounds massively ambitious and tough because it is. Were a small team that doesnt shy away from hard problems and we need more big thinkers and self-starters like you. If you want to be at the forefront of innovation, join us at Apcera and shine.","Apcera is revolutionizing enterprise technology and the way customers experience enterprise software. As Senior Sales Executive, you'll be thoroughly versed in product know-how to help organizations understand how Apcera solves some of IT's toughest challenges, how the solution is delivered in an easily consumable way, and why it aligns with customers' vision for the future. As an Apcera Senior Sales Executive you'll leverage internal resources to identify and manage opportunities from initial contact to contract negotiations/completion to successful implementations. Building business and acquiring new opportunities will be your main focus. You will be responsible for the overall performance of the current and emerging accounts within your assigned territory. Apcera is currently searching for Senior Sales Executives in the following regions: New York City, Boston, Mid Atlantic, Southeast, Dallas/Houston, Chicago, Seattle, Southern CA. ","Strong competitive spirit and attention to detailStrong history of increasing revenue through new customer acquisitionDemonstrable success selling enterprise solutions to enterprise class companies in multiple verticalsBe proactive, gain trust by listening, and determine the customers challenges &amp; needsPosition product accurately to emphasize benefits and both the technical and business value of the technologyAbility to clearly articulate your point of view in professional manner throughout an organization and up to C level executivesProven success within a start up/fluid environment and demonstrable entrepreneurial spiritJob Responsibilities Develop and execute territory account plans to achieve and exceed assigned quotaWork effectively as an individual contributor running multiple customer sales cyclesWork effectively as a member of a larger sales teamWork effectively to communicate prospect and customer needs internallyRespond to customer requests and RFPsProven ability to develop strong relationships with key decision makers, influencers and partners within identified territory Proven ability to close opportunities and demonstrate commitment throughout the sales process Grow and maintain an accurate and healthy pipelineMinimum Requirements Bachelor's degree 8+ years successful software sales experienceKnowledge of IaaS, paas, infrastructure &amp; operations, virtualization, cloud systems, SDN a plusVery strong presentation skills as well as excellent written and verbal communication skillsTravel within your assigned territory is requiredVery comfortable using Google products (Gmail, Gdocs, Hangouts), WebEx, Microsoft Office including Powerpoint and Excel","Competitive salary &amp; equity100% premium-paid medical, dental, vision, and life insuranceFlexible vacation policyWorkstation setup of your choiceTeam and family events and excursions",1,1,1,Full-time,Executive,Bachelor's Degree,Information Technology and Services,Sales,0
5660,Software Developer / Engineer,"US, , ",,,"Roka Security is a boutique security firm that specializes in full-scale network protection and defending against advanced, targeted attacks. Our staff members have background in governmental and intelligence fields as well as large-scale data center and network deployments.We leverage our in-depth experience to aid our customers in protecting their data, and their intellectual property, andtheir customer's data, We help our clients with the full breadth of services whether it's consulting on general security issues or performing security assessments or assisting them with their latest network design or datacenter build-out. We also provide the full breadth of managed services including managed security perimeters, Managed Infrastructure / private cloud, or 24x7 security monitoring in our state-of-the-art Security Operations Center.","Roka Security is a boutique but growing computer security services firm located in Herndon, Virginia. We work on customer directed and internal development projects that include complex mobile and server software development, which scale to global user bases. Our development projects are security oriented and heavily network based, utilizing various network protocols, such as SMTP, HTTP, and HTTPS.Roka Security has an IMMEDIATE need for software engineers and developers with strong python skills, primarily oriented towards Linux platforms. Experience in creating and working with php and django web applications is a plus.Responsibilities would include developing automated server processes to do everything from query and interact with mail servers, manipulation of large data sets, to management and handling of threat intelligence. ?The developer would also be involved in designing and developing web server interfaces (not necessarily the graphics portion) and database interaction, to support user interaction to the back end functionality. While experience and interest in website design, functionality and monitoring would be nice to have, the service development is our primary concern with this position.There is a large security component to all of our software, so a background in computer security is a plus.The applicant must be able to research open source technology and help adapt it to our projects.  We are looking for people who are security minded, always thinking about how to improve our current technology, and looking for the next opportunity to improve our systems.  If your looking for a career with industry experts, then make Roka Security your next career move.","Specific Technical Expertise and Knowledge Required5+ years experience with Linux and software developmentExperience reading, writing, or modifying Shell, Perl, Python scriptsExperience creating or modifying web applications in php or python.Experience with development utilizing database backends or database driven programming.General Requirements:Employee would work in our office in Herndon, Virginia.Maintain a working knowledge of all products and services offered.Ability to make critical decisions affecting system security postureAbility to work in a team environmentExcellent written and verbal communication skillsSelf-motivated and able to multi-task and communicate effectively with little supervisionHighly trustworthy persons with the ability to safeguard company/customer proprietary and intellectual property informationDue to work with various local, state, and federal agencies US citizenship is required.","Competitive CompensationMedical and DentalLife InsurancePaid VacationRelaxed, professional environmentFlexible Work Schedule",0,1,0,Full-time,Mid-Senior level,Unspecified,Computer Software,Engineering,0
6061,Software Engineer,"US, MO, St. Louis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)#URL_94f805e93a6fa859cd8540e9767e187e295d764a384221d77f8880142b6416b7#-#URL_dbdb917780b325918ec611d0f47d6a0dff5ee871b96bc71180616d0d0570925b#Job Title: Sr. Software Engineer - C HTML .NET PHP Java - Detroit, MIJob Location: Job is in Detroit, MIA Sr. Software Engineer is required having 5+ years of programming or related experience in one or more of the following: Cocoa, Objective C, HTML, .NET, PHP, Java, or Progress.Job Requirements:Experience leading small project teamsExperience mentoring othersUndergraduate degree in Computer Science or equivalent relevant experienceGraduate degree experience preferredJob Responsibilities:Effective in fostering a collaborative environmentEffective working with or without complete business requirements or specificationsExpert knowledge of software development lifecycle processes and concepts like Agile.Exceptional verbal and written communication skillsThis role will also require periodic on call duties",,,0,0,0,Full-time,,,Computer Software,,0
6766,Sales Development Representative - Germany,"DE, BY, Munich",,,"Cloudreach are the only top tier partner of choice for both Amazon Web Services and Google based in Europe - two giants of the cloud computing industry. Were simply the best at what we do. We currently have offices in London, Amsterdam, Vancouver and Edinburgh, but are looking to take our expert services and solutions into the German market.  Therefore Cloudreach are hiring again - this time, we are looking for the right Internal Sales Executive to assist in establishing Cloudreach in the German market.Not if. When will you join the best selling force in the industry?","What we are looking for?As an Internal Sales Executive within the team your target audience will be CTOs, CIOs, COOs and business owners and you will be accountable for identifying and generating opportunities within target prospects. You will do this mainly through telephone-based demand generation, coordinating focused campaigns and working with our partner organisations. The Internal Sales Executive is almost always a transitional role where the right person can learn the business fast before developing into a different role typically after 12 months.","What do you need to bring to the table?Personal AttributesExcellent communication skills both verbal and writtenNaturally strong  interpersonal skillsAffinity for new and disruptive technologiesAbility to simply and concisely communicate complex solutionsHighly motivated and proactiveCreative and with good initiativeGood numerical and analytical skillsWell organisedHave high energy with strong results orientation together with personal ambition and entrepreneurial spiritUsed to working independently in a one to one or group situation with people at all levels of seniority and responsibilitySelf motivated with proven tenacity to achieve the objectiveProfessional AttributesGerman as a first language, fluent English and an additional European language a plusFirst or upper second class honours degree, master's equivalent in Business, Informatics, Engineering, Computer Sciences or equivalent in Germany.At least 1 years Sales and Marketing experience preferably within the area of Software-As-A-Service, IT consulting, cloud computing or a related field","Why do you want to work with us?You want to be one step ahead: We work with some of the most innovative new technologies around. You can learn from the experts and our close partners (including Amazon &amp; Google) building new solutions and helping to establish best-practice on the leading edge of tech.You want interesting work: This role is to build a “Cloud Systems Integrator” from the ground-up in the Germany, with full bootstrapping from the UK business and the support of our strategic technology partners. We have a wide range of projects with some of the biggest brands in the UK and Europe. Our customers and their requirements are extremely varied.You want a progressive culture: The established culture is friendly, with a lot of banter and we firmly believe that work should be fun. At the same time we value personal growth and understand that a great organisation is one made up of diverse individuals.You want to make it happen: While were all generally up for a good time, we work hard towards producing tangible results that contribute to the companys future.You want to be part of a fast growing company where you can make your mark: We have doubled in size every seven months since we started. We believe the potential for cloud services in the German market is huge, and expansion into this market will contribute to our ongoing growth.Even more reasons to work with us!If you work hard and play hard you will need a good holiday. We offer the required government statutory holiday days in addition to the national public holidays, plus an extra day for each full year that you have worked with us (up to a max of 30 days). You also get to celebrate being you, with your birthday off.We provide the best technology for our staff. We are mac and smartphone friendly.Once per quarter the company gets together to review strategy and to get to know one another. Prior to the event you or one of your team members have 24hrs to design or develop something of your choice! This can be a product, a process or something that is just damn cool. Several ideas have graduated into commercial products or improved company workflow.",0,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Sales,0
6232,Travel Agent,"US, FL, Tampa",,,," Advantage xPO is part of the Advantage Resourcing group, which is among the ten largest global staffing firms. Advantage specializes in providing contingent and permanent workforce solutions. Every day, we talk to hiring managers, HR directors and senior management to learn their needs. At the same time, we talk about skills and goals with talented people seeking employment opportunities. This ongoing, face-to-face communication gives us a staffing perspective thats simply unmatched. We are in tune with local conditions, and yet our reach is undeniably global. We dont hesitate to look across the country or even the globe to align qualified talent with appropriate positions. We are now hiring Business travel Conselor.  The Business Travel Counselor (contractor) will be responsible for coordinating the company's travel needs. Schedules hotel and flight accommodations and procures necessary documentation such as passports, visas, or other clearances. Ensures that travel needs are met within the constraints of the company travel budget. ","Must have travel experience (hotel, car, and airline)Must have SABRE or Apollo experienceRequires a high school diploma or its equivalent with 2 years of experience in the field or in a related area.",,0,0,1,,,,,,1
15407,Software Engineer Backend (New College Grad),"US, CA, Sunnyvale",,,,"Software engineer (backend)Our system consists of several components, ranging from Linux modules to scalable, distributed web services. We have multiple open positions for backend engineers. Our backend engineers have the opportunity to work broadly across components as well as in depth within specific components.","Desired skillsSelf starter: you can learn quickly and look forward to taking on new, unfamiliar problems.Team player: you look forward to adding to the team, and to learning from the team.Customer driven: you must be willing to sweat the details to delight users.Programming: must be proficient in one or more major languages (Python preferred, Java / C++ okay).Quality: must be proficient in writing maintainable code, in unit testing and integration testing.Very strong operating systems fundamentals, including Linux, processes, threads, IPC, network servers. Familiarity with package managers, file systems and bash/perl scripting is a big plus.Experience building fault tolerant, scalable distributed systems is a big plus.Experience with sharded SQL databases or NoSQL databases is a big plus.Familiarity with security concepts like PKI, SSL and certificate management systems is a big plus.","Meaningful, challenging workYou will be transforming the way in which users access and manage their computing resources. Your code will be relied upon by users everyday as they get their work done.Our backend services involve solving hard problems of scale, fault tolerance and consistency. You'll get to work on distributed systems in the real world.We are building the world's best user experience in this space.Team, and cultureYou will be working in a fun, collaborative environment that values deep engineering. Our founders are distinguished engineers with a fantastic track record spanning startups to the world's most storied companies.We offer flexible hours, and believe in a balance between focused, productive work and personal/family time.Great RewardsWe offer a very competitive compensation package, and offer flexibility in structuring across cash and equity.In addition, we have great health insurance coverage, reimbursements for gym memberships, massage and wellness incentives, a well stocked lounge room, and a weekly company happy hour.",0,1,0,,,,,,0
6999,Temporary Administration Assistant,"GB, RIC, London",,18000-22000,"Magpie is a boutique recruitment agency specialising in Accounting &amp; Finance, Sales &amp; Marketing and Office &amp; Administration. We are based in Richmond upon Thames covering SW London &amp; Surrey. We have a strong vision to provide a quality and professional recruitment service, whilst adding to our already growing reputation. Our mantra is to build long term relationships by being unbiased, open minded and proactive. If you are considering a career move or have a position please email #EMAIL_d7dbed543e2f8ae8c75474c5c8e4bc91dd0e2c46fb00bafcde141138d42d7d30#","My client is a well established main contractor specialising in both building and civil engineering across the UK. With long standing traditional values which the company was built upon this contractor boasts a steady growth plan, impressive history and a reputation for delivering top quality service to their repeat clients. Wonderful management team, highly recommended employer!To accomodate this period of expansion they have an IMMEDIATELY AVAILABLE newly created position for a experienced administrator/Document controller to be based in their central London offices. Within easy walking distance of Liverpool Street, Moorgate and Bank the offices have prime location in the heart of the city.You will be handling all aspects of administration including filing, general office duties, answering the telephone, data entry as well as document control. You will ideally have experience using 4projects. However someone with proven experience in learning new systems will also be considered. ","You will be bright, confident, happy to work on your own initiative, have a good sense of humour and genuinely enjoy what you do.You must have a good grasp of microsoft office especially excel and word and have strong literacy skills.There are excellent prospects for the right candidate.A background in document control within the construction industry, strong IT skills and a bright lively personality are the main points hereFor more information please call #PHONE_a22f5463df40ffce35cfc78bafebb36fd3d840ae0372efd1bfe1bcc25dddc544# or email me your cv #EMAIL_72eedbba9d1e93951df2bf9a976fb890e5ffb14dd0571456ca300b3bc9de774b#",,0,1,0,Full-time,Not Applicable,Bachelor's Degree,Insurance,Administrative,0
11185,Graduates: English Teacher Abroad ,"US, MD, Baltimore",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport only,See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
7187,Sales Agents $400/wk Plus Commission,"US, , Jacksonville",,,We are a digital marketing agency with an emphasis upon quality development that is developed and sold in a consultative custom manner to fit each and every one of our customers like a glove - every time.,"We are seeking website, mobile website, social media and digital marketing sales representatives.This is a great opportunity to be in an industry that isnt going anywhere anytime soon. We are in the business of digital marketing. Our company offers over 21 different digital marketing services, including websites, mobile websites, social media campaigns, local business listings, SEO campaigns, PPC campaigns and much more! Digital Marketing Is……The #1 Fastest Growing Industry!The Mobile WebSocial MediaeCommerceWebsites&amp; Much much more……. As Agent: you will be consulting with our potential clients on a one-one-on basis. Advising them of the latest trends in digital marketing and our state of the art services (every website we create is born mobile). The company will provide you with appointments, but you also must generate your own leads. We teach you how to do this and get fresh leads daily from your efforts. As an agent you will be paid a base plus commission. Although we do have a main corporate office. You will be working out of the comfort of your home office and seeing clients face to face. You will be able to build a pipeline that will pay you for years to come as many of our services pay a monthly residual on top of your base pay and commission. Come grow with us! ",Backgrounds in these areas work well in this position: Inside SalesOutside SalesPhone SalesAdvertisingMarketingConsulting,Full Time WorkWorld Class Training!Top of The Line Marketing MaterialsWe Pay Within 5 Days Of Client PaymentWe Pay Residual On Some ProductsBase Pay/Plus Commission,0,1,1,Full-time,Associate,High School or equivalent,Marketing and Advertising,Marketing,0
6627,Utelly Software Roles ,"GB, LND, London",,,"Adthena is the UKs leading competitive intelligence service for Google search advertisers. Adthena is loved by major brands and digital agencies alike and provides a great opportunity to work in the high growth adtech space. Our patent-pending technologies provide unparalleled accuracy for clients to understand their competitors keywords, budgets, spend, CPCs, Adcopy and more. We're profitable, fast growing and love what we do.",This is a sample job where I am copying all the developer candidates for Romain.,,,0,1,0,Full-time,Mid-Senior level,,Media Production,,0
3586,Teller Supervisor,"US, MO, St. Peters",Teller,,"Missouri Valley Federal Credit Union (MOVFCU) was chartered in 1975 as CTC Central Region Federal Credit Union serving the employees of Continental Telephone Corporation in several states throughout the Midwest. In 1984, our name changed to Contel Federal Credit Union and we opened membership up to employees in an additional two states. In 1991, Contel changed to GTE and, as a result, our name changed to GTE Central States Federal Credit Union. Then, in 1994, the board of directors decided to change the charter of our credit union and open membership up to all residents of St. Charles County. We were then able to serve the entire community in addition to the phone company. In 1995, our name became Missouri Valley Federal Credit Union to reflect our new charter and field of membership.MOVFCU is a not for profit credit union.  Any profits that Missouri Valley makes is used to better its members and the community through higher return rates, lower loan rates, and more!  To ensure proper management of funds, the credit union is governed by a volunteer board of directors and watched by a volunteer supervisor committee. ","Supervises the activities of the teller operations area by assigning work, answering questions, solving problems, assisting with complex transactions and sensitive member relation issues, and promoting positive attitudes and cooperation.Ensure that tellers are trained and cross-trained in all phases of their particular job(s) to ensure quality service to members.Evaluate job performance of subordinates to ensure quality.&nbsp;Serves as vault teller for the credit union, which includes ordering cash, verifying cash received, filling teller cash orders, balancing vault daily, shipping coin, and maintaining full vault security.Open a teller drawer, and assist with coverage of the teller line during lunch breaks and vacation periods, or as neededMaintain knowledge of all state and federal regulations that are applicable to the transactions performed in the teller area.Develop work schedule for full time and part time employees in the teller area to ensure proper service to members.Formulate and maintain comprehensive teller procedures manual for staff training and referral.Keeps Operations Manager informed of areas of concern in teller department.Provides monthly cash over/short records to Operations Manager.Investigate teller outages and institute corrective flow of work.Keeps all member and credit union business strictly confidential.Know, understand, and follow the Cash Management Policy.Process member account transactions accurately and efficiently, including the sale of monetary #URL_aae11cdcc9dcd7cf08c3c1730b72b792d5452aceb973b28926a4069d5a7ce164# deposited checks through PACE system, ensuring each batch is successful, balanced, and all checks are legible.Approve member exceptions and authorize service fee refunds to members when deemed necessary.Adhere to the employee handbook.","A college degree or a minimum of three years experience, two of which should be in progressively responsible positions at credit unions or other financial institutions.&nbsp; Specialized training in intermediate math, bookkeeping, computer operations, cash handling, typing, member service and selling skills.&nbsp; Knowledge of credit union policies.&nbsp; Knowledge of credit union philosophy and credit union objectives.Ability to make decisions, and to supervise employees effectively with coaching and reinforcement techniques.Excellent written and oral communication skills are required.",,0,1,1,,,,,,0
15567,Developers Community Ace,"US, CA, San Francisco",,,"Mashape is the largest, most trafficked API marketplace/hub in the world.Mashape is a revenue generating startup powering thousands of APIs (both private and public) and Applications - its used in almost 100 countries by thousands of developers and adopted in every major industry including finance, healthcare, military, agriculture, insurance, government, media, e-commerce, retail, aviation, manufacturing and telecom.Mashape is funded by tier 1 VC firms including Index Ventures, NEA, CRV, Stanford University, Jeff Bezos and Eric Schmidt.","You serve as the liaison between the company and the usersYou will empower members to address their needs by connecting with others in the community through self-organized groups.  You will be an empathetic storyteller who are the product's external voice, users' internal advocate, and find motivation in helping otherswhile sharing happiness among our developer community. You are the external voice of the product but at the same time an advocate of our users and their needs inside their company. You live between marketing, brand management, and social media.You know how to bring people online and offline and you will take care of organizing events and meetup around the world by partering with local startups and communities.","You understand and love technologyOutstanding event planning skills Be empathetic, patient, and have a genuine interest in empowering and supporting othersBe skilled at engaging and motivating peoplePossess outstanding interpersonal skills with social intelligenceBe an advocate of community developers within MashapeBe a go-getter and self-starter with a positive, solution-oriented attitude - detail-oriented and organizedSynthesize information and relay useful feedback internallyBe an excellent communicator - articulate and compelling, with strong written and verbal skills "," - As an early employee you will get a true stake in the company - Competitive salaries  - Poker nights  - Apple equipments  - Italian Food cooked by the founders - Free lunch 2 times a week. - Official mashaper  - 1 week/year in a spiritual retreat with the team somewhere in the world - A 2nd family  - whatever problem outside of the work, well be there - Vacation days &amp; time off - Awesome medical, dental, &amp; vision insurance - 401k - Company dinners &amp; happy hour  - Much more…",0,1,1,Full-time,Not Applicable,,Internet,Information Technology,0
16345,Certified Personal Trainer,"US, NC, Charlotte",,,"Mobile Trainers is a private, mobile personal fitness training company.Services- In-Home Private, Personal Training- Exercise &amp; Routine Development- Accountability through Texts &amp; Facebook- Meal Planning &amp; Nutrition Assistance- Meal Replacement Option for Enhanced Results- All for a lower cost than most Gym-based trainers! About UsOur priority is giving you customized exercise and workout sessions at an affordable price so that you can get the healthiest results possible. By joining Mobile Trainers, you exercise with a personal trainer at a location convenient for you. Our private trainers will help you become healthy, lose weight and get in shape!We are dedicated to making the Charlotte community a healthy one and ensuring you feel strong, healthy and beautiful throughout your fitness journey with us. Call today for more information from one of our private, personal fitness trainers.","Currently seeking trainers in/around the following areas:  Pineville/South Park and Huntersville/CorneliusAlso apply on our website: #URL_ad364ca25b16ca7664375f4a69668a25064bb2f72710a6a8639d79aae1f6b8d4# Charlotte Mobile Trainers has become a top choice for in-home personal trainers and training managers around the area. We are growing rapidly and are able to offer in-home personal trainers in more neighborhoods around Charlotte than ever before.Your Responsibilities:Travel to each clients home to train between 1-3 times per weekCreate and administer a custom training program for each clientClients schedules are long-term and consistentYour Qualities:Punctual &amp; ReliableHighly Detail OrientedTechnically SoundOutgoing &amp; EnthusiasticWe Provide You:Fitness software for program building/trackingAll Sales &amp; Marketing activitiesAttract, communicate and contract new clientsFill your schedule!Schedules &amp; Payments for your clientsProviding Nutrition &amp; Meal Planning for clientsSend Daily motivational texts to clientsAdminister online support group for clients","Requirements to Contract with us:Personal trainer certification (ACSM, ACE, NASM, ISSA, NSCA, others subject to approval)First Aid/CPR/AED certificationPersonal trainers insurancePersonal trainers equipment (equipment list to be provided)Comfortable working with women/mothers in-homeBackground checks conducted prior to contractingMinimum of 21 years of ageReliable transportationPhysical fitness test may be administered if necessary","Compensation:1099 Independent ContractorPay is between $26 - 35 per sessionOpportunities for pay increases, bonuses and incentives",0,1,0,Contract,Not Applicable,Certification,"Health, Wellness and Fitness",,0
10849,Senior Client Services Engineer,"US, NY, New York",Client Services,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Qubit: Cutting Edge Big Data Engineering Qubits brand new San Francisco office is growing, and we need a Web Developer who can join our client project team. Our Sales team is winning clients, and we need someone who can carry out the technical integration project for each new account. Youll be the first Web Developer in our San Francisco set up, so youll really need to be literate in JavaScript with the ability to be customer-facing.This is a fantastic opportunity as youll work closely with our London-based developers to troubleshoot new clients and engineer compatibility between their sites and the Qubit platform. As we're growing so rapidly there is plenty of room for progression and development. As the first Web Developer in the San Francisco office its an even better opportunity to make your mark on a growing, international business.What youll be doingBuilding and adding functionality to the sites of fortune 500 companies in javscript, html, cssUtilising Qubits rich API platform to personalise customer experiences for our clientsDesigning, Developing and Implementing Qubits tag solutions, which are served to more than 1 billion monthly page impressions!Developing A/B and multivariate website tests in JavaScript for our client project teamEngaging with our clients to understand their systems and data architecture, in order to deliver the best user experience","What You'll NeedBy submitting your application you understand that Qubit will store your data in accordance with local lawsExperience:Either a degree in Computer Science, Web Development or related discipline or the equivalent in relevant work experience.Proficient with JavaScript, you will be able to hand code a website from scratch using JavaScript, Html and CSS.Knowledge of JQuery would be very helpful.Good AJAX, CSS, HTML skillsA strong communicator who is able to deal with clients in everyday and technical termsBeing highly proactive in your approachBonus Skills:#URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#Cross-browser checking#URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399#Personality:Be proactive and creative in your solutionsBe passionate about tech and codingLanguage:Fluent EnglishAuthorization to work in the US","Plenty of perksAs well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Engineering,0
8564,Graduates: English Teacher Abroad ,"US, MN, Minneapolis",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
4906,Sales Representative,"US, NY, New York",Marketing,,,"We are currently seeking advertising sales reps across the United States to sell print advertising to businesses in the bridal industry. Your role will be to contact new clients, book appointments and meet with them face to face in order to sell them advertising in our magazine. We have an excellent package for businesses advertising in the magazine and if you have excellent sales and people skills this position will make you a lot of money and you will have a lot of pleasure meeting new people in a very positive industry! (Who doesn't love weddings &amp; magazines!) As a road rep you will be paid by commission only. We are offering a 25% commission on every sale! Upon hiring, we will send you everything you will need to sell, a beautiful media package and of course magazines.About Our CompanyBride &amp; Groom Magazine has been a leading Bridal magazine in Canada for almost 5 years. We are the most comprehensive wedding magazine in the nation with a wide range of editorial features covering every aspect of the wedding planning process, from organizing the ceremony and reception to the latest in wedding fashion, food, decor, trends and more. Our team is made up of professional graphic designers, photographers and writers. They collaborate in producing a unique mix of editorial content and advertising on the latest trends in the wedding industry. Being one of the few magazines targeting the specific needs of both brides and grooms, Bride &amp; Groom Magazine offers stress-free solutions for planning the big event. With a touch of European allure, and thanks to its own casting and production agency, Bride &amp; Groom Magazine offers a bevy of beautiful photo spreads along with profiles of real weddings to guaranteed to inspire. Now available at over 25,000 outlets nationally, Bride &amp; Groom Magazine offers a wealth of creative ideas and recommendations to future brides and grooms to help realize a dream wedding.Where Are We Going?Bride and Groom Magazine has been exceptionally successful in Canada, and we are currently seeking to expand to the United States. We are seeking motivated Sales Representatives to represent us and our wonderful product across the United States. We are hoping to release the first American issue by 2015.What We Do1. Create a platform for businesses to introduce themselves and present their products and services in a high end magazine at a low cost, thus increasing business revenue.2. Help people plan the happiest and most important day of their lives!Bride and Groom US#EMAIL_a308a34606c46c68713e47cd00e01e1fbb6dcfdc48a89c6130fa1594602e8329##PHONE_096ada976728e7bdd30726e9748ff7375f7d0aa0c8489ebe72c4e741bc086da9#Business Hours: Monday-Friday 9-5 EST","What Will You Do? / Responsibilities_The Sales Representatives will be expected to..._* Locate bridal businesses in their specific area who would potentionally be willing to advertise in our magazine* Contact these businesses and set up meetings in person* Meet with representatives, present them with our Media Kit and Magazine and offer them the various advertising options* Secure deals and lasting partnerships with bridal businesses in your area_Please keep in mind that a successful sales representative would be securing at least 30 deals a #URL_6d19244f784ec24f8e7f4993dd6fb19334923585e9a972cbb8da5a047c850e02#All Sales Representatives make 25% commission on every sale!Qualifications* Be well-dressed and groomed* Must have a car and valid driver's license* MUST have previous sales experience (at least 1 year)* Be self-motivated* Organized and willing to make your own schedule* Able to meet our deadlines and secure the minimum amount of monthly deals.We will contact all valid applicants within 1-2 business days of receiving your CV (not including Saturday/Sunday) with more information.",,0,0,0,,,,,,0
6781,Application Developer C#.NET,"PH, 00, makati ",Information Technology,15000-30000,"Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking &amp; Financial Services, Telecommunications &amp; Media, Technology, Energy &amp; Utilities and Manufacturing &amp; Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development &amp; Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence &amp; Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.","Job Title :- Application Developer C#.NETLocation :- QUEZON CITY, PhillipinesYears of experience :- At least 2 years.Job Description :-Requirements:• Programming Languages.• Apply Knowledge of Business-IT Requirements.• Library Management Tools.• Application Development Methodologies &amp; Tools.• Data Models.• Implement Java.• Perform Code Unit Test.• Apply Java Skills.• Develop Java Servlets.• Java Developer Toolkit.• Use Test Tools Knowledge.• Apply Knowledge of Java Applets.• Develop Metrics Appl Devel Progress/Quality.• Design from Functional/Non-functional Requirements.• Perform Compare Programming Languages.• Perform Design/Build/Test/Package Solution.• Automated Development Tools.• Configuration Management Tools.• Application Development Work Products.",Programming Languages.• Apply Knowledge of Business-IT Requirements.• Library Management Tools.• Application Development Methodologies &amp; Tools.• Data Models.• Implement Java.• Perform Code Unit Test.• Apply Java Skills.• Develop Java Servlets.• Java Developer Toolkit.• Use Test Tools Knowledge.,"Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking &amp; Financial Services, Telecommunications &amp; Media, Technology, Energy &amp; Utilities and Manufacturing &amp; Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development &amp; Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence &amp; Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.",0,1,0,Full-time,Not Applicable,Bachelor's Degree,Information Technology and Services,Information Technology,0
10295,Customer Service Associate ,"US, DC, Washington",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Washington, DC. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities include, but are not limited to: MailroomOperate mailing machine automatic equipmentPick-up and deliver mail, parcels, copy jobs and to customers.Handle time-sensitive material like confidential, urgent packages.Order and stock supplies for the Mailroom, kitchen, printers and copiers.Lift large bundles of mail, overnight packages and shipments of paper, push a mail cart on delivery roundsSuccessfully utilize and maintain logs for accounting tracking methods .FacilitiesMove boxes &amp; paper within the officeAssist in relocating office furniture and materials as neededPerform general facilities tasks as assignedPick-up shredding boxesAssist with audio-visual set upsHospitality Perform hospitality duties e.g. set up beverage service in conference rooms (coffee, tea, ice water) replenish as needed, put room in order after meetings (push chairs back in, break down the food &amp; beverage set ups)Receive and set up catering food deliveriesHospitality also includes cleaning duties in the kitchenettes: clean counters, empty out/clean fridge, clean out microwaves, clean coffee machineMaintain the kitchenettes ( keep supplies neat and re-order from vendor when low)  load &amp; empty dishwasher as needed or per schedule, restock supplies, make coffeeReception DeskBack up front desk receptionist for lunch and breaks or as needed, must have excellent verbal communication skills, speaking clearly and distinctly while using professional phone mannersCopyAbility to produce quality copy and print work on time.Must be experienced in digital print applications in regards to various Multi-functional devices. (knowledgeable about scanning files to PDF)Proficient in Utilizing Microsoft Office (Word, Excel, and email).Key-Op copier equipment. (clean glass, reload paper, clear jams)Maintain all logs and reporting documentation; attention to detail.Customer ServiceAbility to multi task: between copy, mail &amp; hospitality duties during the dayMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitude.Demonstrate flexibility in satisfying customer demands in a high volume, production environment.Consistently adhere to business and safety procedures and guidelines.Participate in cross-training.Take direction from Lead, Supervisor or Site Manager as required.Appropriate business attire is essential ","The successful candidate must be able to demonstrate the following qualifications:High School Diploma or equivalent (GED) requiredMinimum of 1 year customer service related experience preferred preferably in a law firmAbility to communicate well both verbally and written with customers and company personnelAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyAbility to meet employer's attendance policy and be on timeComputer proficiency in email environments, MS Word/Excel or similar programsLifting up to 55 pounds with or without accommodations Significant walking and standing for long periods of time with or without accommodations",,0,1,0,Full-time,Entry level,High School or equivalent,Legal Services,Customer Service,0
14674,Content Producer ,"US, NY, New York",Marketing,,"About the CompanyAviary makes the worlds best photo editor. Its used in more than 6,000 apps, including our own, which is a Top 100 app on both iOS and Android. Each month, more than 65 million people actively use our products.The Aviary team is on a mission to democratize creativity. The company was founded by the creators of Worth1000, who have always been obsessed with the idea of turning everyone in the world into artists. We are 25 people based in New York and financially backed by leading investors including Spark Capital, Amazon CEO Jeff Bezos and LinkedIn founder Reid Hoffman. Aviary is well positioned to lead the next generation of creative companies.Aviary has a highly team-driven company culture. Everyone in the company is great to work with and contributes meaningfully to the overall company direction. As one might expect, we are a creative group inside of work and out: whether its painting, improv comedy, bagpipes, or karaoke, our team members have diverse and interesting passions. We keep our culture startup-friendly to the core (ping-pong tables, free lunches and party games abound) and plan to keep it that way forever, whether we are 25 people or thousands.Why work at Aviary?We build beautiful photo editing software that can be used in any application. We're a small, creative team and we're passionate about powering the world's creativity. If you're an awesome person who wants to help us achieve that goal, we'd love to hear from you!Working with usYou'll work in a fast-paced startup environment, full of challenges and new opportunities. We can promise with complete confidence that you will never be bored.Share a workspace with a variety of creative, interesting people with a huge range of weird hobbies.Great location for commuters: our windows literally overlook Madison Square Garden. We may or may not have tried projecting our website onto MSG. (We totally did. It totally worked.)Our conference table doubles as a pool table. Plus, we have heated matches of ping pong, foosball, and Fifa to help get the creative juices flowing!PerksWe want a happy, healthy, and creative team. We know you can't have that without real work/life balance and plenty of perks. To that end, we offer:Competitive salaries, full medical/dental insurance, tons of paid vacation, and an ample budget to customize a top-notch workstation to your hearts content.All the free coffee and snacks you can consume. Fully stocked fridge. Catered team lunches four days a week.Constant learning. Coding workshops, company-sponsored educational courses, and genius coworkers who show each other new things every day.","Aviary, creator of the worlds best mobile photo editor, is seeking a product manager to join us in our New York City office and lead our content production efforts. You'll be responsible for helping our users communicate via their photos by providing them with great digital content that is topical, relevant, fun, and beautiful.As part of the Aviary product team, youll be helping us deliver delightfully creative photo editing experiences for over 70 million users of our iOS, Android, and partner apps by producing the highest quality in-app content. You should be a detail oriented multi-tasker, with experience maintaining digital production schedules, working in a fast-paced creative production environment, and liaising between our BD/sales, creative and technical teams.ResponsibilitiesCreate and maintain an aggressive digital content calendar (content = frames, filters, stickers, and whatever else you can dream up!)Source and secure amazing freelance talent to aid in the design of new contentCollaborate with product and design teams to concept content ideas that our users will loveCollaborate with brand team to concept killer sponsored content that meets specific client objectivesManage the workflows of content creative and production teams from concept through launchPreemptively spot and troubleshoot potential production hiccups before they escalateThink strategically about how content can be most effective in other parts of the worldCollaborate with product and analytics teams to track and improve content engagement","2+ years digital product management or relevant experience (please include examples of projects that you've worked on in the past)1+ year working full-time in a startup or similarly fast-paced environmentA foundational understanding of mobile consumer marketing and social mediaA passion for mobile photography and an understanding of the current trends in the photo app landscapeHighly organized and detail-orientedAbility to thrive in a high-pressure, high-impact roleA strong network of freelance artists/creatives or the know-how/resourcefulness to build one quicklyAn eye for simple, clean, and beautiful designAbility to empathize with and market to a variety of demographics (teenagers, moms, etc)Solid sense of humor, especially as it relates to topical humor and memesCreative writing skillsBonus points for experience working in digital content production or with brands",,0,1,1,Full-time,,,,Marketing,0
5441,Systems Administrator (Delhi),"IN, DL, Delhi",,,"EatAds is a global platform business, like #URL_21e7f703da8e28a4edd962a114e1af7ba244609a9ccd3760ae493e9f447c25fe# or #URL_5b04abc806bc1d9e9b9491c41c8b2d7557455714849cfbdd9401b1094f5be684#, but instead for the global Out-of-Home media industry. We're based in Sinagpore and India and we're growing fast. The Founders are all experienced entrepreneurs, backed by some of the most experienced digital investors in India and Singapore. This $33b industry has been lacking a platform, and thus we help both buyers and sellers leverage the benefits of a platform for the first time. We're initially focussing on South Asia and South-East Asia although already have users from outside these regions.EatAds is similar to #URL_f012da9e26184dad52230f43da2d165067791f2b862a7742876a8d0d5a208119# (USA), #URL_3613edc46487e81246859966aee52388d9ea323d632a9cb699c3aa877db2cf9a# (Singapore) or #URL_21e7f703da8e28a4edd962a114e1af7ba244609a9ccd3760ae493e9f447c25fe# (India); both in model and technology. EatAds not only provides vendors a platform to list their sites, but also a raft of workflow solutions to manage their inventory, undertake mobile site monitoring and many more. All of these process have been occurring manually until now. For buyer we create a more efficient process to discover, plan, research, buy and sell Out-of-Home (OOH) media. EatAds is subscription model.  In addition to a listing and lead generation platform EatAds also provides (either directly or via integration) a raft of cloud-based workflow solutions for all stages of the Out-of-Home media value chain. We take no commission and are designed for fast growth.","The EatAds team is growing and we are now seeking an experienced, committed and passionate Systems Administrator as we scale our user-base and expand our open marketplace platform internationally.Location      Delhi (Full-time)Reporting to CTOExperience / ProficiencyBachelor (4-year) degree or equivalent, with a technical concentration, such as engineering or computer science (or minimum 3 years of SysAdmin experience)Systems Administration/System Engineer certification in Unix (or minimum 3 years of SysAdmin experience)Minimum 2 years system administration experienceMinimum 1 year of AWS administration experience  We are #URL_596f221decc15cacf56d15374f69ab3a0f770aee92b099bec6f97dfa923936da#  An open-platform Marketplace for Outdoor media#URL_596f221decc15cacf56d15374f69ab3a0f770aee92b099bec6f97dfa923936da# is for buyers and sellers of outdoor media.Outdoor media (billboards, transit media, bus shelters, airport media etc.) is a large but very disorganized industry globally. It has been very late in adopting similar web tools that have brought great efficiency to almost all other industries. The $33 billion industry is most disorganized in the emerging economies.We are like #URL_5b04abc806bc1d9e9b9491c41c8b2d7557455714849cfbdd9401b1094f5be684# but for the global Outdoor media industry. On our platform we offer the tools to make the buying and selling outdoor media inventory simple and easy. We are a Singapore company, with Asia focus, global ambitions and a heavy focused on the India market. The Founders are all experienced entrepreneurs, backed by some of the most experienced digital investors in India and Singapore. Role descriptionThe System Administrator (SysAdmin) is responsible for effective provisioning, installation, configuration, operation and maintenance of systems hardware and software and access control of the systems and related infrastructure.SysAdmin constantly engages in technical research and development to enable continuing innovation within the infrastructure. This individual ensures that system hardware, operating systems, software systems, and related procedures adhere to organizational needs and organizational values, enabling staff, clients and other relevant parties.This individual will assist project teams  both technical and non-technical  with technical issues in the Initiation, Planning, Development and Maintenance phases of our Project Management Cycles. These activities include the definition of needs, benefits, and technical strategy; research &amp; development within the project life-cycle; technical analysis and design; and support of development and operations staff in building, executing, testing and rolling-out the solutions.Participation on projects is focused on smoothing the transition of projects from development to production to execution by performing relevant operations within the project life-cycle.This individual is accountable for the following systems:UNIX based Web Servers hosted on AWS EC2 instancesCloud based Mail hosting managementUNIX systems based Asset Management servers hosted on hosted on AWS S3 instancesResponsibilities on these systems include SysAdmin engineering and provisioning, setup, operations and support, maintenance and research and development.  ResponsibilitiesSysAdmin Engineering &amp; ProvisioningEngineering of SysAdmin-related solutions for various developmental, production and operational needs.Install new / rebuild existing servers and configure hardware, peripherals, services, settings, directories, storage, etc. in accordance with standards and project/operational requirements.Install and configure systems for Asset Management applications.Develop and maintain installation and configuration procedures.Contribute to and maintain system standards.Research and recommend innovative, and where possible automated approaches for system administration tasks.Identify approaches that leverage our resources and provide economies of scale. Operations and Support 8.  Perform daily system monitoring, verifying the integrity and availability of all hardware, server resources, systems and key processes, reviewing system and application logs, and verifying completion of scheduled jobs such as backups.9.  Perform regular security monitoring to identify any possible intrusions.10. Perform daily backup operations, ensuring all required file systems and system data are successfully backed up to the appropriate media, recovery tapes or disks are created, and media is recycled and sent off site as necessary.11. Perform regular file archival and purge as necessary.12. Create, change, and delete user accounts per request.13. Provide Tier III/other support per request from various constituencies.  Investigate and troubleshoot issues.14. Repair and recover from hardware or software failures.  Coordinate and communicate with impacted constituencies. Maintenance15. Apply OS patches and upgrades on a regular basis, and upgrade administrative tools and utilities. Configure / add new services as necessary.16. Upgrade and configure system software that supports infrastructure applications or Asset Management applications per project or operational needs.17. Maintain operational, configuration or other procedures.18. Perform periodic performance reporting to support capacity planning.19. Perform ongoing performance tuning, hardware upgrades, and resource optimization as required.  Configure CPU, memory, and disk partitions as required.20. Maintain data center environmental and monitoring equipment. Complexity &amp; Problem SolvingPosition deals with a variety of problems and sometime has to decide which answer is best. The question/issues are typically clear and requires determination of which answer (from a few choices) is the best. Discretion / Decision-MakingDecisions normally have a noticeable effect company-wide, and judgment errors can typically require one to three weeks to correct or reverse. Responsibility / Oversight  Supervisory &amp; FinancialFunctions as a lead worker doing the work similar to those in the work unit; responsibility for training, instruction, setting the work pace, and possibly evaluating performance. No budget responsibility. CommunicationsInterpret and/or discuss information with others in the company, which involves terminology or concepts not familiar to many people; regularly provide advice and recommend actions involving rather complex issues. May resolve problems within established practices.Provides occasional guidance, some of which is technical.Engage in periodic chats and/or VOIP calls with Team Leadership, Development Team(s) and other relevant personnel in the company for updates on System, recommendations and advice. Working Conditions &amp; TravelResponsibilities sometimes require working evenings and weekends, sometimes with little advanced notice.No regular travel required.Up for the challenge? If you like what you hear then do contact us and we can share more regarding timing and remuneration (including the equity share-plan). We're ambitious, ready to grow fast and have strong financial-backing. We like to move quickly, so contact us to learn more.   FoundersNigel Hembrow  #EMAIL_cbc76fec7b83c3a5a64c6e19046ef2976f140f32eccb4a4e2158362a7402313f# Dhruv Sahgal     #EMAIL_7d1d31095e87090468dc5840b835a3021cb8d376494708de416747921be86895#","Min. Working ExperienceBachelor degree or 3 years of experience Experience / Proficiency Bachelor (4-year) degree or equivalent, with a technical concentration, such as engineering or computer science (or minimum 3 years of SysAdmin experience)Systems Administration/System Engineer certification in Unix (or minimum 3 years of SysAdmin experience)Minimum 2 years system administration experienceMinimum 1 year of AWS administration experience",We are happy to pay for high performers. We want the best. ,0,1,1,Full-time,Not Applicable,,Internet,Information Technology,0
10162,Customer Service Team Lead ,"US, PA, Bala Cynwyd",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking a Customer Service Team Lead. The right candidate will be an integral part of our talented team, supporting our continued growth. This position will be located in our Bala Cynwyd, PA location.Responsibilities include, but are not limited to:Process mail, deliver mail, scan in/out packages and deliver mail/packages.Run mail meter and inserter equipmentAnswer and direct telephone calls in a timely and professional mannerAssist with conference room setups and distribute temporary badgesOrder food and beverages for staff and meetingsHelp resolve employee and customer concerns/issuesSpecial desk drops &amp; signage hangingAdministrative services/processing large volume reports using excel and assisting manager with quarterly business reviewsLift large bundles of mail and make mail deliveriesHandle time-sensitive materialPerform duties and special requests as assigned by managementBalance workload; provide guidance and direction to team; serve as focal point for communication with customer and company personnelEnsure operating and quality standards are met based on service objectivesEnsure the highest levels of customer careEnsure adherence to business guidelines, safety &amp; security proceduresResponsible for all aspects of equipment; make appropriate equipment recommendationsProvide constructive feedback and recognition to teamSupport financial results by minimizing site waste and reworkPerform other tasks as assigned","Required Qualifications:Experience coordinating/deploying work to employees requiredMinimum of 1-year customer service related experience requiredAbility to resolve employee and customer concerns/issuesAbility to communicate both verbally and written with customers and company personnelHigh school diploma or equivalent (GED) requiredAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills requiredAbility to meet employer's attendance policyComputer proficiency in email environments, MS Word/ExcelHeavy LiftingAbility to adhere and administer companies policies and proceduresStanding for long periods of timeSignificant walkingWillingness to work overtime if neededWillingness to submit to a pre-employment drug screen and criminal background checkPreferred Qualification:Post office, Mail or previous Shipping/Receiving experience ",,0,1,0,,Entry level,High School or equivalent,Financial Services,Administrative,0
4069,Security Engineer,"CA, QC, Montreal",,,"We design, deploy, and maintain advanced technologies that enable our customers to achieve operational excellence at substantial OpEx savings. Our core strengths include a concentration on networks, their security &amp; optimization with a laser focus on redefining the fulfillment experience. We are driven to add value at every step by providing access to inventory, streamlined credit, integration with your procurement organization and real time status information. These are all reflective of our value-added philosophy.We have developed solutions for leaders from Wall Street to the Web. What makes us different is our concentration and commitment to exclusive relationships with leading vendors.","We are looking for highly skilled Security Engineers to join a fast-paced, dynamic and challenging environment managing a global cutting edge security infrastructure.Ideal candidate should be extremely motivated, self-directing individuals that demonstrate a natural curiosity and innate interest in technology and security.Candidates must demonstrate very strong conceptual understanding of security and networking and the ability to work in a global team environment.This is for direct full time employment in Montreal, Quebec. Salary is open, based on experience.","Qualifications:B.S. or M.S. in Computer Science or related discipline1-3 years' conceptual work experience or research where Security has been a significant focus or the next logical stepCISSP, CCNP or Juniper certification is a plusSkills &amp; Experiences:Must possess in-depth understanding and prior experience on the following:IP, TCP, UDP, FTP, DNS, ARPHands on experience configuring and troubleshooting security devices (Cisco PIX/ASA, Juniper/NetScreen, IPFilter, IP Tables)Packet filtering and stateful packet inspection and the differences between themIntermediate to advanced understanding of packet capture and analysis using snoop, tcpdump or similar toolsIntermediate Unix System administration experienceAuthentication (Radius, MIT Kerberos) and Encryption (SSL, IPSec)Network Engineering (TCP, DNS, SMTP, routing protocols)OSI model, how each layer operates and how security is applied at each layerInterpersonal Skills:Must be able to demonstrate problem solving/troubleshooting skillsStrong written and verbal communication skills",,0,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,,0
4038,Senior IT Analyst,,,,"Eviture is a professional services firm that specialise in leading enterprise agile delivery for complex business and IT challenges, with on-shore, near-shore and offshore team members working in collaboration. We work across all levels within organisations to enable business agility for our clients.We work with clients from vision and concept to delivery to ensure that programmes and projects start off right. At a fundamental level, it requires a significant change in mind-set, culture and approach. Collaboration is becoming increasingly important inside the business: empowering every member of staff to deliver the promise that youre making to your customers. Collaboration with customers and employees is vital to success. This transformation can be very challenging, particularly for large hierarchical organisations with a long history of traditional delivery.We help organisations and their people adjust to change, whether it is processes that need integrating into existing work habits or a strategic direction that depends on the understanding and support of stakeholders. With the right leadership and engagement, lean and agile can transform the future of a business and the people that form part of it. To support this, we build high-performance teams and highly collaborative environments spanning multiple locations, including near-shore and offshore.Eviture Resources focus on finding the right people for the right tasks for Eviture, and occasionally for clients with special needs.Our contact number is +44 20 3637 0415.","We are looking for a Senior IT Analyst for a 4 1/2 month contract position with our client in Stockholm, Sweden.Key responsibilities and accountabilitiesTo get a common data sourcing catalogue in placeTo define and clarifying the common data sourcing activities (describe data sourcing activities and deliverables as a part of the development process)To define the detailed and common production roles and resource planning processTo define the standard Operating Handbook and getting this implemented in the first versionTo define and implement the common IPC processes for ITGF including evaluation of the Remedy tool supporting these processesTo run/participate in operative and tactical governance meetings related to production execution or data sourcing.","Administrative skills (strong and very structured)Workshop facilitator &amp; organiserExperienced in creating communication material of IT topics targeted for a business &amp; IT audienceWell practiced presenter of and coach for changesDefining process (processes, activities, input &amp; output and roles)Broad understanding of  IT development processCommon understanding of IT production process relevant of automated batch oriented applicationsITIL framework and especially the Incident-, Problem- and Change Management processes.",,0,1,0,Contract,Mid-Senior level,,,Information Technology,0
833,Sales Professional,"US, MA, Wilmington",Sales,45000-85000,"We are a family run business that has been in operation for nearly 40 years.  We value long term relationships and want to have team members that can be treated like family.  We are strong believers in a deal is a deal and we don't change pay plans after you crush it!  We want our teammates to enjoy mutual success.  We put the dealership first in everything we do.  That means above the ownership or the associates.  The needs of the Team are number one!We are located in Wilmington MA -- 15 miles from downtown Boston.  It's a great town with great people we have a primary market area of about 255,000 people.  This means plenty of people to help with their transportation needs.","Bill Dube Hyundai in Wilmington MA just outside of Boston, is a growing Hyundai dealer that is building a team of driven professionals. Our desired culture is about: constant personal and professional growth; a climate of accountability that directly challenges drama, entitlement and gossip; rewards enthusiasm, integrity and team play; where managers take responsibility for daily training and coaching; and where we all take responsibility for learning new things and improving our professional skills.We value people that posses the following traits:Positive energyIntegrityHonestyCompassionLoyaltyStrong sense of teamDesire to not just satisfy, but delight coworkers and customersHigh levels of enthusiasmDesire to constantly improveHigh StandardsDesire to achieve the next levelWhat we dont value:Teammates who feel entitledTeammates who thrive on dramaTeammates who like to gossipTeammates who are not reliable","Previous automotive sales representative experience is NOT REQUIRED for this position.Professional sales training will be provided. Those with experience in the financial services, mortgage, restaurant, and customer service industries have proven to be very successful when switching careers to Automotive Retail Sales. Qualified applicants should have a professional appearance, a high school degree (or equivalent) and a valid drivers license with an acceptable driving record. High-energy, positive, out-going individuals with strong verbal communication skills WILL succeed as a Sales Associate at Bill Dube Hyundai!“Experience the Dube Difference!”","If Selected, We Offer:• $2,000 first month training guarantee!• $45,000 - $85,000 average annual earning potential!• Medical, dental, vision, 401K, Profit Sharing, Short &amp; Long term disability, Medical Flexible Spending accounts &amp; paid vacations!• Flexible, 5 day work weeks!• Family owned and operated with an excellent reputation in the community for over 40 years!• Ongoing training and development!• Room for advancement into management!",0,1,0,Full-time,,High School or equivalent,Automotive,Sales,0
4984,SAP BOBJ Sr. Developer,"IN, TN, Chennai",,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI &amp; Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&amp;D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall &amp; excellence in the field of Business Intelligence &amp; Analytics by driving BI adoption with our innovative analytical solutions and applications.","In this role, you will be part of an elite team of professionals with established credentials in SAP Business Intelligence. Specifically, you will build best-in-class BI solutions by leveraging your technical expertise in the areas outlined belowDesign, implement and maintain complex BO Universes (using IDT and UDT) on top of OLAP and relational data sourcesBuild canned and ad-hoc reports and dashboards using one or more of the following tools: SAP Dashboards (Xcelsius) / Web Intelligence / Crystal Reports (2011 and Enterprise)/ Analysis for Office &amp; OLAP/ SAP Mobile BI / SAP BO Explorer / SAP Design Studio / LumiraDevelop complex SQL scripts and queriesBe on the forefront of learning and development by continuously acquiring and upgrading competencies in SAP BIEvaluate new SAP BI technologies by building proof of concepts and demonstrationsEnroll and get certified in a range of BI training programs organized by Visual BI for its associatesLead technology focus groups and conduct knowledge sharing sessionsBuild and nurture your expert profile online (through blogs and SCN) to establish yourselves as a BI Thought Leader in the industryBe a pillar of support for Visual BIs vibrant culture by always willing and eager to experiment, learn, and deliver customer value while having fun","3 to 6 years of relevant, hands-on experience in SAP BusinessObjects, coupled with strong fundamentals in RDBMS and dimensional modelingFollowing expertise, while optional, would be a plusHands-on experience with BusinessObjects 4.1 or laterSAP BW experience (Extraction, Modeling, Transformation, BEx Queries)SAP BW + BOBJ integration experienceSAP BusinessObjects Security and Administration experienceExperience in non-SAP visualization tools such as Qlikview, Tableau and SpotfireStrong ETL experience with SAP BO Data Services or InformaticaExcellent teamwork, interpersonal and communication skills","Visual BI offers a generous package of benefits including 24x7 access to ALL SAP BI toolsets for learning, one of the best and comprehensive medical cover for self and eligible dependents, maternity/paternity leave, broadband reimbursements and more.",0,1,1,Full-time,,,,Consulting,0
10109,Retail Staff Member - Must LOVE Dogs,"US, CA, Studio City",,,,"“Pride is a personal commitment.  It is an attitude which separates excellence from mediocrity.”  Author UnknownAs employees at Healthy Spot we feel pride in the work that we do.  We believe in inspiring healthy pet lifestyles by creating authentic experiences with customers and fostering a sense of community. At Healthy Spot, employees are team members, caregivers to four-legged friends, public educators, and role models.  Our pride in our work is what allows us to exceptionally serve dogs, customers, the Healthy Spot team, and our communities.We are looking for a passionate, extroverted individual to assume the role of the Retail Staff Member.  This position will require the individual to represent Healthy Spot and communicate the core values to the customers through exceptional customer service and extensive product knowledge. The core responsibility of the Retail Staff Member is to build an authentic relationship with each client that steps into our store and foster a cohesive community of dog lovers that share in our passion of inspiring healthy pet lifestyles.The individual in the Retail Staff Member position will make a difference by always keeping the best interest of the animal at heart.  The passion exuded combined with personal pride is what Healthy Spot is looking for in this unique individual! The Ideal Candidate…MUST LOVE DOGS!MUST LOVE PEOPLE!Must be an extrovert who loves interacting with and serving customersMust believe in high standards - does not accept mediocrityMust love to learn about products and different breedsMust have a high level of dedication to learnMust be driven and committedMust have excellent oral and written communication skillsMust have a highly contagious energy around peopleMust be detailed oriented and enthusiastic about cleanlinessMust be flexible, punctual and reliableMust be able to lift 30 lbsMust be capable of working independently and in a team environmentMust be capable of dealing with various types of clientsMust be capable of handling a fast pace, high stress environmentMust be a self-starter and quick learner The Retail Staff Member Will…-Lead by the highest example in customer service, communication with staff, and indoctrination of Healthy Spots culture, mission statement, and core values-Ensure that Healthy Spots WECARE is being performed at the utmost standard in providing quality customer service-Have the highest level of knowledge of the products and services offered with the ability to communicate the knowledge to customers with ease and confidence-Understand and effectively communicate the importance of why food conversion is healthy to pets and the company-Conduct sales transactions pursuant to Healthy Spots Policy and Procedure, including classing merchandise, putting merchandise on hold, conducting exchanges and returns, and operating the cash register-Understand and communicate the Grooming and Daycare pricing and packages, as well as the benefits of healthy rewards to customers-Ensure that the store maintains its cleanliness and that products are presented properly in a timely manner-Meet or exceed retail sales goals and store goals through working closely with other team members-Partake in inventory control under the guidance of the Assistant, Retail, and/or Store Manager-Conduct store opening and closing in accordance with Healthy Spot standards-Effectively communicate with the Daycare and Grooming Departments to ensure that the operations of the store as a whole are running efficiently and smoothly-Work with the community for specific events such as adoption events and holiday events-Perform other duties as assignedPlease respond with a resume. Please also articulate the following: 1) Why you would be an immediate value adding team member2) Why are you the best candidate for the retail member position3) What is your favorite breed and whyResumes without cover letters will not be considered.  It's your opportunity to allow us to get to know your unique personality! Healthy Spot Job Perks!!For Full Time Employees-Four different types of medical plan. We also offer a dental and vision plan!-If your dog is under 30 pounds, bring them to work and have them stay in dog daycare!* All dogs must pass behavior temperament test and having UTD vaccination records. **Dependent on availability of space in Dog Daycare. This perk is available after the 90 day trial period** - 30% off all Treats/Supplies!!-15% of all Food!!-15%-30% Grooming discount - dependent upon long hair/wired hair and size of dog.Part Time Employees-If your dog is under 30 pounds, bring them to work and have them stay in dog daycare!* All dogs must pass behavior temperament test and having UTD vaccination records. **Dependent on availability of space in Dog Daycare. This perk is available after the 180 day trial period** - 30% off all Treats/Supplies!!-15% of all Food!!-15%-30% Grooming discount - dependent upon long hair/wired hair and size of dog. Company DescriptionWe are a fast growing, upscale, upbeat, healthy and earth friendly pet products and services store. As our company grows, we are always looking to add new members to our team. We look for team members to grow with our company and are looking for individuals to stay with us long term.  In that sense, our recruiting efforts are stringent to find the unique individual. We realize our success is based on our team members.  Without the individual personalities and quirks that shine in and out of the Healthy Spot atmosphere, Healthy Spot would not exist.  As such, we love to promote from within and spend time and resources to foster each team members growth.  We are proud to see team members grow from entry level to manager positions and see them gain skills and confidence both professionally and personally!We are committed to unleashing each family's passion for their dogs by offering the finest products and services, quality information and highest care while breeding social awareness of humane compassion and canine education for the community -- all for your dog's mind, body, and bowl.#URL_6404f38988d65ee3f3cf01b27a9566452cbe5952d18a4494a53deef8235d5b0c#",,,0,0,0,,,,,,0
2815,Class A OTR Driver Home Every W/End,"US, TN, Nashville",Transportation,49000-58000,"Expedited Recruiting, LLC is a transportion recruiting firm that is hired by firms to perform their entire internal recruiting functions as it relates to company drivers and owner operators. Expedited operates in the courier, distirbution and over the road industries for all type so vehicles from cars, cargo vans, straight trucks and trctor trailers. Expedited never charges candidates anything and are ony paid by our clients when we are succeful fill a position ","Nashville based family owned and operated (for over 20 years), we have grown from 1 truck to over 200 for one reason and one reason only  doing right by our customers and employees. Our drivers get home every weekend and have an average annual salary of well over $50K. We are looking for Class A OTR Driver to run primarily the Southeast and Midwest with some runs to the Norhteast. However, we are no forced dispatch so if you dont want to do upstate NY you dont have to do  upstated NY. We primrily haul dry good and there is absolutely no HazMat Required.  ","Qualifications You must have at least on year verifiable Class A OTR experience to qualify.May not have more than three moving violations and two preventable accidents in the last three years but cant have a preventable within the last 12 months.May not have any DUIs or reckless driving in the last three yearsPlease note, we will accept SAP but you MUST have completed the entire course and show you are in good standing. ","Offering: Starting pay for those with under 5 years verifiable is $.37 and those with over the 5 get $.38/Mile.  With our driver bonus program, which is calculated monthly you can get another $.02/Mile.  Drivers average between 2400-2600 miles per week and there is a bonus program for all drivers who get over 10K in one month.All freight is dry van, 100% no touch and no haz mat.Drivers get to choose their first load out from domicile.Average salary is between around $48K/Yr to right around $58K/Yr. But it is like anything else, it is a matter of how hard you want to work in order to earn that money.After one year of service you get one week of paid time off and then two weeks after 5 years.We offer medical insurance where the driver just pays $15 each month for full coverage. There are other great benefits but too many to go over here.",0,1,1,Full-time,Not Applicable,Unspecified,Transportation/Trucking/Railroad,Other,0
7739,NE11 9SS Traffic Planner Apprenticeship available under NAS 16-18 year olds only!,"GB, , Newcastle",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",This is fantastic opportunity for someone wanting to start their career in Customer Service. During the first 12 months you will work towards a Level 2 Customer Service NVQ and then be kept on in a permanent position. If you are honest and hard working send your CV to #EMAIL_ac45e33e559a86eb7d9f15ef52bb37d90ed3424b2a5f1f1b2c9f5b9cdbd790ae#.u,Government funding is only available for 16-18 year olds as this job is an apprenticeship. ,Future Prospects,0,1,0,,Not Applicable,High School or equivalent,,,0
11974,"ASP.net Developer Job opportunity at United States,New Jersey","US, NJ, Jersey City",,100000-120000,"We are an innovative personnel-sourcing firm with solid team strength in recruiting candidates for various domains in the IT and Non-IT sectors. We offer a whole gamut of HR services such as sourcing, selection, staffing, consulting, outsourcing and training.With client needs becoming more specialized and niche specific, widening the gap between HR needs and work force capabilities, Roland &amp; Associates seeks to bridge the space between flourishing companies and qualified professionals. We deliver services from small to large businesses and pride ourselves on our ability to offer full suite of recruitment solutions to help ease clients workload in identifying the right resources.","Position : #URL_86fd830a95a64e2b30ceed829e63fd384c289e4f01e3c93608b42a84f6e662dd# DeveloperJob Location :United States-New Jersey-Jersey CityUs work status required : EAD / Green Card / US Citizens Detailed Description#URL_86fd830a95a64e2b30ceed829e63fd384c289e4f01e3c93608b42a84f6e662dd# Developer with strong SQL &amp; VB.NET working in a highly effective software development team responsible for development of and enhancements to our IDB reporting and billing systems. All work efforts will be performed according to policies and procedures relating to software development and quality.Background: Developer with 5+ years of development experience using #URL_86fd830a95a64e2b30ceed829e63fd384c289e4f01e3c93608b42a84f6e662dd#, VB.NET, including 3-5 years in SQL development.Required:• College degree• Experience building web based applications using #URL_86fd830a95a64e2b30ceed829e63fd384c289e4f01e3c93608b42a84f6e662dd# and SQL Server.• Expert level, hands-on experience writing SQL Server Stored Procedures.• Proficient in MS Office products (Excel, Access, Word, Outlook)• Worked in a role that involved the creation of reports for internal management and/or external customers.• Experience developing in another scripting/front-end language.• Excellent communication skills","Position : #URL_86fd830a95a64e2b30ceed829e63fd384c289e4f01e3c93608b42a84f6e662dd# DeveloperJob Location :United States-New Jersey-Jersey CityUs work status required : EAD / Green Card / US Citizens Detailed Description#URL_86fd830a95a64e2b30ceed829e63fd384c289e4f01e3c93608b42a84f6e662dd# Developer with strong SQL &amp; VB.NET working in a highly effective software development team responsible for development of and enhancements to our IDB reporting and billing systems. All work efforts will be performed according to policies and procedures relating to software development and quality.Background: Developer with 5+ years of development experience using #URL_86fd830a95a64e2b30ceed829e63fd384c289e4f01e3c93608b42a84f6e662dd#, VB.NET, including 3-5 years in SQL development.Required:• College degree• Experience building web based applications using #URL_86fd830a95a64e2b30ceed829e63fd384c289e4f01e3c93608b42a84f6e662dd# and SQL Server.• Expert level, hands-on experience writing SQL Server Stored Procedures.• Proficient in MS Office products (Excel, Access, Word, Outlook)• Worked in a role that involved the creation of reports for internal management and/or external customers.• Experience developing in another scripting/front-end language.• Excellent communication skills",Benefits - FullRelocation Assistance Available - Possible for the ideal candidateBonus Eligible - Yes,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
9105,Production Supervisor,"US, PA, Philadelphia",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)1. Supervises print production of offset 6 color machines.2. Ensures all jobs produced to be of the best quality, at the best possible cost and on time to meet client needs.3. Inspect materials, products, or equipment to detect defects or malfunctions.We have many more Global Healthcare Professionals jobs are available in our website. Please go through our website and search the relevant job and apply directly.Visit: #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,,,0
4632,Front Desk/Office Assistant,"US, TX, Prosper",Front Desk,,,"PROSPER DANCE FORCE is seeking to fill a front desk position at the studio. Prosper Dance Force is a growing Dance Studio in the Prosper, TX area. We have been open for 4 years and have expanded into 2 studio rooms. We also have a sister location in Frisco-Frisco Dance Force. We would love for you to check out our website to find out more information about our awesome company-#URL_a714ae5d5e22c7a46a4b812031d02744dca4872c8a224a987f30632805b3099d#","Job requirements include:1. Over 18 years old2. Must have customer service experience3. Strong computer skills (Excel, Word, Publisher, Networking)4. Interested in working evening hours: Monday - Thurs 4:00-8:00 and Saturday 10:15-12:005. Strong Communication skills6. Must like and get along with children7. Pay rate: $9.00-$10.008. Preferably high organization skills",,0,0,1,,,,,,0
6947,Customer Support and Testing Internship,"GR, I, Athens",,0-0,Web-based inventory and order management for small and medium businesses.,"Megaventory is offering non-paid work experience to a senior year IT oriented student in the dynamic environment of a mature technology startup. Megaventory Inc. is developing a unique ERP software as a service covering the inventory and order management, reporting, manufacturing and business intelligence needs of small and medium-sized businesses. Megaventory is already being used by hundreds of companies in more than 20 countries in the world.You will be requested to:Provide customer support to Megaventory Clients (handle email, answer questions, provide advice on optimal use, etc)Software testing before it goes live and becomes available to the companies using Megaventory",Must have: • Excellent English both written and spoken• Familiar with internet technologies and toolsNice to have:• Programming skills,"You will acquire skills and experience, cooperate with other professionals in the B2B software industry and use our state of the art toolset all in a pleasant work environment (5' from Metro Station Katechaki).We offer flexible working hours and the ability to partly work from home. Coffee is free :PIf you are interested in connecting your internship with a diploma project, we'll be happy to accommodate it and provide guidance and advice. As we are growing our business we are interested in expanding our team and you'll be the first candidate on our list.(Note: this is a non-paid internship)",1,1,1,Full-time,Internship,Some College Coursework Completed,,Customer Service,0
7236,"Director, Research & Evaluation","US, NJ, Newark",Research & Evaluation,65000-85000,"The Intersect Fund is a nonprofit organization that empowers entrepreneurs to build strong businesses so they can generate income, build assets, and spark dramatic social change in their communities.We have offices in Newark, NJ, New Brunswick, NJ, and San Francisco, CA.","The Intersect Fund, a Newark, N.J.-based nonprofit that invests in people who need help so they can build strong businesses and create jobs, is looking for a visionary data nerd to head and grow our Research &amp; Evaluation department. This is our skunkworks internal research arm with a meta-mission of solving problems through data to make The Intersect Fund smarter, more efficient, and more effective. Youll lead a department of two (including you), and come up with a plan to grow your team to 4-5 people in the next 3 years.This position has three main areas of responsibility:Data Collection and Analysis. You will be the go-to person on the team for questions about our data and how we can improve our products, processes, and performance. You will be served up broad questions ranging from:“What changes should we consider making to our underwriting criteria to reduce losses?”“How much time should we be spending with loan applicants we decline?”“What kinds of advice would be most helpful for our clients?“How much should we charge a new city to bring in IF and what results can we promise?”“How big is the unmet demand for microcredit in New York City?”Do you get pumped just reading the above questions and immediately think of dozens of ways to answer them off the top of your head? Then were looking for you. You must be able to design analyses and research experiments to answer these questions in a timely manner, with imperfect data and limited resources. You are in charge of all aspects of what, where, and how we collect data. This includes both our main business investing in entrepreneurs in New Jersey and our side business, Lend for America, which seeds and supports campus-based microfinance programs across the country. Youll also design, write and execute evaluation plans for every grant that we have. Even though you wont be working with clients directly, you are responsible for each piece of data collected and making sure it is accurate and timely. You must have an encyclopedic knowledge of our operation and in order to design data collection tools and processes that get what we need without creating an undue burden on staff. You must also build credibility among the staff by regularly delivering real value to them, so they will do whats needed for you to succeed.There are industry standards and best practices used by our peers to evaluate their performance, and well expect you to know these backwards and forwards. In order to be successful, youll have to take this to the next level. You must be able to invent new measures, collection protocols and techniques, and analyses that allow us to get higher quality, more detailed, and more frequent data on our performance.Research and Dissemination. The Intersect Fund aims to be a thought leader in the areas of credit building, financial capability, microfinance, and microbusiness development. We think there are a number of areas where the existing research is either nonexistent or insufficient, and we are in a unique position to conduct research as a direct-service organization rather than an intermediary, which is one more level removed from customers.Youll position the Intersect Fund as an authority on these topics by authoring case studies and white papers, conducting webinars, and speaking on conference panels.MIS. You will be responsible for implementation of the product strategy set by the CEO for the Intersect Funds proprietary management information system, which we have made a commitment to invest hundreds of thousands of dollars in annually. Our MIS is an ongoing project with year-round development for constant features This includes working with our awesome offshore development team in India, prioritizing features on the road map, and making day-to-day decisions on interface, user experience, data visualization, and business logic and rules. Our major priorities for our system are to:ruthlessly automate repetitive tasks that a human currently must do manuallymake feedback as real-time as possible, rather than waiting for batchesallow processes to move asynchronously and in paralleloptimize for mobile use, rather than on a computerOur goal is to build a system that is five years ahead of anything our peer are using and is a major competitive advantage for us in the market. You will work with our development team to seamlessly integrate your data systems into our business workflows, enabling us to collect data that would not be possible on another platform.","Fluency in Spanish desiredExpert in Microsoft ExcelYou are a prolific writer, and can communicate complex concepts clearly and conciselyYou know enough statistics to do rigorous analysis when needed, but also know how to design a research project that understands the realities of being in a growing, busy organization (i.e. you are not going to try and solve every problem with a randomized control trial)You have a working knowledge of financial statementsOn most anything, you have a point of view. On what a dashboard should look like, whether a data field should be a text entry or mult-select, and whether to push a feature to the next version of our software or not. You can make these decisions instinctually and you are usually right.You have an undergrad-level knowledge of statistics. You know your t-values from your R-squareds.You can develop data visualizations that make it easy to communicate complex conceptsYou know how to manage a software development project","Base salary plus annual performance-based bonusHealth insurance (we pay 100% of employee premium)403(b) retirement savings plan with generous employer matchFlexible spending accountWe don't count vacation daysA kick-ass tech setup of your choice for slinging spreadsheets (think fast Retina MacBook Pro with dual 27"" monitors)",1,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Nonprofit Organization Management,Research,0
8949,Art Director,"IL, , Tel Aviv",,,"BillGuard is a personal finance security service powered by the collective knowledge of millions of people. The family of data scientists, mathematicians, security experts and industry leaders have come together to protect consumers by facilitating the sharing of that knowledge. Through patent-pending big-data analytics and advanced crowdsourcing techniques, the BillGuard iPhone and Android app is the fastest, smartest way to track spending and save money. As the first “smart inbox” for your money, the app prioritizes the noteworthy charges on your credit and debit cards and scans your card activity for questionable charges.Based in New York and Tel Aviv and backed by some of the world's top investors, BillGuard has been featured prominently in the Wall Street Journal, NY Times and The Economist as well as on ABC and CBS News.","BillGuard is looking for a full-time, passionate and talented Art Director to to lead our TLV creative team. If you have exceptional eye for details, love to illustrate and design things that make people happy, we'd love to meet you!Who we are:BillGuard harnesses the collective wisdom of the Internet to help consumers identify and fight back against scammy charges.We protect our users from hidden fees, unwanted subscriptions, bill cramming, and more.We've got a beautiful new office on Rothschild Boulevard, Tel Aviv, and a desk with your name on it. Lets make the world safer together!Responsibilities :Manage multiple projects from concept through pixel perfect completion in a multitude of devices and platforms (web pages, mobile screens, icons, banners, landing pages, email marketing, print and more)Supervise, inspire, and manage a team of talented designers to create a thorough consistent language.Leading, conceptualizing and strategizing brand definition, developing guidelines evolving the BillGuard visual identity.Work both independently and collaboratively in a development cycle environment with product managers and dev team and provide requirements and estimates of workDevelop design solutions that meet the creative, UI/UX briefs and company objectives as well as contributing creative power toward forming brand and productManage long-term archival assets and documented workflows.","Intelligent, slick and thorough aesthetic standards.Experience leading and mentoring a design team is a strong advantage.Experience in forming a brand.Extensive knowledge on top-notch technology, user experience and design patterns.Solid understanding of HTML, CSS, responsive design for web applications, mobile/tablet/desktop applications and cross-browser compliant considerations for interactive design.Strong conceptual skills and the desire to solve complex design problems.Strong team spirit and social skills.",,0,1,1,Full-time,,,Internet,,0
7048,QA Engineer,"US, CA, San Jose",Engineering,,"NETGEAR and Aptitude Staffing Solutions have partnered up in an effort to streamline the hiring process and provide a more efficient and effective recruitng model.  Our focus is to help develop and achieve your career goals while makeing a solid geographical, cultural and professional fiit when leveraging your career into your new and exciting professional venture!  NETGEAR, Inc. (NASDAQGM: NTGR) designs innovative, branded technology solutions that address the specific networking, storage, and security needs of small- to medium-sized businesses and home users. The company offers an end-to-end networking product portfolio to enable users to share Internet access, peripherals, files, multimedia content, and applications among multiple computers and other Internet-enabled devices. Products are built on a variety of proven technologies such as wireless, Ethernet and powerline, with a focus on reliability and ease-of-use. NETGEAR products are sold in over 27,000 retail locations around the globe, and via more than 37,000 value-added resellers. The company's headquarters are in San Jose, Calif., with additional offices in 25 countries. Recruiting: #EMAIL_f4da338e899ddba983ac771b001681d1d2d93b3327ddc420a15f4e5a310071a9#  Media Inquiries: #EMAIL_1009f70bbfd3e2b05e1e73a998e14884ac7a09fe35b338b1f7f8767cf03effce# Investor Relations: #EMAIL_7ae15a228205094fb8cf58fbcb428394154f1c25f9629da4d54e091b86ce1037#","QA Manager (Cable)Job Summary As a member of the Service Provider Engineering team, you will be responsible for managing a lab and team of Test Engineers to qualify Cable products. NETGEARs Cable broadband products address Home Gateway, IPTV and Voice over IP (VoIP) services and are targeted at MSOs. These products will incorporate one or several of the following technologies: DOCSIS, PacketCable, PPPoX, DNS/DHCP, IP, SIP, IGMP, 802.11 Wireless, Ethernet, VPN, Firewalls.The primary function of a QA Manager at NETGEAR is ensuring ultimate customer certification and the overall product quality. . Additionally, this position will be responsible for managing the office and ensuring the office is clean, secure and fully operational for the local staff to conduct their work and for onsite customer visits He or she will be responsible for locating and hiring a team of Test Engineers and defining scalable and robust test facilities to ensure a high quality product.Job ResponsibilitiesManage the Cable Lab. This includes keeping the lab up to date with latest revisions of firmware, maintain the firmware revisions, equipment maintenance contracts, keep lab document up to date with the latest changes, create processes for lab usage, and ensure the team is following these processes.Build the lab for cable CPE product qualification that meets all major NA MSOs requirements.Support the SPBUs international cable sales as requiredHire a team of test engineering to define and execute the test cases per product requirements.Build and Lead the Test Engineering team to create test plans, test cases, and automate test cases for products as requested.Manage the work-load for test engineers and prioritize as needed.Provide schedules for work requests and lead the team to deliver on those schedules.Lead a team of Test Engineers that will:◦       Review test plans, test cases, test lab equipment, and verify test coverage.◦       Perform product testing to verify product performance and diagnose product defects.◦       Perform verification and regression testing for bug fixes. Log, track and drive to closure all bugs directly with overseas developers.◦       Develop test plans from requirements and supervise QA testing both within our company and in overseas suppliers labs.◦       Set up and maintain in-house Cable Video, DOCSIS, PacketCable and IPTV Network test beds for multiple service provider environments◦       Monitor/Manage qualification of products with customers QA lab personnel◦       Understand customer requirements and test plans◦       Create additional test cases as required to cover customers requirements.◦       Review customer test plans, and integrate into the master test plan.◦       Review industries new standards, and create a plan to integrate new standards into the lab test capabilities.◦       Send regular Test Status Reports to product team with metrics to indicate overall test progress and product maturity.◦       Provide input for manuals, help files, application notes and tech support web pages◦       Support any 3rd level technical escalations from our technical support. Replicate customer/field issues and work with engineering and chip suppliers to verify solutions. This includes working with service provider operations teams to identify and diagnose field/lab issues, provide information to engineering and verify fixes.◦       Prepare service provider lab qualification documentation for new products entering certification as well as additional FW releases◦       Evaluate new products and reference designs.Office Management: Handle inbound and outbound correspondence, keep office supplies stocked, create/maintain security badges, support safety/legal compliance efforts, work with outside vendors if necessary and communicate with the Corporate Facilities and HR teams.Make the lab a clean and safe environment for Test Engineers. ","Skills and RequirementsIntimately familiar with all major CMTS (Cisco, Arris, Motorola, CASA)Knowledge of existing MSO testing procedures and personnel and methodsIn depth knowledge of PacketCable specifications including #URL_7873ea313220abcb145fe03e78a77af55b3d6ba8c643540ae850475459584ecc#. Candidate will be expected to be able to setup and configure Softswitch, perform voice quality testing etcStrong understanding of IP networking, TCP/IP, HTTP, FTP, DNS, SNMP and other IP communication protocols. Knowledge of routers, Wireless (802.11x), VPN.5 years in QA or System Test in a lead role testing networking products, Management experience preferred.Well-versed in QA methodologies and Test Driven Development.Experience using test tools, such as Test Director, WinRunner, QAPartner, etc.Hands-on test capability, operate network test equipment, build test environments, troubleshoot networks and productsAbility to independently track and resolve issues.Teamwork. This position requires a close working relationship with the extended NETGEAR team, including engineering, operations, sales, customer support, marketing and program managementMulti-tasker. Experience working in a start-up environment.Good communication skills, both written and verbal.BS in Computer Science or Engineering.Knowledge of DSL standards and industry is a plus.Fluency in Mandarin is a plus.","What Our Company Offers:Broad responsibility, autonomy and visibility in a Design Engineering role.In-depth exposure to real-world customer issues on a global basis across a broad set of applications and products.Small-company feel in a growth environment Benefits:Competitive Salary401KMedicalBonus ProgramStock OptionsQualified candidates are encouraged to apply directly to this job posting.  Direct email and phone calls are not being considered.  Thank you for your cooperation.  Please no recruiters.  ",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Networking,Engineering,1
4227,Selling Sales Manager - Legal Services,"CA, BC, Vancouver",,,"Pardon Services Canada is a national legal services company established in 1989.We serve as an advocate for Canadians with a past criminal record, who wish to exercise their rights under the Criminal Records Act of Canada and the Immigration &amp; Nationality Act of the United States, to obtain a Record Suspension (formerly called a Pardon) and US Entry Waivers. Also assisting with Temporary Resident Permits and Criminal Rehabilitation Status for Americans with a past criminal record.","We are an established entrepreneurial legal services company located in downtown Vancouver offering services across Canada since 1989.We require a Selling Sales Manager with business development as well as sales experience. You should have the ability to build a structure and process for a strong client pipeline and the development of tactics to meet high-growth revenue goals. As our Sales Manager, you will be responsible for the overall management of the company's sales organization.You will:Work side by side with your Sales TeamDevelop innovative business processes and relationships that will deliver revenue goals.Recruit, train and motivate the best sales team in the industry.Provide representation at trade shows and other such events.Take a leadership role alongside key executives in the overall planning cycle, including annual business strategy development, product marketing and community leadership.Set and achieve revenue target for the sales team.Lead by example by following up on client leads and closing on prospective clients.Be the driving force in the development of the work ethic, culture and values of the sales and business development team.Identify new and creative methods for prospecting, lead generation and closing business.","Specific Qualifications:Proven excellence as a sales leader with consistent revenue achievement and accurate forecasting.Metrics and analytics guided decision making.Degree in Business or equivalent industry experience.Solid sales background with effective techniques for prospecting/cold calling.Strong communication and interpersonal skills.Knowledge of social media and digital marketing.Call centre experience an asset.Character:Ability to deliver on sales targets/quotas with a successful record of sales growth.Willingness to lead by example by working the phone and closing leads.Resourceful, motivating, with supervisory skills and good at delegating tasks.Proven sales ability in a B2C environment.Confident and professional.Entrepreneurial, creative, big thinker who can embrace change","We offer a base salary of $55,000 with OTE of $80,000+, a family health plan, and the opportunity to work in a bright and comfortable office environment.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Legal Services,Sales,0
8466,Oracle Procurement / Contract Implementation Consultant,"US, NY, Albany",,,"Founded by top technical experts, Arithmica is a Software Development and Services company focussed on delivering the highest level of technical services and solutions to accelerate the business ambitions of its clients.Our Services- Application Services- Enterprise Data Solutions",Looking for an experienced Contract management consultant and implementation consultants for an immediate opening with one of our clients.,Required Skills:Contract management consultant and implementation consultants experience of 3 implementations in Ariba/Emptoris / Oracle iProcure.Oracle Procurement / Contracts module consultant with experience on Authoring Experience.Experience:7-9 Years of experience in Ariba/Emptoris / Oracle iProcure.Local candidates preferred.,,0,1,1,Contract,Mid-Senior level,,Information Technology and Services,Information Technology,0
6561,Optician/Lab Technician,"US, OK, Chickasha",,,,"Optometric private practice group is seeking a full-time Optician/Lab Technician for our Chickasha, Oklahoma location. To apply for this position, please submit your application via this link: #URL_dc6a4e8df8c88cf7bb611c27fadf835b2ea6d40cec837463b39bb6ba9bca8852#?i=MTkz and select the Optician/Lab Technician (Chickasha, Oklahoma) position from the Job Opening drop-down menu.We are an equal opportunity employer.","Responsibilities include dispensing eyewear, reading patient prescriptions, selecting appropriate lens blanks, using equipment to fabricate lenses, inspecting and cleaning lenses, mounting finished lenses in frames, recording relevant information, and communicating with doctors, staff, and patients.","We offer a team-oriented work environment and benefits including: medical, vision, paid training, paid holidays and paid time off. ",0,0,0,Full-time,,,"Health, Wellness and Fitness",Sales,1
3702,OUD: Blogger Business Intelligence 1.,"NL, UT, Amersfoort",,,"SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert ophet snijvlak van controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over eengereedschapskist vol met kennis, extra technische ondersteuning van developers ensoftware op het gebied van business intelligence. Oplossingen die SummaView biedt zijninventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun businessintelligence-activiteiten op een hoger plan te tillen.","SummaView heeft een aantrekkelijke bijbaan voor een student (WO-niveau) die sterke affiniteit heeft met Business Intelligence en daarover wil publiceren.SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert op het snijvlak van Controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over een gereedschapskist vol met kennis, extra technische ondersteuning van developers en software op het gebied van business intelligence. Oplossingen die SummaView biedt zijn inventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun business intelligence-activiteiten naar een hoger plan te tillen.Voor ons Science-team zijn wij continue op zoek naar WO-studenten, die onze passie voor Controlling en Business Intelligence delen en daarover willen publiceren in de vorm van artikelen en blogs. Daarvoor doe je onderzoek naar trends, wetenschappelijke publicaties en weet je zelf ook interessante onderwerpen waarover je kunt schrijven. Het doel is anderen deelgenoot te maken van jouw en onze passie voor Business Intelligence en Controlling. Daarnaast biedt het jou een platform om je verder te ontwikkelen in je passie waar je ook nog een aantrekkelijke vergoeding voor krijgt.","Je maakt als SummaView blogger deel uit van een jong team academici die de top willen vormen als het gaat om het creëren van innovatieve kennis omtrent business intelligence. Wij hanteren daarom een aantal selectie-eisen. Hieronder hebben wij ze op een rij gezet:Eisen:Je bent een WO student (technisch/economisch)Je bent aantoonbaar schrijftalent;Bezit kennis omtrent Business Intelligence, Excel en/of Controlling;Een opleiding richting de technische kant (bijv. informatiekunde, software engineering) is een pré",Je aanmelden als freelancer voor SummaView bied je tal van voordelen:Lid van een bekwame groep jonge academici met BI-passie;Een uitstekend blogtarief;Een goede uitbreiding van je CV;Gezellige en leuke collegas.,0,1,1,Contract,Entry level,Bachelor's Degree,Information Services,Science,0
11727,Customer Service Rep - CL&P Energy Choice Program,"US, CT, Hartford",Customer Service,,"NY Marketing Firm is family-owned and operated right here in New York, NY. Other companies may offer similar services, but our services are the best, and come with a personal touch. We are not your typical advertising agency. We employ a wider range of disciplines that approach the marketing challenge from many different fronts: Branding strategies, CSR , Direct Response, Promotions, Marketing Collateral, Public Relations, Internet Media, Event Marketing, Corporate Identity, and anything else that helps move the sales curve in the right direction. No longer is a marketing plan confined to radio, TV and print. The world is at our fingertip!  Most important, we approach every marketing challenge from a strategic point of view - we stay focused on the big picture, where success is always in sight. We embody the T.E.A.M atmosphere, where Together Everyone Achieves More!!! NY Marketing Firm was founded in June 2010. Since our inception, We have secured contracts with the largest telecommunications providers, cable providers, energy providers, and finance titans. Furthermore, we have expanded our operations and brand to various markets throughout the country. We are growing at a very rapid pace in a time when the economy is receding. Thanks to our phenomenal leadership and assertive marketing strategies we will be moving into new territories in the near future. ""Be a yardstick of quality. Some people aren't used to an environment where excellence is expected."" -Steve Jobs","CL&amp;P ENERGY CHOICE PROGRAM  CUSTOMER SERVICE REPRESENTATIVESTART A CAREER NOW! CUSTOMER SERVICE - FULL TRAINING ENTRY LEVEL  LOCATED IN THE TRUMBULL TOWER, DOWNTOWN HARTFORD PROVIDING PROFESSIONAL, EXCEPTIONAL, AND DISTINCTIVE CUSTOMER SERVICE AND ADVERTISING CAMPAIGNS in Hartford, CT. NY Marketing Firm is an outsourced marketing and advertising company. We are responsible for participating in ongoing market planning and developing new market opportunities for some of the top companies in our area. As a direct result of our ""one of a kind"" approach and success in increasing our client's brand name awareness by attracting new customers and exposing their product to new tiers of distribution, we are experiencing phenomenal growth. We strive to attract and retain the most capable and skilled people. We are looking for team players, natural leaders, and self-starters who are goal-oriented and have an affinity for working with people. Our philosophy and mentoring program supports each individual with the training, encouragement, and opportunity essentials to progressive career growth. Do not underestimate your own qualifications! OPENINGS INCLUDE: - ENTRY LEVEL CUSTOMER SERVICE MANAGEMENT - PROMOTIONS/DEMONSTRATIONS SALES REQUIREMENTS: - PROFESSIONAL IMAGE IS A MUST - ABILITY TO COMMUNICATE EFFECTIVELY ALL GREAT LEADERS WERE ONCE STUDENTS, SO A STUDENT MENTALITY IS VITAL To inquire, please submit your resume!",,,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
5539,Inside Sales Magazine Advertising,"US, CA, Yorba Linda",,40000,,"IMPORTANT: TO BE CONSIDERED, PLEASE SEND YOUR RESUME, ALONG WITH AN Email/COVER LETTER STATING WHY YOU ARE CONFIDENT YOU WILL EXCEED SALES GOALS AT OUR CLIENT!  We appreciate all applications, but will only be able to respond to QUALIFIED individuals. We're seeking a “sales superstar” who will promote and sell print magazine advertising.","Desired Skills and ExperienceThe following attributes describe our ideal candidate: You are an “overachiever” with a proven track record of success achieving sales goals!Youre a confident, ambitious “A-Player” in the top 10% of your industry.You have successfully completed a 4 year degree.You have 3+ years of experience selling advertising, publishing, internet, media or other marketing related services.  As a result, you know the industry and will be able to ""hit the ground running"" once you are familiar with our client's products.You have the ability to “reach” decision-makers and influence others to your point of view!You have a well-defined, consultative sales process and you will be able to ""hit the ground running"" once you are familiar with our client's products.You understand the value of “advertising” and can effectively relate the value of our clients products and motivate buying decisions.You have the ability to identify a customers needs and provide solutions to their problems.You have the ability to articulate ideas and concepts clearly and concisely in a variety of settings while adjusting your message to match the audience and environment.You have the ability to explain the unique benefits of our clients products while challenging the value of the competitors' products.You are excellent at managing data in a CRM and you accurately forecast future sales.You have drive, passion, analytic ability, decision making, perseverance, resilience, integrity, tenacity, and energy that, when applied, snatches success out of the jaws of defeat. You are a persistent self-starter with the ability to make it happen.  You work hard to create opportunities and win sales.You hold yourself personally accountable for achieving the desired results, meaning you don't coast along, run to bosses to solve problems, blame, whine, make excuses, or give up!You are “student of sales,” committed to continuous learning about your industry, products, new technologies and the sales profession.","This full time position includes a COMPETITIVE base salary, aggressive COMMISSIONS, great benefits package and paid time off. They are offering a base salary of 40,000 with first year earnings realistically targeted at $55K, but commissions are uncapped.  ",0,1,1,Full-time,Associate,Bachelor's Degree,Publishing,Accounting/Auditing,0
3529,"Social Media Strategist, Persian Language","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The Social Media Strategist shall properly complete all daily tasks. These tasks include but not limited to: promoting original content (fails no more than twice per week); engaging with audience, opinion leaders and newsmakers (fails no more than twice per week); scheduling posts and news streams in social media platforms(fails no more than once per week).","The Social Media Strategist shall design and execute an organic but aggressive social media engagement campaign for the Martis; the Social Media Strategist shall identify the most popular social media platforms in its area of interest, building the Marti brand accounts on those platform and shall promote original content throughout all social media accounts and engaging with audience, opinion leaders, and newsmakers. The Social Media Strategist shall conceptualize and execute engagement campaigns, tracking audience engagement rates, including likes and shares; shall schedule regular stream of post and news updates in social media platforms, including but not limited to Facebook, Twitter, YouTube, Instagram, SoundCloud, LinkedIn, etc. The Social Media Strategist shall monitor news leads posted in social media and follow up on them with Marti journalists. The Social Media Strategist shall coordinate training sessions in the use of social media for journalists as well as headline writing and news articles positioning in search engines based on SEO (Search Engine Optimization) analysis. The Social Media Strategist shall become familiar with the Agencys Best Practices Guide and shall adhere to its policies.Knowledge of Persian language required. ",,0,1,0,,,,,,0
6348,Mask Design,"US, OR, Portland",,,"RHB Recruitment Ltd is a business process outsourcing company with its state-of-the-art delivery center (existing capacity of 110 positions/ seaters) in the prestigious building of Nexteracom in Ebene City, Mauritius. RHB Recruitment Ltd is a tailor-made and customized portfolio of services to clients in the areas of recruitment, HR and resource management, Bagatelle Recruitment has a unique combination of local knowledge and global expertise. Our focused and committed approach to contract staffing, interim management and permanent search and selection recruitment services, means we always supply high quality candidates to our worldwide client base. .At RHB Recruitment Ltd, we have a highly trained workforce who are multilingual (French and English as main languages) and we enable clients to save up to 50% on costs through global economies of scale, operational efficiencies and good risk management. Coming soon: (1) Expanding capacity to 250 positions by October 2013, (2) Setting-up offices in Africa and Asia, and (3) Constructing a 40,000 Sqft state-of-the-art building in Ebene City by 2016 to provide Business Continuity services to clients across the globe.SpecialtiesRecruitment Services, Contract and Permanent Resources, Staffing Solutions, HR and Compliance Management, Project Resource, Oracle, Business Intelligence, Senior Management, Support &amp; Infrastrature ","This position requires industry experience in analog/ custom digital / Standard cell layout. . Good understanding of  analog concepts along with experience in layout/Mask design of  complex analog circuits is required. Should have worked in Layout of any one of the following is required : Power Management blocks, PLL, PHY,  LDO, high performance ADCs, high speed IO?s or Standard cells, integration and taking the block from specification to release. Should have deep understanding of reliability analysis in layout like EM, IR drop, latch-up, ESD etc. Should be capable of leading a team and should have experience in leading the team and setting up the pdk environment.  Responsibilities will include  floor planning, DRC/LVS verification and fix,  Reliability Analysis and fix, implementation, handling team, customer interaction, debugging skills, talking to vendors for support and closing. Good communication skills. Hands-on experience with Cadence /Synopsys EDA tools for custom layout. The prime responsibility of this position is to either manage a small team of analysts, developers or engineers and drive delivery of a small module of a project ( AD/ AM/ Testing) or serve as entry level specialist with expertise in particular technology /industry domain / a process of a ERP package. If not a module the lead is responsible for functional / technical track of a project.",,,0,0,0,Full-time,,,,,0
10361,Customer Service Associate ,"US, NY, Elmsford",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Elmsford, NY. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Switchboard duties - including phone coverage of a mutli-line phone, light typing, data entry, etcMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeOperate mailing, copy or fax equipmentShipping &amp; ReceivingOrder supplies and update employee listsPick-up and deliver mail, parcels, copy jobs and faxes to customersLift large bundles of mail, overnight packages and shipments of paperHandle time-sensitive material like confidential, urgent packagesMaintain copier equipmentMaintain and replenish coffee suppliesDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesTake direction from supervisor or site managerParticipate in cross-trainingMaintain all logs and reporting documentation; attention to detailAdhere to all safety proceduresPerform other tasks as assigned","Position Qualifications: High school diploma or equivalent (GED) requiredMinimum of 1 year customer service related experience requiredAbility to communicate both verbally and written with customers and company personnel requiredComputer proficiency in email environments, MS Word/Excel or similar programs preferredAbility to handle multiple line phone systemStrong organizational and administrative skills preferredHandling up to a maximum of 55  pounds with or without accommodationsSort and deliver mail, packages, pouches both incoming and outgoingAbility to walk, sit, stand or sit for long periods (possibly entire shift)Ability to adhere to employee attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
4220,Sr. Business Analyst,"US, OH, 43215",,,,"Sr. Business AnalystThe Senior Business Analyst, will focus on the analysis of business processes and the configuration of ERP and other business applicationsResponsibilities also include assessing needs and formulating solutionsany/all ERP related projects and modifications, including requirements gathering, development and implementation.Collaborates with functional end-users and decision makers to establish ERP systems goals, as well as to identify and resolve business systems issuesServes as a liaison between the business, technical staff and third party as vendor necessary for ERP and other business applications related projects.3rd Party logistics -Supply Chain/Procurement/Warehouse Management/DistributionDOD/Government/Marine Corps/Security Clearance preferredERP - SAP/Oracle10-15 year experienceHeavy global travel for the first 6 months, 2 weeks on-site and remote thereafter.Heavy Documentation/Writing Skills required.Bachelors degree in either business administration or computer science with concentration in accounting and finance and related applications. Relevant Microsoft certifications highly desirable. maintains confidentiality","Government experience, Top Secret Clearance a bonus3rd Party Logistics: Supply Chain/procurement/warehouse management/distributionDOD, Marine Corp, GovernmentERP, Lead experience SAP/OracleConsulting experience - heavy travel 2 weeks at client ",,0,0,0,Full-time,Director,Master's Degree,Computer Software,Business Analyst,0
14849,Network Security Engineer,"CA, QC, Montreal",,,"We design, deploy, and maintain advanced technologies that enable our customers to achieve operational excellence at substantial OpEx savings. Our core strengths include a concentration on networks, their security &amp; optimization with a laser focus on redefining the fulfillment experience. We are driven to add value at every step by providing access to inventory, streamlined credit, integration with your procurement organization and real time status information. These are all reflective of our value-added philosophy.We have developed solutions for leaders from Wall Street to the Web. What makes us different is our concentration and commitment to exclusive relationships with leading vendors.","We are looking for a highly driven individual to join a fast-paced, dynamic and challenging environment.  The position requires a varied combination of technical skills and soft skills, which helps in furthering career development.The ideal candidates will be responsible for designing, architecting and engineering cutting edge network security controls.  The candidates must also demonstrate the aptitude to work with teams, who will be responsible for, ranging from firewalls, IDS, IPS, NBAD, and Network Malware Products.In addition, candidates must demonstrate the ability to work in a global team environment.As the Network Security Engineer, your responsibility will include, but are not limited to, the following:Gather technical requirements, marketplace evaluation and lab evaluation of new products.Develop business plans to help justify product selections and its security value to the senior management.Construct global architectures to provide scalability and high opportunity for new products, as well as define cost in order to finalize a proposal.   Provide rapid support for challenging troubleshoots as required.  Operate as a senior SME when essential.Conduct rigorous certification testing of infrastructure demonstrating interoperability with other technology in mission critical plants.Provide support with the Security Architecture process, by informing architects on the technical capabilities of systems and infrastructure.Provide solution engineering for large/complex deployments of an existing product, where expert technical guidance is required beyond that implemented by the deployment team.In case of difficult technological problems, manage and lead the responsibility.Build products and solutions to improve customer services and clients' needs.Ensure a good operational handover of products by creating and supplementing detailed documentation.Cross-analyze global strategies with regional needs and demands.Develop road map format and articulate product vision.Conduct training for teammates, as well as counterparts from Security Technology and Security organization.Maintain strong network within the industry with access to best practices, enables us to make informed decisions in selecting products and accelerating the engineering process.","Qualifications:5-10 + years of Network Security Operations and Network Security Engineering experience.3+ years of experience in operation engineering. Background in Financial Services industry is a plus.Bachelor's Degree or Master's Degree in Information Technology, Computer Science or a related discipline.Must be fluent in English.Skills and Experiences:Must possess strong conceptual knowledge and demonstrate in-depth understanding of the following:Firewalls (Juniper NetScreen/SRX, Palo Alto, Fortinet, Cisco ASA or Checkpoint firewalls).Network Security (IDS, IPS, SourceFire, IBM-ISS,etc.). (IPS)  SourceFire, IBM-ISS etc.).NBAD (Network Behavior Anomaly Detection)  Lancope.Network Malware Controls  FireEye, M86, etc.Firewall Policy Modeling Tools  RedSeal, Tufin, Skybox, etc.Testing Tools  IXIA, Spirent, etc.Must have the capability to write/debug administrative and reporting tools in the following programming language: Perl/Shell or Python desired; Java, C++, Ruby or related programs.Interpersonal Skills:Must be able to demonstrate problem solving/analytical skills.Strong presentation and written skills.Able to take initiative and interact with all levels of management.Confident with the role as an engineer; provide escalated support in operations, and ability to coordinate with other engineering groups for solutions and products.","Fivesky is an equal opportunity employer and will consider all applications without regard to race, sex, age, color, religion, national origin, veteran status, disability, genetic information or any other characteristic protected by law.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Networking,,0
9994,Executive Assistant (Full-Time) for Tech Company in Midtown,"US, GA, Atlanta",,,"352 Inc. is a full-service digital agency creating websites, software and marketing campaigns. We partner with great clients to build smart solutions that drive results. To do that, we believe everything starts with inspired people, and that's where you come in. Digital experiences that define your business. Designed to delight. Built to grow.","352 Inc. is a full-service digital agency in Midtown Atlanta that creates websites, software and marketing campaigns. We partner with great clients to build smart solutions that drive results. To do that, we believe everything starts with inspired people, and that's where you come in. We're looking for an Executive Assistant to keep our executive team running efficiently and our office culture strong.Specific duties will include:Managing expenses, travel and schedules for our executive team and others in the companyOrganizing the office and keeping it well stocked with supplies and snacksCoordinating meeting and eventsManaging special projects as neededEntering data into Quickbooks and other software as neededServing as a receptionist as needed and greeting visitors with a big smile","QualificationsExperience as an Executive AssistantPassion for and understanding of technologyDesire and ability to represent our company in the communityExperience with Quickbooks and all standard Office softwareOrganized, detail-oriented and manages stress wellNo criminal history and good credit (we conduct background checks)","What Youll GetFreedom: We trust you to do your thing and do it well.Education: We have a sizable conference budget for you to get out there and learn. Got invited to speak? Even better.Bonuses: We reward good work. Enough said.Benefits: Health and dental insurance provided, employer-match retirement program. See more of our benefits. Our ValuesInspiration: Were fascinated by the industry and what we can do with it.Teamwork: The best results come from collaboration.Relationships: We care  a lot  about our teammates and our clients.Smart Solutions: We do things the right way, not necessarily the easy way.Celebration: We celebrate your wins, whether its exceeding clients KPI or winning H-O-R-S-E.",0,1,1,Full-time,Associate,Unspecified,Internet,Administrative,0
428,Manchester Doctors' Business Admin Apprentice Under NAS 16-18 Year Olds Only,"GB, MAN, Manchester",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds only.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then you will be kept on in a permanent position.You will working in a busy GP office and the role will involve:-Filing and faxing-Photocopying-Data inputting-Answering the phoneIdeal candidates will be IT literate and willing to learn.If you are career-minded and motivated please apply now.,Government funding is only available for 16-18 year olds.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,"Health, Wellness and Fitness",Administrative,0
410,Producer - Specialist Publications,"GB, LND, London",,30000-40000,"PEI Media (#URL_4cffe430b8da4c0d7e6074d11e638d28a1e165c8782b891e111fc17606c3d961#) provides a range of highly respected publications and market leading events to its financial sector audience. The leading information provider in private capital markets, PEI Media also has a strong international presence, with offices in London, New York and Hong Kong.","PEI Media (#URL_4cffe430b8da4c0d7e6074d11e638d28a1e165c8782b891e111fc17606c3d961#) provides a range of highly respected publications and market leading events to its financial sector audience. The leading information provider in private capital markets, PEI Media also has a strong international presence, with offices in London, New York and Hong Kong.As Producer, Specialist Publications, you will be responsible for selling sponsorship of PEI books and research papers, developing and delivering commercially viable projects and contributing to the Company's global books and research business.You will work closely with colleagues in the research and books team in both London and New York to develop proposals for sponsored books and research papers. You will also be responsible for attracting,recruiting and maintaining strong relationships with highly respected industry speakers who will in turn sponsor and contribute towards projects.The role will require you to develop a books and research papers audience as well as undertake research and approve new publications.Given the nature of the role, you will be expected to keep up to date with the latest trends in the alternative assets industry.","You will have some experience in professional book commissioning. You'll also be confident at building and maintaining relationships with key industry leaders and utilising those relationships effectively.Our ideal candidate will be a target driven, highly organised and proactive individual. You will also be able to demonstrate proven project management skills as you will be responsible for managing multiple projects at any one time.An understanding of financial markets/alternative assets is desirable but not essential.This role will involve regular travel across the EMEA region for both research purposes and conferences.","In addition to an excellent salary and benefits package, PEI offers highly rewarding career development opportunities to talented individuals, with the prospect to develop your career within the PEI group both in London and internationally.Interested? Then please submit your CV by clicking on ""Apply for this job"" below...",0,1,0,Full-time,Associate,Bachelor's Degree,Publishing,Sales,0
16175,Manufacturing Engineering - Lean Manufacture,"US, PA, Philadelphia",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)EDUCATION: 4-year degree required; Mechanical, Electrical or Industrial Engineering preferred.REQUIRED SKILLS:5+ years of manufacturing experience;5+ years of supervisory experience preferred;Strong working knowledge of Lean Manufacturing methodologies.(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#",,,0,0,0,Full-time,,,,,0
826,Project Controls Technician,"US, CA, Bakersfield",Oil & Energy,,"Process Unlimited and Refined Resources have partnered up in an effort to streamline the hiring process and provide a more efficient and effective recruitng model.  Our focus is to help develop and achieve your career goals while makeing a solid geographical, cultural and professional fiit when leveraging your career into your new and exciting professional venture!Please direct all communications to the HR Department within Refined Resources (#URL_481fc4262f0c07ace903481f1e036034d0bf128e0a91a2313344f34a26bca732#a).  #EMAIL_0b13a2cfd4718ce252c09b2353d692a73bd32552e922c5db6cad5fb7e9a2c6c3#Darren Lawson | VP of Recruiting | #EMAIL_395225df8eed70288fc67310349d63d49d5f2ca6bc14dbb5dcbf9296069ad88c# | #PHONE_70128aad0c118273b0c2198a08d528591b932924e165b6a8d1272a6f9e2763d1#","Essential Duties and Responsibilities:* Assist in developing the engineering cost estimate per Job Definition Document.* Develop the project schedule in alignment with the scope.* Able to understand and comprehend the major process steps involved in the project.* Understand standard project deliverables such as: Process Flow Diagram,PID, Area classification drawing, electrical one-line drawing, etc., and major tasks involved in generating each deliverable.* Assist in developing the project execution plan.* Interface with the client and develop rapport.* Monitor and track project budget and schedule.* Perform budget and schedule variance analysis at set intervals during the project execution phase to help project leads and clients track the project progress. Investigate project cost and schedule variances.* Effective communication skills - with the client and interdiscipline personnel within the company.* Perform earned man-hours calculations to estimate the real progress of the project.* Follow and implement standard project procedures per Project Procedure Manual.* Soft skills to interact with multidiscipline technical staff and promote team spirit to execute the project successfully.* Generate project reports, weekly work plans, etc.* Generate Design change orders for applicability to cost and schedule control, both with respect to original scope and fees.* Assist in project closeout with project leads.","General Qualifications:Excellent communication skills is required.Must have a desire to learn, work in a team environment, a and have a winning attitude. Experience Required:Project Control Professional 10+ years experienceProject Control Specialist 5 to 10 years experienceProject Control Tech 1 to 10 years experience Software Experience: - MS Project, requiredPrimavera 6 a plus, but not requiredMicrosoft OfficeExcelMS Word Experience Preferred:Project Controls experience for small to large projects from conception, through construction, to closeout. Required Education:High school diploma rquired, with an accounting or business degree preferred.","* Clean, safe, and enjoyable working environment with a great company culture that values work/life balance.* Competitive base rate + Incentives + Full Benefits Package + Retirement 401K 100% matched by company.* Relocation Assistance. * Signing Bonus.* Opportunity for Advancement.* Trips, outings, rafting trips, lunches, client appreciation get-togethers, company parties, great people, great culture! ",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Oil & Energy,Accounting/Auditing,1
7166,Registered General Nurse (RGN Adults),"GB, , See the Requirements section for areas and locations available",Healthcare (Acute),,"NL Group supplies specialist healthcare recruitment and staffing solutions to the NHS and private healthcare providers in Yorkshire, building a far reaching reputation for being a skilled, cost effective and reliable healthcare recruiter.Since our humble beginnings NL Group Healthcare has become one of the most respected specialist nursing and healthcare recruitment agencies in Yorkshire, Lincolnshire and North of England, dedicated to understanding our clients particular needs and surpassing their expectations.Offering a tailored service of only the highest quality to the clients we serve and the workforce we manage, promoting only competitive rates whilst upholding our commitment to excellent levels of service, carefully selected, qualified candidates for each nursing and healthcare vacancy we fill.","If you want to work in an environment that's interesting, rewarding and challenging, a career in nursing has plenty to offer. Nurses form the largest group of staff in the NHS and are a crucial part of the healthcare team. Nurses work in every sort of health setting from accident and emergency to patients' homes, with people of all ages and backgrounds.As an adult RGN nurse you will work with old and young adults with diverse health conditions, both chronic and acute. You will juggle numerous priorities and use caring, counselling, managing, teaching and all aspects of interpersonal skills to improve the quality of patients' lives, sometimes in difficult situations. You will be responsible for assessing patients on general medical and surgical wards; planning and implementing care for adults, providing specialist advice and maintaining associated records and carrying out specialist nursing procedures when required.Key job summaryAssesses patients, plans and implements careProvides advice and maintains associated recordsCarries out nursing proceduresMay provide clinical supervision and managerial leadership to other staff, studentsWorks within codes of practice and professional guidelines.We are looking for Registered General Nurses that are keen to provide outstanding care to patients within challenging and busy environments.","To work as a nurse in the NHS, you must be registered with the Nursing and Midwifery Council (NMC), which means you'll need a degree in nursing. You will also be caring, compassionate and have a commitment to helping people.You'll also need to be be able to communicate difficult health issues effectively and courageously.Successful candidate will currently be living in the UK, have effective communication skills, excellent attendance record and ability to work under pressure. A minimum of 6 months previous work experience within NHS hospitals and ability to provide 2 professional references are essential.If the above sounds like you, we have multiple and varied shifts covering full-time and part-time hours which cover both day, night and weekend work available at the following locations now:Doncaster &amp; Bassetlaw HospitalsHull &amp; East Yorkshire HospitalsNorthern Lincolnshire &amp; GooleLeeds Teaching HospitalSheffield Teaching HospitalsYork Teaching HospitalUnited Lincolnshire HospitalsSelby &amp; District War Memorial HospitalBarlborough Treatment CentreDerby Royal HospitalNottingham University NHS TrustDisclosure and Barring Service CheckThis post is subject to the Rehabilitation of Offenders Act (Exceptions Order) 1975 and as such it will be necessary for a submission for Disclosure to be made to the Disclosure and Barring Service (formerly known as CRB) to check for any previous criminal convictions.","We like to think that our greatest benefit is the friendly, efficient and personal service we offer each of our agency employees, closely followed by the understanding of how important it is to have a work and home life balance, sourcing you work for the number of hours you need.Salary Expectations:  £25.00 - £34.00 per hour (dependant on shift pattern)We also offer:Top market rates rates of pay &amp; flexible hours and shift patternsFREE mobile app (more details below)Weekly Payroll with Limited &amp; Umbrella Company optionsDiscounted Mandatory TrainingOn-going training and personal developmentOptional stakeholder group pension schemeUsing our FREE mobile app you can control all aspects of your rota:Access your rota 24/7 on your smartphone using the free iPhone and Android appsLogin to the online version in any modern web browserCreate and control your availability for workPick up Open Shifts 24/7Request time offSync your rota to your own calendar using the iCal integrationLink your rota to Facebook using the social integrationView maps and directions to any of your booked shifts“Equality of opportunity is our policy, irrespective of age, disability, gender, race and ethnicity, religion or belief and sexual orientation”.",0,1,1,Temporary,Not Applicable,Certification,Hospital & Health Care,Health Care Provider,0
13775,Human Resources and Office Manager ,"US, CA, Santa Monica",,,"TaskUs provides outsourced customer care and back office support to more than 100 of the worlds fastest growing companies, allowing them focus on their products and services while we scale their operations. By helping our clients grow, we offer a competitive advantage that is second to none. Founded in 2008, TaskUs employs more than 1,000 people internationally, including a team of consultants at its headquarters in Santa Monica, Calif. And teammates in the Philippines. In 2013, Inc. Magazine recognized TaskUs as the fastest-growing outsourcing company in America.","TaskUs is seeking a scrappy HR Manager who is comfortable moonlighting as an Office/Culture Admin and who is committed to building an exceptional employee experience for our rapidly growing startup.This dual role will include building and managing TaskUs Human Resources policies and managing the day to day operations of TaskUs HQ.Human Resources Management will include:Handling all employee on-boarding and training: Implementing training and development programs for the organization.Adhere to best-practices while maintaining personnel files.Maintaining the TaskUs Employee Handbook and other policies related to employment and making sure we are in compliance with current and upcoming regulations.Managing the quarterly and annual 360 appraisal process.Assist in preparing bi-weekly payroll in coordination with Finance.Overseeing our company wide benefit programs.Assisting with future recruitment efforts as needed. Office and Culture Management will include:Being the first friendly face for all office visitors and employees.Provide frequent High Fives. Verbal High-Fives are nice, too.Anticipate and manage the office inventory needs while keeping within allocated budgets.Maintaining a clean and creative workplace, which includes scheduling janitorial, gardening and catering servicesSupporting employees with inventory and IT requests.Assist in the expansion of our office into an adjacent space and manage vendor relationships.Juggle office related errands while planning our amazing office lunch experiences, parties, happy hours and the occasional yacht adventure. ","EDUCATION: BA/BS required, MBA highly preferred.EXPERIENCE: 3-5 years of related work experience in general human resources.Proven knowledge of human resources regulations, particularly California employment practices, and a desire to continually keep updated on industry trends and changes.Experience leading performance management programs and benefits administration highly preferred.Past involvement with recruitment efforts, ideally, across various divisions and seniorities.Experience with Gmail/Google Docs and related software.Familiarity with current internet, technology and start-up culture/trends is highly preferred. Key Competencies:Self-starter and problem solver.Ability to be attentive and patient simultaneously with the willingness to learn.Detail oriented and process driven.Fun and energetic!Ability to work in an ambiguous, unstructured environment and pivot against priorities quickly and efficiently.","TaskUs is where people who are fed up with the status quo come to thrive. We are a collective of doers who believe that even if something isnt broken, it could still do with some fixing. Our attitude to continuous improvement is just one of the beliefs that emanate from our core values  the backbone of our company and our culture.Together we believe we can create an organization that is unlike any other were well on our way to doing it. From Washio credits to mindfulness meditation lessons, an environment of total transparency and a relentless focus on experimentation, were creating a 21st Century organization destined for big things. Come join us.  Highlights:TaskUs is Powering LAs Tech BoomThe StartUp Worlds Little SecretThe Anonymous App PoliceOur Story",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Outsourcing/Offshoring,Human Resources,0
3435,Mobile App Administrator,"GB, NYK, Harrogate",,14000-16000,"Brand Apps is a exciting, young company that creates mobile apps to help small businesses promote themselves.  We are passionate about the mobile world and dedicated to promoting the benefits that this emerging technology can bring to ordinary businesses.","Brand Apps is a Harrogate based mobile app company offering small businesses a dynamic marketing tool to help them promote their business.We are currently recruiting for Mobile App Administrators who will prepare content based on the requirements of our clients and use web-based software to create the app, selecting the appropriate features, layouts and colour scheme.Excellent communication skills over the phone are required as you will be in contact with the client establishing their aims, collating information and providing demonstrations and tips on how to maximise the effectiveness of their app.","The ideal candidate will be able to plan and manage their own workload, have some artistic/creative flair, good attention to detail.  Solid IT skills and the ability to communicate well both verbally and in writing are essential.Any experience of design software such as Photoshop, Fireworks or Illustrator would be an advantage but not necessary as training will be given.","A salary of £14,000 - £16,000 is offered, along with 20 days holiday plus bank holidays.",0,1,0,Full-time,Not Applicable,Unspecified,Marketing and Advertising,Accounting/Auditing,0
8076,Open positions,"US, , ",,,"Outstanding Member Service Starts With Outstanding PeopleIf you are committed to the concept of “above and beyond” and enjoy interacting with people either in person or on the telephone, then we want to talk to you.Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.","Would you like to work for a trusted organization that values its employees?  A USAlliance career is a journey that starts with a positive, productive, and engaging workplace where employees are valued and respected.",,"Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.",0,1,1,,,,,,0
3547,Financial Manager,"FR, J, Paris",,,"We Build Great CompanieseFounders is a startup studio focused on building fast-growing SaaS technology companies. We believe that with simple ideas, great talents, some money and a lot of effort, we can build prosperous companies. Our goal is to co-found 2 companies per year and to help all our existing companies grow faster by pooling resources. ","eFounders is a startup Studio. Together with entrepreneurs, we turn unique ideas into successful companies. We act as the perfect co-founder to build strong and independent startups.So far, eFounders has launched 6 companies: Mailjet • Textmaster • Mention • Front • Aircall • PresskingAbout the opportunityWe are looking for an exceptional talent to join us as Financial Manager. You will work for both eFounders and the startups in the studio throughout their development. The job requires strong financial skills, a good knowledge of the startup / web ecosystem, especially from the investment point of view. Working with eFounders, you will learn from experienced and successful entrepreneurs and you will be part of a strong family shaping the future of startups.ResponsibilitiesBe an evangelist of eFounders studio model to the investment community (Angels, VC)Structure eFounders financial model and build relationships with LPsAssist our startups on fund raising aspects: business plan, investor pitches, KPIsAssist our startups on legal aspects, due diligences, financial negotiations","The ideal candidateYou have 4-7 years of experience in Private Equity / Venture Capital or M&amp;A boutiqueYou are experienced in LP fundraising (family offices)You have a large experience in the Early Stage &amp; Series A (tech) venture financing environment (Paris, Berlin, London, USA)You have strong analytical skills and data-driven approachYou are interested in startup business with a passion for building great software productYou have abilities to lead and convince all stakeholders (team, clients, press, investors, etc)You are always looking to go the extra-mile &amp; highly self-driven as its an independent roleYou learn fast, are a problem solver and have a strong business senseYou are an excellent coach to CEO",,0,1,1,,,,,,0
6610,Tax Accountant,"US, IL, Highland",,50000-60000,StepBeyond Solutions Inc. Talent Acquisition &amp; Search Consulting The StepBeyond talent acquisition system includes a network of experts in their fields. StepBeyond utilizes the latest technology in marketing system management. ,"Responsible for reviewing and analyzing financial data, ensuring compliance with tax regulations, and preparing business tax filings. In addition, developing/maintaining corporate tax compliance programs and serving as the Companys primary contact with various state and local tax authorities.Reports to: Accounting Supervisor  Tax &amp; CreditEssential DutiesIdentify compliance (registration) requirements of various jurisdictions, both domestically and internationally, and coordinate registration as needed. Communicate accordingly with Companys international locations.   Prepare monthly/quarterly/annual schedules and returns for all business requirements and taxing entities. This includes, but is not limited to, sales, franchise, property, and income taxes, as well as unclaimed property and census reporting, while working closely with external CPA firm(s).Research current and changing domestic and international regulations/laws to ensure compliance for all company property, sales/use, franchise, and all other business taxes.Coordinate in-house audits of invoicing and accounts payable transactions for compliance with tax laws. Assist in the completion of field audits by working directly with state auditors to supply required documentation, explain Basler procedures, and defend Company position on applicable tax issues. Review general ledger accounts which pertain to tax liability and accruals. Recommend new and/or changes to accounting policies and procedures. Plan and coordinate training for accounting staff and other Basler personnel on sales/use tax issues. Participation in monthly and year-end closing responsibilities for domestic and international Company locations as assigned.Responsible for various international general ledger accounting, activities, and analysis.Act as utility backup for the Credit &amp; Collections Analyst functions. Other projects/responsibilities as assignedRequirementsBachelors degree in Accounting/Business.Minimum of three years accounting experience.Experience with various types of business taxes is preferred.Ability to apply a broad knowledge of accounting principles, practices, and procedures.Proficiency in use of Microsoft Office® programs, specifically Excel.",,,0,1,1,Full-time,Associate,,Electrical/Electronic Manufacturing,,0
5859,Media Sales Manager - In New Media Advertising (DOOH),"GR, I, Athens",PMSA10035,12000-17500,,One of the growth successful interactive accountable digital networks broadcasting DOOH interactive entertainment is seeking a talented senior most advertising sales executive to function tactically and strategically as the firm enhances their ad sales monetization channels by building a solid national digital advertising sales organizationResponsible for generating Advertising Sales across the NetworkCreating greater visibility and exposure throughout the Advertising community and with national advertisers.Integrate the Advertising Sales department with company resources to ensure maximum leverage of Network. ,"An excellent strategic sense with the ability to function tactically and grow an existing Ad Network. A sales executive who Creativity can work with Marketing to develop innovative advertising programs that enhance advertising sales.An entrepreneurial approach to business and an understanding of the challenges and opportunities of working in a high growth mid-size company.Well established contacts with both corporate accounts and Advertising Agencies.Extremely strong written, verbal and presentation skills.Detail oriented with the ability to manage multiple projects simultaneously.A Bachelor's Degree is required. Advanced degree preferred. Minimum of 3+ years experience in Advertising Sales with National digital / online advertising sales.An understanding of Out-Of-Home and Digital-Out-Of-Home National Ad Sales would be ideal to augment a track record of online advertising sales success. ",,1,0,1,Full-time,Mid-Senior level,Certification,Marketing and Advertising,Sales,0
15069,NE9 5AN Business Admin Apprenticeship available under NAS 16-18 year olds only!,"GB, , Gateshead",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",This is fantastic opportunity for someone wanting to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position. You will be working for a Legal assistant company and the role will involve: -Answering the phones-Organising diaries-Filing-Helping members of the team complete tasks Ideal candidates will be computer literate and have excellent communication skills. If you are honest and hard working send your CV to #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee#,Government funding is only available for 16-18 year olds as this job is an apprenticeship. ,Future prospects,0,1,1,,Not Applicable,High School or equivalent,,,0
5213,Compensation Analyst,"US, NC, Charlotte",Human Resources,,,"Compensation AnalystLocation: Charlotte, NCSalary Range: TBD w/RecruiterBonus: TBD w/RecruiterRelocation Offered: NoTravel: NoneJob DescriptionThe Compensation Analyst will work in partnership with the HR Manager and team to develop and manage a compensation approach, compensation data integrity, and compensation processes. Will work closely with and receive guidance from the HR Manager, but will have the opportunity to develop and “own” compensation processes.  Develops, implements and administers compensation, such as salaries, short- and long-term incentives, job evaluations, salary increases, salary surveys, and long- and short-term incentive plans. May provide services in Executive Compensation, International Compensation, Sales Compensation, and other specialized areas of compensation. Primary Responsibilities:Develop and manage job descriptions and classificationsResearch industry standards for job progression and compensationConduct salary surveys, examine predictions for market changes in salary ranges, and interpret salary dataParticipate in the communication and implementation of total rewardsProvide guidance and insight on compensation-related issuesManage data integrity associates with compensationProviding support for all compensation- and data-related projects and processesHelping the team develop and build new and creative compensation metrics and analysis to support business goalsMaintaining compensation data in our systems on an ongoing basisProviding analytical support across HR and the company though regular and ad-hoc analysis and reporting as neededRun and analyze reports, assist in developing KPIs and reporting on themPartner globally on compensation data and keep up to dateGather and manage RFP compensation data requests","Mandatory Qualifications:BA/BS in HR or related fieldWorld at Work studies a plus.  CCP or CSCP a strong plus5-7  years of experience within a Human Resources function with a focus on compensationFamiliarity with laws and regulations associated with affirmative action, fair labor standards, veterans' preference and salaries as wellAbility to partner with individuals from multiple departmentsDetail-oriented and organizedStrong analytical, verbal and written skills",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,,Human Resources,0
12314,Full-Stack Developer (.NET MVC),"US, PA, Philadelphia",Web Development,,"WebLinc is the e-commerce platform and services provider for the fastest growing online retailers. WebLincs modern, agile technologies and strategic expertise empower companies running global, omnichannel commerce operations, and enable retailers to consistently out-pace the competition.Our industry-leading eCommerce platform enables us to create solutions that are a unique reflection of your brand, your customers, and the experience you want them to have.Thats why WebLinc was a natural a choice for both Nasty Gal, a high fashion retailer, and The W. Diamond Group, the purveyor of some of the most iconic and traditional American apparel brands. Its why Healthy Directions chose WebLinc to sell to its technology-averse customer base and Deep Discount used WebLinc to design and develop a site for its base of tech-savvy customers.While we always start by getting the online shopping experience exactly right—thats just the start. WebLinc backs that up with merchandising tools that increase traffic and page views. A discount and promotions engine that leads to higher conversions. Recurring order tools to drive sales through easy repeat purchasing. And did we mention our innovative mobile and social integration capabilities?Lets face it, in eCommerce there are very few second acts. Its all about capturing and holding on to customers the first time. You need to deliver the right experience, right away. WebLinc understands that, arguably better than anyone else. Thats because we have been creating successful online strategies for longer than almost anyone else—since 1994.We pride ourselves on being eCommerce leaders and innovators. The same goes for our clients. They stay with us because the innate flexibility of our WebLinc platform keeps them at the cusp of the ever-changing eCommerce curve. Clients dont outgrow our platform because our platform grows with our clients.Ask any of the clients mentioned above. Ask Free People, BHLDN, AAA, Bausch &amp; Lomb, Universal Companies, Deep Discount, Football Fanatics, #URL_0ce492aaec0f25afb9266ff36c8e180f940b9e56aa60449d71208dda77fbef9a# and scores of others.An eCommerce leader proven at some of the most demanding and innovative online retailers and wholesalers—Why settle for anything less?","Love using code to solve problems? Were looking for a full-time software developer to join our team. This web-developer will help with ongoing development (major features still to be done) on projects using cutting edge technologies in the .NET ecosystem for one of our clients. Working in a team environment, this role requires working closely with a senior tech lead and will have abundant opportunities for client interactions, as well. Once established, this role will also have opportunities to contribute to Rails-based Ecommerce projects.",Required Skills:- Demonstrated ability to solve technical and people problems- Some experience with object-oriented or functional programming- Some experience with front-end development (HTML/CSS/JavaScript)- Passion for the craft of software development and a strong desire for learning better ways of solving problems- Good written and verbal communication skillsTechnologies and techniques we use on this project:- #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# MVC/C#- Service-Oriented Architecture (NServiceBus)- Domain-Driven Design/CQRS- JavaScript/jQuery- SASS or LESS or something like that (Coming soon!)- Automating repetitive tasks,"Health &amp; WellnessMedical planPrescription drug planDental planVision planFlexible spending accountShort-term disabilityLong-term disabilityGym reimbursement FinancialCompetitive salariesReferral and ad-hoc bonusesSimple IRA with company matchLife insuranceTransit reimbursementCollege savings plan (529)Mobile phone and plan reimbursement Work &amp; Family LifeFlexible hours9 paid holidays2+ weeks combined vacation, sick and personal daysCompany trips/outingsWebLinc Day!",0,1,1,Full-time,Not Applicable,,Internet,Information Technology,0
8051,Junior Pa ,,,16000-18000,,"Are you aged 16 to 21 and looking to get a flying start in your career? Our new Youth On-Boarding Programme aims to equip you with the skills needed to start a successful career whilst gaining invaluable experience in an exciting organisation.Junior PA's &amp; Executive Support Staff within FinanceThe position will be a full time role on a minimum 1 year contract within a Finance OR a start-up organisation. The role will include a 3 'on-boarding process' where you will receive fortnightly training out of the office on the below topics AND have your own mentor throughout the placement.The placement will begin in March, with fortnightly training out of the office covering the following topics:- Financial Markets (full day)- Business Writing Skills (full day)- Outlook Training (full day)- Travel Management (full day)- Management &amp; Organisation (half day)- Event Management (half day)- Effective Partnerships Between Managers &amp; PA's (half day)- Time Management (half day) We are holding an open day to meet all applicants in person &amp; to tell you more about our programme and how it can benefit your career.",16-21,,0,0,0,Other,Not Applicable,,Financial Services,Administrative,0
17487,Android Developer,"FI, , Helsinki",,,"We are a startup that focuses on innovative and trend-setting mobile services. Our solutions add a new exciting dimension to the way people create and share mobile content.ClipMe mobile application allows users to create 15-seconds videos together with friends. With ClipMe, users can record a video and invite their friends to continue recording. The invited friends will get a push notification and can simply add clips to the video with tap of a button.We are a multicultural team that is passionate about what we do. Join us to work with fresh business minds, crazy awesome developers and top designers.","We are looking for an Android Developer to work on ClipMe Android application with a team of fresh business minds, crazy awesome developers and top designers. This is a great opportunity to be part of a promising start-up.You must be pro-active, as many of the things you will be doing will require high level of personal responsibility and ability to make good judgment. But you will not be alone. So dare to join us!ResponsibilitiesDevelop and maintain ClipMe Android applicationCollaborate with cross-functional teams to define, design, and deliver new featuresImprove application performance to ensure top level user experienceContinuously discover, evaluate, and implement new technologies to maximize development efficiency",Solid knowledge of Android application developmentExperience with third-party libraries and APIsDesire to make pixel perfect apps that look great on any screen sizePassion for building great apps using the latest technologiesPrevious experience of published App to the Google Play StoreKnowledge of  video editing technologies is a big advantageFull of energy and desire to work in startup environment,,0,1,0,Full-time,Mid-Senior level,,Information Technology and Services,Information Technology,0
2997,Dev Ops,"US, NY, New York",Engineer,,"Namely is the leading end-to-end HR and payroll platform for growing companies. Offerings include human payroll, benefits administration, time management, human capital management, performance management, and employee engagement. In addition, each company is assigned its own account manager, available 24/7 for ongoing support and training for each of Namelys features. Namely is used by some of the worlds most innovative and exciting companies from many industries, including media, technology, commerce, and professional services.","Job Description:Namely is a NYC based, agile startup dedicated to building and creating people management solutions for businesses of all sizes, including ad agencies, media companies and tech firms. We have active, paying clients using our product who are enthusiastic and excited to be involved in the features we are building.We are looking for a skilled devops to contribute ideas, innovation, solutions and code to our product.Engineers work out of Greenpoint, Brooklyn.","Highly desired skills:Experience building, shipping, and maintaining web apps on the server level with an OO language and framework.Experience with provision management systems like Chef, Ansible, Salt, Puppet, etc...Experience with Amazon Web Services, especially EC2Knowledge of best practices for securing web serversNice to have skills:Experience using DockerExperience using git and GithubExperience deploying Ruby on Rails",,0,1,1,Full-time,,,,,0
4396,Sales Training Manager,"GB, , ",,,"Aquira help businesses sell more. Lots more. We do this through a range of tailored direct marketing services, high quality data solutions, lead generation and end-to-end outsourced sales. We work with a wide range of big brand name companies across all sectors, delivering profitable customers every time. In fact, things are going so well that we've opened a state-of-the-art site. It's called our Sales Lab. And it's in Glasgow city centre. We are looking to recruit talented and passionate individuals in the following areas: Sales Lab based roles including Outbound Sales Advisor and Team Manager, Dialler Management, MI / Data Analysts, Professional opportunities including HR, Finance and Administration At Aquira, were looking for great people. Good isnt good enough. Call us on #PHONE_351bffd1fb9279f982d96079a2090e49e56454b165d938e5fe464b7ea96a18ba# to find out more.","This is an exciting opportunity for a successful Sales Training Manager to join our rapidly expanding team in Glasgow.Aquira help BIG BRAND companies sell more. Lots more. As a result of the continual growth, we are looking to recruit an experience Sales Training Manager.Reporting to the Head of Site, the Sales Training Manager will be responsible for developing and effectively deliver the Training strategy through delivering and embedding the end-to-end training for all employees up to management level. This includes but is not limited to new-hire induction, client product and process, soft skills, sales skills, management development and technical skills.Join us now and be part of our success!To apply, please attached a CV and detail your salary expectations.",The Ideal candidate will have:CIPD qualified or an equivalent training qualificationprevious experience within a sales environmentat least 3 years training development and delivery experience,,0,1,0,Full-time,Associate,Unspecified,Human Resources,Training,0
11568,We are recruiting fragrance and beauty promotional staff in Manchester,"GB, MAN, Manchester",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","We are currently recruiting for exciting Sales &amp; Customer Service roles. We are looking for candidates with a passion for sales, beauty and fragrance who are enthusiastic and driven.The ideal candidates will have the ability to demonstrate a fresh approach to sales and be able to work in a fast paced and versatile environment.* Great communication skills* Positive attitude* Be able to hit targets and achieve goals* Be able to work in a customer facing role* The ability to build and maintain strong relationships with clients and customers* Beauty training or make up artisty* Fragrance or cosmetic counter experienceWe are holding roadshows and recruitment days throughout September, please Email #EMAIL_11046aef5219327c0db0b1c912c1ba032e7a569ddfc256050c7a98cd16ae9e57# if you would like to attend.",Ideally experience working on fragrance or beauty counters,,0,1,0,Temporary,,,Cosmetics,,0
10701,ITSecurity Threat and Risk Management Lead(SIEM),"US, , ",,,"Roland &amp; Associates is a Corporate Recruitment Organization providing solutions to Global MNCs for the past 12 years.We specialize in High end Recruitments in IT, Telecom, Embedded, Chip Design and Non-IT (Manufacturing, Engineering, Oil &amp; Gas, Infrastructure, BFSI,FMCG,Automobile,Aeronautics, Pharmaceutical, Healthcare and Life-Sciences and Business Advisory) domains.We have a strong team of more than 100 employees operating from multiple offices in Bangalore located on HAL Airport Road.Visit us also at #URL_1722ee2d0bc47c66058101f0a811deb4a0991ebf80d1cadd752ef83c41ebfd15# , #URL_1bf25f25493e97bdc8354cb65991bb196949302fd5331c01b896c04df7c80783# , #URL_387f3642127667d307b8bfb226e4fb0d52ab6c3140cc7d544b8027e21c4fc3a5#","You will own the development of operational playbooks, oversee the incident response process, drive our client's Security Incident and Event Management (SIEM) technology and ensure appropriate logging and monitoring across the company's infrastructure and applications.","Job Role : ITSecurity Threat and Risk Management Lead(SIEM)Job Type : Full Time/PermanentJob Location : Colorado Springs,COIndustry Type : Electrical/Electronics ManufacturingExperience Required: 5 to 15 Years Education : Bachelor's DegreeRequired Primary Skills:- Directly related experience in Information Security Threat Management.- Deep technical skills with: Intrusion Detection System (IDS/IPS), infrastructure and application logging, and incident #URL_5807d2262e9bf4a7f1c80c715bddf7a2144c5a4ba304c30fcdfe52a01521d8e3# management experience with incident response and SIEM.- IT Compliance: Sarbanes-Oxley IT compliance (DS5 Ensure System Security process management) or others.Required experience in Security Vulnerability management, data protection.Other Skills: Information security experience in a high-tech manufacturing organization.Salary: Excellent package with full benefits and bonus.Note:For U.S. Citizens / Green Card /or Valid EAD only.Interested professionals,please share your updated resume to #EMAIL_3acde4fc16546e0d5330b0fb787ad6ae35c6f3691e4f523833db5fa0442e0f66#",Salary: Excellent package with full benefits and bonus.,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Electrical/Electronic Manufacturing,Information Technology,0
2933,I want to be an Undergrad Intern at Vend!,"NZ, N, Auckland",Product,,"Vend is looking for some awesome new talent to come join us. You'll be working in an awesome team doing awesome things, and generally being awesome.Learn about us on our blog, or meet the team on Twitter, Facebook, LinkedInAwesome SpaceOur brand new Auckland office space is located on Nuffield Street in Newmarket, surrounded by our customers and the industry we love. It is huge, open, and shiny new with great meeting room spaces, casual working environments and plenty of space to host awesome events. We don't do cubicles, just plenty of space, whiteboards and meetings rooms. We also have a great cafe/retail space with our very own Front of House Manager taking care of our visitors and fellow Venders. Like Auckland our Melbourne office is surrounded by retail. It's a great wee space equipped with tiny houses and some awesome cardboard cutout animals.Our Toronto office is located right in the heart of downtown retail district. Like Melbourne and Auckland there's a great vibe with great coffee, boutique stores and some of the greatest restaurants in the city. Our SoMa office in San Francisco is located in the middle of the SF tech scene, just a (Biz) stone's throw from Twitter.The EnvironmentWe want you to be at the top of your game. You can wear whatever clothing you like, start work late in the morning, take breaks whenever you want and generally work the way you want to work. Who we're looking forVend is looking for people to push the boundaries. We are a hard working professional team with a wicked sense of humour, and we are looking for people who thrive in a collaborative open environment. We want passionate, hard working, talented individuals that want to lead in their field.","It used to be that to set up a retail store, youd have to spend mega bucks buying receipt printers and cash drawers and barcode scanners, and then top it off with thousands of dollars for hard­-to -use software.Vend changes all that. Vend is a cloud­-based retail platform that enables retailers to accept payments, track customers, manage inventory, provide loyalty incentives and run business analytics.Got an iPad? Yup. Got $50 / month? Yup. Youre in!Since launching Vend at the end of 2010, we have signed up tens of thousands of users who sell all sorts of things. Pizza trucks. People selling supporter gear for the Ashes series. Footwear. Vets. Yarn. Furniture. Jewellery. And Mr Whippy.We have customers in more than 100 countries. Gambia ­ check. Uzbekistan ­ check. Work at Vend and youll come across currencies you never knew existed before (nice to meet you Costan Rican colón!).The tech side is pretty cutting edge too. Our core is built in PHP, but there is a lot of JavaScript wizardy in the front­end to make sure retailers can still use their POS even when offline. Our MySQL database gets hammered too, with hundreds of millions of rows and many updates each second. We use a number of tools to get the job done: Capistrano, Redis, Git / Github, Vagrant, Jenkins, Puppet, Resque, New Relic, Doctrine, MySQL, Objective C, PHP, JavaScript, Symfony, Rake, Selenium, Compass, SASS, jQuery, Bundler, Nginx and animated cat gifs.We are taking our most magnificent retail platform to the next level and we are hiring 20 - 30 people in the product team over the next 12 ­- 24 months. As part of this, we are looking to hire students in computer science and / or related disciplines (like engineering, science and design) for under-graduate roles.","In your final year of study of a computer science or related degree (like engineering, science or design)Are available from 1 November 2013 to 31 March 2014Have experience writing code for web- or iOS-­based projectsUnderstand multi-­tier software architectureUnderstand relational databases and know how to write SQLAre curious and have an appetite for learning","Vend is a sweet place to work, where weirdness is welcomed and encouraged. Youll get fresh fruit, and lollies (a balanced diet!). You can choose to work from a couch, a standing desk or a sitting desk.Our product team is high-­performing. Youll learn a lot about Agile, about coding for scalability, and about what it takes to build a world­-class product.But perhaps most importantly, youll get the opportunity to join one hell of a crazy awesome ride with us. There arent very many New Zealand-­based SaaS companies who are in the same position to make a dent in the world. If you ever want to build a start­up, come to Vend and learn first­ hand what its really like!Applications close 31st August 2013.",0,1,1,,,,,,0
15613,Non-Urgent Patient Transfer Attendant - Greater Toronto Area,"CA, ON, ",Voyageur Medical Transportation,,"Voyageur is one of Ontario's leading transportation companies, serving Southwestern and Central Ontario for over 34 years. Voyageur offers professional passenger transportation solutions in four different business segments: Non-Emergency Medical Transportation (wheelchair and stretcher transfers), School Bussing and Charters, Public Transit (Conventional and Para), and Checker Limousine. We also provide various Training Solutions including Red Cross First Aid and CPR and First Responder training, licence upgrading and various Health and Safety Programs. We continuously focus on safety and driver training. A careful recruitment process, a comprehensive orientation program, regular road evaluations, performance appraisals and defensive driving education programs assist us to maintain the standards of our drivers and the quality of the services they provide. Much of our management team and seasoned drivers have been a part of the Voyageur team for many years.","Voyageur Medical Transportation is the largest Patient Transfer Service in Ontario, operating across the Province, and beyond its borders, from our facilities in London, Chatham, Sarnia, Windsor, the Greater Toronto Area, Kitchener-Waterloo, Grey Bruce County, Oxford County, Huron-Perth County, Barrie, Peterborough and Newmarket. Like you, we want patients to receive the best care. We work together with healthcare providers, patients and families to coordinate and provide convenient and professional services that are always in the best interests of patient access to best care.Voyageur Medical Transportations Patient Transfer Service provides comfortable and caring non-urgent medical transportation for individuals who are stretcher or wheelchair-bound. Our competent and compassionate team of First Responders ensure patients receive a seamlessly safe ride whether the trip is to or from a healthcare facility, nursing home or private home.Voyageur Transportation is committed to provide accommodation for individuals with disabilities throughout the recruitment process. Accommodations are available upon request of the internal or external applicant. If you require an accommodation due to a disability for an interview, please notify Human Resources directly to make appropriate arrangements when contacted for an interview.","Valid Emergency Care/First Responder or Emergency Patient Care Certificate or valid AEMCA (or working towards and able to provide documentation)Valid an F Class Driving Licence (minimum G class, must be willing and able to upgrade)Ability to provide outstanding customer service.Must be able to work flexible hours including weekends, holidays and outside regular hours of operation, including on call shifts.Ability to lift 250+ lbs using a stretcher or stair chair with partner trainingPosses clean driving record and provide a copy for personnel file.Must have a current criminal record check and provide a copy for personnel fileTo be considered for Voyageur Medical Transportation candidates must have a minimum certification of Emergency First Responder or Medical First Responder or currently be studying as a Paramedic and  hold valid First Aid and CPR. Must provide valid documentation.    ",Full time and part time positions available.  Competitive benefit package provided to all full time employees.Opportunities to own your own Medical Transportation Company are available! ,0,1,1,,,Certification,Hospital & Health Care,Health Care Provider,0
4382,Head Accountant,"LV, , Riga",,,"Infogram is the data visualization product that brings out the best in your data. Our infographics and charts are quick to use, fast to share, and easy on eye. Our customers range from small businesses to global media organizations, and we've been awarded multiple times during our short but fast-paced history. You can check us out here and try out the product.","Infogram is a software company with more than 1m users that makes web-based data visualization applications for non-designers to create professionally designed infographics and visualizations in minutes. No programming or design skills needed. Our users already include some of worlds leading media organisations, content marketers and universities, and we have been awarded multiple times during our short but quick-paced history. Try the platform here: #URL_187c3a8d723761fb04d0b85c90a3c758706edf87570bfe0c9ebce285dc1078ca#Infogram has ambitious plans for future growth and we are looking for world-class talent that will help us along this journey.We are looking for a:HEAD ACCOUNTANT in our Riga officeIn this position you will be expected to take care of:Company bookkeeping and preparation of financial statements, general ledgers and other accounting documentsPreparation of reports according to the regulatory requirements in LatviaPreparation of management reportsDevelopment and implementation of accounting policiesFinding and attracting the best talent for the accounting and business control functions of Infogram","We expect you to have:Previous experience in accounting or audit of at least 3 years(optional) International accounting qualifications, e.g. ACCA or CPAGood command of MS Office and previous experience with accounting systemsGreat command of Latvian and English (written and verbal)(optional) Previous experience working for an international companyAbility to quickly learn new concepts, e.g. how to calculate KPIs that are used in our industryProactive character that can come up with improvements to processes he is working inAbility to fit in with the culture of a startup","A great, energetic office in the heart of Riga, stock options in a fast-growing company, and the opportunity to shape the future of the organization",0,1,0,Full-time,,,Information Technology and Services,Accounting/Auditing,0
6977,Full Stack Software Engineer,"CY, 01, ",,,"Avocarrot is a native mobile ad network with offices in Silicon Valley and Athens. We are building the next generation of mobile advertising technology. Backed by leading corporations like Unilever as well as institutional and angel investors from the Valley, our aim is to become the de facto standard in mobile app monetization. Our platform has served several billion ad requests and keeps growing at a fast pace.Our TeamWe are hackers and we love it! As a hacker team we solve most of our problems in code and our objective is to ""ship code fast and iterate faster"".  We are light on process in order to avoid lengthy meetings and unnecessary overheads. We work in small teams that own a specific metric or a part of the product. We want to empower our people to make a huge impact on the business.Your RoleAvocarrot is growing at a fast pace and we need exceptional developers and growth hackers  to help us keep up with the requirements of a product that needs to handle billions of requests each month. What we are looking for are people who are smart and get things done! #jointhehackers","If you are a hardcore hacker who wants to work in a team of other hackers changing the face of mobile advertising once and for all keep reading!We are looking for an outstanding Full Stack Software Engineer to join our team. If you know almost everything there is to know about Python, JavaScript, and working in the cloud then don't be shy and get in touch.What Youll Be DoingCode in a variety of languages primarily in Python and JavascriptDesign core components of our ad serving technology that can scale as our product doesDevelop, test and deploy our data analytics tools that collect hundred of million billions of events and visualise them in clever and innovative waysBuild elegant, highly responsive front-end interfaces, and continuously optimize for the best user experienceDesign and implement RESTful interfaces to connect to our backend services and expose data to front-end applicationsProvide first-line customer technical supportWork in a team of talented hackers learning as much as you can and having fun while doing it",A Computer Science or related degree from a top universityAt least 1 year software development experienceExpert knowledge developing in JavaScript and PythonExpert knowledge working with data (MySQL and/or NoSQL databases)Expert ability working and collaborating in small software teamsExperience with front-end MVC frameworks like #URL_1d0f9eb2a7073ab63d5cfc0f9762fb40962b2b8ad1607a31c869aa4fd0382977# is a plusExperience with mobile technologies (e.g. iOS and Android) is a plus,Control your own time - We have flexible working hoursCompetitive salaryForget bureaucracy - We want to move fast and help you to make real impact on the businessWe ship code fast and iterate fasterWe work in small teams meaning that you'll own part of the productWe believe no idea is too crazy for a hacker teamWe provide free snacks and beverages,0,1,1,Full-time,Not Applicable,Master's Degree,Computer Software,,0
5614,iOS developer,"GR, I, ",,30000-48000,"Easybring is a startup in the collaborative consumption space in Oslo, Norway. We have been working on our product for close to two years, which is a service that mathces people that have transportation needs with people going that way, anyway.Our company culture is centered around building an excellent product, and an ecosystem around the company focusing on helping others and doing the environment a service. It is a fun place of only 7 people and a company on the forefront of the internet revolution, where the mobile and web is becoming an integral part of ordinary life tasks. We are very focused on shipping, learning, iterating as a process.","ABOUT THE COMPANYEasybring is a startup in the collaborative consumption space in Oslo, Norway. We have been working on our product for close to two years, which is a service that mathces people that have transportation needs with people going that way, anyway.Our company culture is centered around building an excellent product, and an ecosystem around the company focusing on helping others and doing the environment a service. It is a fun place of only 7 people and a company on the forefront of the internet revolution, where the mobile and web is becoming an integral part of ordinary life tasks. We are very focused on shipping, learning, iterating as a process.ABOUT THE JOBWe are looking for an iOS-developer to join our team full-time. We already have one iOS-app for our service in the App Store, and we are looking to expand on this, while conceptualizing and building a second app, which focuses on key areas of our service. The projects within Easybring go on continuously and are centered around three platforms  web (responsive and built on Ruby on Rails), iOS and Android. As the iOS-developer you will be the main person responsible for connecting the mobile experience to the back-end. We expect you to code following the common rules on the platform and be experienced with all the development tools needed for this. You will work closely with both the product manager and the rest of the development team of Easybring.","Passionate about building great native iPhone-apps in Objective C and Cocoa Touch.Minimum of 2 years commercial iOS development experience.Understanding of iOS application architecture and frameworks.A self-starter, not going to wait to be told what to do and takes it upon themselves to up skill.Must be an iOS enthusiast, with a rounded understanding of the iOS eco system and principles.You know all about, and appreciate, great UX and UI principlesExperience of working with transactional back end systemsExperience of integrating Apps with web services (HTTPS/JSON/XML)Good knowledge of working with, and integrating third party RESTful API servicesFluent in writing well-documented code, explaining methods in good EnglishExperience with GitGood command of English",Good base salaryFlexibility with working hoursFun start up environmentTravelConference ticket and budget,0,1,1,Full-time,Mid-Senior level,Unspecified,Internet,Accounting/Auditing,0
5923,Account Manager - Atlanta,"US, GA, Atlanta",,,"NoteVault, Inc. provides innovative mobile reporting solutions for the engineering and construction industry.  NoteVault enables the entire project team to do their reporting from their mobile phone using only their voice, and then quickly delivers transcribed, printable reports to any authorized recipient via the web or email, including photos and video clips.We are a growing company in need of passionate team players with a desire to succeed.  With NoteVault, you will become a part of a dynamic team that will offer you the opportunity to develop and expand your skills, while contributing to the companys overall success.","Do you want to be a part of an award-winning team that is rapidly expanding across the US?    Does working independently in a fast-paced, growing company excite you?  Is relationship-building something you thrive on?  If you love to travel, are charismatic, have construction industry knowledge and a highly motivated consultative sales approach, NoteVault may be the perfect fit for you. The primary role of the NoteVault Account Manager is to develop and execute a plan that you will follow to successfully meet established goals. The qualified individual will use highly effective communication skills to develop relationships with current and potential customers and will have the ability to create and present customer-specific demonstrations in the use and promotion of NoteVaults cutting edge products.The ideal Account Manager will have a minimum of 3-5 years of sales experience in the enterprise software industry, selling to large, complex organizations; and will have well-established relationships with construction industry contacts in their territory.   ","- Establish key relationships with construction industry potential clients through daily and weekly visits, phone calls, emails, etc.- Understand the competition and their product lines, and use this information to create an alternative approach to selling NoteVault products, as well as provide suggestions for new products that will help the company expand and succeed.- Run effective, consultative, and persuasive meetings with high level customers to successfully represent the benefits of using our services.- Demonstrate our products and represent us at trade shows and other events.- Willingness to travel up to 25% of the time, including overnight stays- Have a proven track record in sales.A stable work history and satisfactory background check are required of all applicants.",,0,1,1,Full-time,Associate,Unspecified,Consumer Services,Sales,0
16904,Customer Care Representative ,"US, OH, Cincinnati",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Customer Care Representative I  •    Successfully completed the required basic training, able to perform basic job functions with help from co-workers, specialists and managers Primary duties may include, but are not limited to: •    Responds to customer questions regarding home improvement products•    Analyzes problems and provides information/solutions. •    Operates a PC  to obtain and extract information and preform activities and changes in the database. •    Develops and maintains positive customer relations and coordinates with various functions within the company to ensure customer requests and questions are handled appropriately and in a timely manner. •    Requires limited knowledge of company products and services•    Seeks, understands and responds to the needs and expectations of all customers•    Sets up appointments for our sales teams",QualificationsRequires a HS diploma or GED;up to 1 year of previous experience with Customer ServiceExcellent interpersonal and communication skills.Professional business like appearance.Proficiency in Microsoft for Windows Applications.,Competitive Salary/Base with commissionsFull BenefitsVacation PayPaid TrainingAdvancement Opportunities,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
8675,Live-in Caregiver with time off,"US, AZ, Scottsdale",,,,"***Please do NOT apply for this position if you do not live in Arizona. Thank you.***Hiring live-in caregiver. Cadidate will receive free room and board, one 24 hour shift per week off (may remain on or off the premises) and $2000 per month.Duties include assistance with bathing, dressing, grooming, toileting, light housekeeping, cooking, etc.Position will start in 2 weeks. ","Candiates must have CPR/1st Aid, Experience as a caregiver and working with dementia clients. CNA preferred. Able to pass criminal background. YOU MUST BE LOCATED IN ARIZONA and able to lift up to 50 lbs. ","Free room and board, one 24 hour shift per week (Set day that you pick) and $2000 per month",0,0,1,Full-time,,Unspecified,,,0
8143,SEO Manager,"GB, LND, London",,,"Launched in 2010, Lyst is an innovative way to shop for fashion online thats used by millions every month. Lyst partners with the worlds top fashion brands and stores - from Topshop, Balenciaga, Alexander Wang and Burberry to Saks, Net-A-Porter, Lane Crawford and Barneys - to provide people with a personalised way to discover the fashion they love. Lyst has raised over $20million from a range of all-star investors including Accel Partners (Facebook, Spotify), Balderton (Yoox, MySQL), DFJ (Skype, Hotmail) and the teams behind Michael Kors, Oscar de la Renta and Tory Burch.","The OpportunityLyst is looking for a highly skilled and analytical SEO Manager to help accelerate the natural search visibility for Lyst. You are an expert in your field, have experience scaling organic traffic across websites with millions of pages, and can come up with creative solutions to deliver against traffic and revenue #URL_8934e00c931671543f7bfe12f32c82cea379411d703cf10cb3b1cd32506fecc5# alongside the Head of Organic Acquisition, you will play an integral part of a highly productive, effective and data-driven team working to deliver remarkable levels of growth for #URL_a69f183972704c332c5f6e0921682f4aaa83530290fed27369bca8d33def9937#We believe incredible SEO boils down to three core streams of work: Trust, Relevance and Authority and youll be tasked with developing our search engine authority on an ongoing basis. The right candidate will have worked in the online fashion/luxury industry, with previous case studies to prove their experience and expertise.Youll have a passion for SEO, be a creative thinker and be confident managing large data sets. Youll also championing the importance of building search engine authority right across the business.Key ResponsibilitiesDevelop and deliver the SEO strategy to increase search engine visibility and drive organic search traffic and revenue for Lyst across all platforms.Define, prioritize and execute key SEO initiatives, including keyword analysis, content development, technical audits, internal linking efficiency and competitor analysis.Build website authority to deliver long term improvements of organic channel.Work with Digital PR, Comms and Marketing teams to develop, influence and support the creation and delivery of exceptional, link-worthy content marketing and social initiatives.Work closely with engineering and product teams to ensure all product features are consistent with SEO strategy.Work alongside the Head of Organic Acquisition and Product team to prioritize and manage the development roadmap to ensure SEO initiatives are being delivered.Our CultureDeeply data driven with clear focus on delivering results and moving the needle.Strong team spirit and respect for each other - its the number one reason people love working at Lyst.Were all about collaboration - no one puts themselves or their group ahead of the company and team.Everyone in the team is empowered with lots of autonomy - theres no room for micromanagers here.Ambitious and fast-paced - were changing the way a whole industry works - its not meant to be easy!","Significant, relevant SEO experience (ideally in e-commerce or competitive online industry).You have experience working with large (multi-million page)  websites and delivering content and link strategy.In depth SEO knowledge- you know what works, and how to deliver growth for the channel.You are up to date with all of the latest algorithm updates and understand the complexities and nuances of the search landscape as we go into 2015.Youre highly analytical, very comfortable with raw data, and love continually making things better for users, while at the same time fulfilling the requirements of organic growth.Youre also very creative and are constantly coming up with new, imaginative solutions to solve some of the hardest problems in SEO.Excellent attention to detail.Strong communication skills.You are proactive, positive and can thrive in an entrepreneurial environment.Good understanding of the Digital Marketing landscape, and emerging platforms and technologies.Preferred Background:Youll ideally have considerable experience within an top tier SEO role, either at an agency or in-house.Youll be able to demonstrate case studies where youve substantially improved visibility and traffic levels through your SEO efforts.Youll have experience with large site architectures, content and link strategy as well as a good knowledge of the latest algorithm updates.Youll be an effective networker, which youve leveraged to develop relationships internally as well as with our contacts and suppliers.Strong understanding of and passion for the fashion ecommerce landscape and fashion industry.",,0,1,0,Full-time,Mid-Senior level,,Apparel & Fashion,,0
8915,Front End Web Developer,"US, KS, Kansas City",,70000-90000,,"Were on a mission to identify a smart and engaging Front-End Developer to join our clients engineering team. We know you are picky about finding the right role (this is more than just a job, right?). Youre experienced, but you also like to learn new things and want to work with smart people and have fun building something great. Youll join a talented group of technical pros who try to maintain a friendly environment where people can be creative and get work done! Technology stack is Java/Agile/Scrum for web application and mobile development. For serious consideration, please provide a link to some sort of online portfolio or presence. Day-to-DayDive into difficult problems and successfully deliver results within a scheduleWork with the product and design team to understand end-user requirements and use cases, then translate that into a pragmatic and effective technical solution Problem-solving skills to debug issuesFind proactive innovative solutions for business challenges ","BS in Computer Science or equivalent technical engineering degree At least 3-years of professional experience using technologies such as HTML5, XML, XSL, CSS3, JavaScript, jQuery) Proven expertise with native JavaScript programming, AJAX and JSON as well as leveraging JavaScript libraries such as jQuery to manipulate DOM elements Capable of using a JavaScript framework ( JSF, Angular, GWT), Grunt/#URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# a plusFamiliar with front-end frameworks for responsive design and mobile first developmentExperience with a version control systems (Subversion, Git)Ability to accurately assess time estimates for development tasks.(Jira a plus)Bonus skills: Backend and/or mobile technology experience such as Apache/Tomcat, MySQL, iOS and/or Android development","A friendly and challenging work environment for a self-motivated and dedicated staff. The health and welfare benefits are available to all regular employees working on average 25 or more hours a week. These benefits include Medical, Dental, Vision, Life, Disability, Pre-Tax Section 125 plans, 401k retirement and profit sharing plan, and paid time off. Paid time off includes holidays, vacation, sick leave, and floating holiday time.Other perks include casual dress, free parking, wellness incentives, and company events. ",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Engineering,0
14671,Senior Javascript Developer,"GB, WSM, London",Engineering,,"The smarter way to investPicking from thousands of stocks or funds is hard. Finding an investment manager can be even harder. Weve built a smarter way for savvy investors to find managers they can trust.We believe investing should be transparent, fair, and a pleasure, not a chore.Our investorsThe companys investors include Union Square Ventures, Spark Capital and Amadeus Capital Partners.Learn more on #URL_e69aa09a90250fdf472550ec4ae5a6795a6f09d317f44b9beda100daf3203e0b#","OverviewCovestor is an online marketplace that brings investors and investment managers together. Our mission is to help ordinary people make better investment decisions by following along (covesting) with talented investment managers. We value transparency, openness and excellence as essential to our product and company culture.If you are an exceptional Javascript software engineer who loves your craft, wants meaningful work and smart colleagues then we want you in our central London development team. Role. You will be:senior developer enhancing the public #URL_b692b237a58e79ebc2bb32154ee62baa7d481843a40890d6559f063b8d550ee0# site and our intranet - implementation and front end architecture.the principal trusted Javascript expert.crafting new pages and integrating with our API and back end systems.working in conjunction with product and designers to improve the user experience.a co-owner of the code base, suggesting and implementing ways to improve it.cooperating closely with all stakeholders to ensure scope is understood, design is sound and deadlines met.reviewing design and code produced by your development colleagues.","Experience. You have:5+ years of industry gained development experience with a track record of delivering high quality software products. Ideally, some of that experience is at a start-up (or in a start-up like environment) and some at a public-facing web service. We want to see your sites!expert HTML, CSS, Javascript, jQuery and familiarity with Java web framework technologies (we use ColdFusion).interest in the evolving Javascript ecosystem and helping us make good decisions on tools and libraries.some familiarity with SQL and Java (or Groovy).a strong understanding of computer science. Expertise in application design and how to keep it simple even as requirements grow.web testing and troubleshooting skills and a familiarity with tools such as Selenium.a demonstrable record of innovation and unconventional thinking that shows you've worked smarter - not just harder.excellent organizational, communication and soft skills.experience in a light-weight, feedback driven, rapid turnaround engineering environment.a strong academic record, as a graduate in a science or technology subject.Personal Attributes. You are a:passionate advocate of concise and simple code, designs and applications.technical leader, a person who inspires trust and confidence in your peers.great team member  with the confidence to admit errors, follow as well as direct, and learn as well as teach.person who thrives in a fast paced environment.You can:hold your own in a detailed Javascript discussion with a top programmer and in a user centered design discussion with product experts.demonstrate a strong sense of personal integrity and accountability.accept and act upon constructive criticism.","We offer: competitive salary, equity options, flexible working hours, some company time every quarter to pursue research, weekly team lunch, healthy snacks and a genuine chance to make a dent in the world. We have an informal, participatory and passionate tech culture.About UsCovestor brings the clarity and efficiency of an online marketplace to the world of money management. Investors can compare and select from a transparent marketplace of money management talent. Covestors Portfolio Sync technology automatically replicates trades, providing clients the convenience of “set and forget” functionality and the protection of Covestors proprietary trade filtering.Covestor is widely regarded as a pioneer in bringing separately managed accounts (SMAs) online in a transparent marketplace. The company has offices in Boston and London and is funded by leading VC firms including Union Square Ventures, Spark Capital, and Amadeus Capital.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,Engineering,0
10730,Senior Front-End Engineer,"US, TX, Austin",Web,,"We're an emerging technology agency, and we build breakthrough products through user-centered design. We're building a team of the brightest minds in creative, engineering, and business because we love working with smart people. ","Youve tamed the mobile web. Youve mastered HTML5. Youre ready to be a key player using the latest in client-side tech to create interactive digital experiences. Wow us with your skills, and well provide the fast-paced, forward-thinking environment youve been dreaming of.Heres what were looking for:Be a team leader on development tasks. You should be able to work independently, as well as mentor junior developers. Youll also assist in defining timelines and development tasks.Act as a voice for the team. Youll work directly with clients and contribute to internal initiatives and tech talks. Youll also field day-to-day communication with technical client and third-party teams. As you lead a development team, youll gladly mentor other developers.Communicate goals across disciplines. We believe design and engineering coexist best side-by-side, so youll work with designers, not just on technical feasibility but on creative possibilities. You can speak the language of any department and are equally comfortable working with developers, designers, user experience designers, project managers, and QA testers.Integrate with external APIs. You've worked with REST APIs and are comfortable with the full request-response lifecycle. You have worked on teams utilizing enterprise-grade server-side frameworks such as Spring, Hibernate, Django #URL_86fd830a95a64e2b30ceed829e63fd384c289e4f01e3c93608b42a84f6e662dd# MVC and can communicate effectively with clients about integrating data from a variety of sources.Build solutions using the latest client-side frameworks. You believe in writing maintainable, performant code that is well organized. Method level documentation and unit tests are something you strive for on every project.","You will excel in this position if:Strong command of core front-end technologies: HTML5, CSS3, Javascript/JSONExpert understanding of Dom manipulation techniquesExperience with implementing animations using CSS/JavascriptExpert understanding of jQueryStrong command of OO principles/techniques in javascriptExperience implementing complex front-end applications across popular browsersExperience troubleshooting cross-browser compatibility issuesExperience integrating data from third-party APIs into complex javascript applicationsExperience with either SVN or git as a source code management toolExperience with performance tuning complex front-end applications(page load optimization, code level performance tuning)Experience with MVC javascript application frameworks such as #URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399#, #URL_1d0f9eb2a7073ab63d5cfc0f9762fb40962b2b8ad1607a31c869aa4fd0382977#, or AngularJs or similarHere are some ""nice-to-haves"": Exposure to agile methodology (scrum, story points, etc)Familiarity with automated build tools such as Grunt or GulpComfortable using the command lineExperience with a Javascript templating framework such as #URL_9ed56a1e50b4997e03aab7a1b2de62a6ad6f17781e9407da36c12fc61db9a4a8# or #URL_415cecb7f723d3cf74f5cc8b80ba9829454bb735c14b27d601db65ceace9593d#Experience with a CSS preprocessors such as LESS, SassExperience writing Javascript unit tests utilizing a framework such as Jasmine or MochaExperience debugging complex Javascript applications with various debugging techniques (#URL_febdc899adb4c21f867374c719cc5fd505446529b6a6b4b1b5b8dd116dd95e10# is not enough!)","Why work for Mutual Mobile? We craft beautiful mobile interfaces and solve complex software challenges. Clients such as Audi, Cisco, Jaguar Land Rover and Google come to us for our deep experience in mobile--from establishing a mobile strategy that provides impactful business value, to building those solutions from the ground up. We bring together the brightest minds in creative, engineering, and business to deliver solutions that actually matter.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Engineering,0
13149,Flooring Technicians,"US, AL, Birmingham",,,"1212 Flooring Solutions Inc. is a family owned and operated nationwide flooring management service company. Our focus is to provide a complete service package that addresses the floor management needs of the commercial tile users. We have particular expertise addressing the critical needs of the restaurant and food processing industries. We aim to be the single point of contact for floor care needs including the repair and remodeling of tile floors (and walls), complete new tile floor installations, floor demolitions plus carpet, concrete and wood flooring requirements.We serve as your single point of contact for flooring service needs. At 1212 Flooring Solutions we have partnered with elite contractors across the country as well as major national suppliers such as Daltile and Laticrete to provide you timely, cost effective and warrantied flooring service. On all projects, 1212 Flooring Solutions works as your flooring general contractor. For your major restoration and installation projects, a 1212 project manager is always on-site ensuring compliance with 1212 work standards and monitoring compliance with material and workmanship warranty requirements. Not only do we bring in crews to address your flooring needs but we utilize systems that provide a robust dataset for your flooring management decisions. We aim for full transparence into on-going and historic flooring projects. For major restorations and installations, our project management system allows for your approved Company personnel to review daily work progress, presents a pictorial database for each project, lists project managers and identifies the quantities and lot numbers of materials that are used. We also maintain a project data base for each of our clients that summarizes each projects work and costs.Our goal is to provide a solution for your flooring needs so that all your floors will reflect a clean, safe and enjoyable environment for your employees and customers. We know only one way to do things  the right way. ","1212 Flooring Solutions is a family owned and operated tile and grout restoration business. Our work is predominately done in restaurant environments. Our focus is to provide a complete service package that addresses the floor management needs of our commercial customers. We have immediate openings for experienced and professional commercial tile-setters and finishers. We would prefer 5 or more years of commercial tile experience. Experience with epoxy grout installation is a plus.We are about to begin a new business venture with commercial vinyl floor installations. Thus we have a need for workers with experience in vinyl floor installations. We would prefer at least 3 years of experience in commercial vinyl flooring. Qualified candidates must have a willingness to learn new product specifications and installations. The ability to work with tile and grout would make you all the more valuable.We are a rapidly growing company. If you enjoy traveling and would like to work for a company that values your skills, than you have found the right company for you. Help us grow our business enterprises by bringing your skills. ","The tile-setters/finishers and vinyl floor installers will report directly to the Crew Leader, who is responsible for on-time and on-budget completion of on-site work. An essential function of these positions will be the ability to work well with other members of the crew. A willingness to complete work functions, other than tile-setting, is crucial to this position and the success of the crew. The job requires the ability to travel, as we work on sites throughout the United States. Rooms and a daily per-diem are provided. On-site work is generally completed on third shift. Position requires the ability to operate a company owned vehicle. Candidate MUST have a valid driving license and clean driving history. Background checks are conducted on all candidates.",Hotel AccommodationsDaily Per-DiemBonuses based on quality of work and productionPay is competitive and  is commensurate with experience.  ,0,1,1,Full-time,,High School or equivalent,Construction,Production,0
16650,Creative Logo Designers,"PK, SD, Karachi",Design,,"A Creative agency for Web Design &amp; Development, Software Development and Mobile Application Development. We offering a vast array of solutions on varied platforms. Our aim is to amalgamate the best in technology, design and usability in presenting solutions that best suit your requirements. Therefore, we invest in our mind resources in order to gain success which is lifetime in means of assets and building our basics stronger. We provide market competitive salaries, outstanding benefits &amp; fringe facilities to the right candidates.","The Logo Designer is responsible for the design of creative Logo requirements for our valuable clients.&nbsp; The designer will design &amp; deliver Logos.&nbsp; Enhancements and defect resolution will be required on an ongoing basis.&nbsp; Best practices and design methods will be utilized to insure the success of the projects. The designer will have the ability to create Logo from the requirements stage, through design, through design deployment.&nbsp; They will be required to have experience in graphics, logo sketching, and creativity.Flexibility, adaptability and the ability to learn quickly in various creative environments, while delivering quality work by tight deadlines, are key attributes of this individual.","Experience in developing Logos.&nbsp; Minimum of 2 years of Logo design experience is required.Practical experience in graphics creation with Photoshop, Illustrator, Adobe Creative Suite.Excellent written and oral communication skills.Desire and willingness to work in a collaborative, innovative, flexible and team-oriented environment.BMA / BA university degree is essential besides any relevant courses a plus.","Ample paid time off (a.k.a. PTO) so you can finally take that vacation when required.All the usual company holidays, plus a few you might not expect.A sweet 401k plan with company matching (retirement, here you come!)Flexible work arrangements to accommodate your ever-busier life.Enough free snacks and drinks on overtimes to make your dentist nervous.An annual company outing that people actually want to attend.Legitimately great medical and dental coverage with low premiums and co-pays (Coming Soon)A work environment that's so fun and casual we hesitate to call it &ldquo;work&rdquo;.Aptitude tests so you never have to stop learning.",0,1,1,,,,,,0
14987,Radiological Technologist (Rad Tech) ,"US, FL, Orlando",,,"MedTalent is a modern staffing company that specializes in the placement of physicians, nurses and healthcare professionals across the United States. For job updates follow us on Twitter and Facebook ","Our client is a one-kind of a kind concept that is changing the way acute healthcare is delivered across the country.  Like an emergency room, illnesses and injuries at our clients clinic are handled by Board Certified Emergency Medicine Physicians. They also provide medical treatment for a wide range cases from the common cold through more serious conditions. With onsite ultrasound, CT scan, IV fluids, digital x-ray and diagnostic labs, they provide comprehensive diagnostics, blood work and imaging, all under one roof. They also delivers attentive, one-on-one guidance throughout the entire healthcare process—from stabilization and initial diagnosis to prescriptions and insurance claims. They currently operate one clinic in Jacksonville, Florida.  A second location will open in Orlando, FL, in December 2014, with a third location, also in Orlando, opening in mid-2015.  They have plans for national expansion, and is in talks with several potential partners throughout the country. RTs will be required to function as an intricate part of a high quality, efficiency driven medical team. Studies will be similar to those performed on patients in the Emergency Department.  Emphasis is placed on creating positive patient experiences. Highly developed organizational skills and ability to produce high quality images are a must. Applicants must be able to thrive in a highly protocolized environment. Patients array of complaints will be the same as those seen in the Emergency Department to include chest pain, abdominal pain, injuries, fractured bones, etc.   List of Job Duties:Ability to produce images (DXR) on a variety of patients (fast track, med surg to critical care)Active participation in PI and QA activitiesAbility to receive instructions regarding patient care tasks from practitioners and nurses in order to optimize efficiency in patient careSterilization of non-disposable instruments used during proceduresMaintain AART certification, State License and  BLS certificationCompletion of clinical training course and successful completion of annual competencyActive participation in patient care when radiology services are not warrantedMastery of all clinic computer systems",BLS certified  ARRT certified in Radiography and CT       Licensed in St of FL        At least 2 years exp in ER/Hospital setting,,0,1,1,Full-time,Associate,,Hospital & Health Care,,0
8114,Petroleum Engineer,"IQ, BA,  Al Nashwa",Oil and Gas,25000-30000,"Who we areIndividual skills, collective strengthProtechnique Ltd. offers technical, management and engineering expertise through a team of specialists with specific skills that can help our clients in particular ways.Collectively, these skills add value to the work we do by saving time, effort and money.This is especially useful in managing projects that require us to coordinate many types of input: technical skills, equipment, commodities, staff training, financial administration and information technology.Our clients know that every project we handle is being managed in the best possible way, with all its elements in place, and with a guaranteed result in view.How we workIn-house and consultant/specialist expertiseWe work collaboratively, using in-house expertise and also drawing upon resources from other companies. Organizations and individuals from around the world supplement our input in areas of activity needing particular attention. Increasingly, to integrate fully within national economies, we form companies and associations within client companies.Much of what we do is funded by international development agencies, or by clients themselves. It saves them money in the short term through our cost-effective purchase and delivery, in the medium term through our efficient management of projects, and in the long term by building up our clients' capacity to achieve their full commercial and economic potential.What we doProtechnique Ltd. provides integrated solutions to the infrastructure and project requirements of our clients across a range of industries and geographic locations.Our skills include: contracting, project management, electrical and mechanical engineering and maintenance, industrial engineering, infrastructure construction, architecture, information technology services, automotive services, healthcare services, training and capacity building in the areas of Agriculture, Healthcare, Human Rights, IT, Media, and Education.","Petroleum engineers' jobs typically involve research and production of natural gas and crude oil. More specifically, their job is to apply their knowledge of oil and gas, and how these hydrocarbons behave at a high pressure, in order to estimate the recoverable volume of the hydrocarbons, and maximize the recovery of the resources from subsurface reservoirs.There are several types of petroleum engineering jobs: reservoir engineering, drilling engineering, and production engineering jobs.Reservoir engineers are in charge of tasks related to well placement, oil recovery, and production rates, and they work to improve the production of oil and gas. Their job is to apply their knowledge of how oil and gas flow through rocks and what forces are involved in order to forecast the performance of reservoirs, set up well-drainage patterns, and improve the overall production efficiency.Drilling engineers deal with the technical tasks of drilling production and injection wells. They design drilling techniques, choose safety and casing equipment and decide on the direction of the operations.Production engineers evaluate lift methods, choose the equipment used to separate oil, water and natural gas, and are in charge of managing the interface between the reservoir and the well. Their job typically begins after the well has been completed. They manage and measure the fluids, design storage systems, and supply pipeline companies with oil and gas.Petroleum geology is another subdiscipline in the field of petroleum engineering, one that deals with analysis of subsurface structures for the purpose of finding hydrocarbons."," Skills An excellent technical capability and knowledge of geophysics, economics, drilling, well engineering, reservoir engineering, and petroleum geology is a must. Petroleum engineers must understand different types of rocks and how they behave under pressure. Since petroleum engineers usually work alongside geologists and other experts from related fields, good teamwork and communications skills are essential.Problem solving and analytical skills as well as the ability to think creatively and solve complex problems are key to career success. Petroleum engineers often organize and oversee the machinery and materials used in drilling operations, so management and negotiation skills are a definite career advantage in the long term. Qualifications Petroleum engineers can enter the field with a degree in engineering, mathematics or applied sciences. Some of the specific disciplines that give job candidates a competitive edge are chemical engineering, earth engineering, petroleum engineering, astrophysics, civil engineering, and mechanical engineering. The Institute of Petroleum Engineering at Heriot-Watt University offers master's degree courses and has the largest research program in the UK.Candidates with an HND or foundation degree alone usually cannot find employment in the field, as most companies have recruitment policies that are very specific about graduate or postgraduate qualifications.","Day-to-day duties of a petroleum engineer include analyzing well-logging results, meeting with reservoir and production engineers, and other experts, and forecasting production potential, selecting equipment to be used within the well, creating pumps and other systems that help the well to flow, making recommendations on ways to enhance well flow, liaising with contractors to discuss issues related to health, safety and environmental performance, and supervising the team and operations at the well site.",1,1,1,Full-time,Executive,Bachelor's Degree,Oil & Energy,Engineering,0
8726,Office Administrator,"RO, B, Bucharest",Office Administration,,"#URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7# is a modern online travel agency that was founded in Athens in 2005. Today, our company is one of the leading online travel agencies in Greece with presence in over 25 countries in Europe, Asia and America; offices in Athens, Bucharest and Sao Paulo.","As an Office Administrator, you will be responsible for facilitating the efficient functioning of our Romanian office via a range of administrative, human resources, clerical and financial tasks.Duties and Responsibilities-     Supervise and maintain procedures/office administrative systems-     Coordinate office events based on given budgets and briefs-     Organize internal and external meetings according to requirements from internal departments or external partners-     Liaise with staff, partners and suppliers (local and international)-     Prepare letters, write reports for senior management and deliver presentations-     Attend meetings with senior management-     Maintain the condition of the office and arrange any necessary repair or improvement-     Oversee and monitor legal compliance of the office-     Fulfil and coordinate any administrative tasks regarding HR department-     Compile and prepare reports and documents related to employee activities-     Keep track of leave time such as vacation, personal and sick leave for employee-     Verify attendance, hours worked and pay adjustments and enter data into HR software system-     Undertake any project based duties","Required Skills-     Native speaker of Romanian.-     Excellent command of English.-     Advanced knowledge of PC (MS Office, Internet, Outlook).-     Reliable and trustworthy.-     Strong interpersonal skills.-     Highly organized.-     Strong communication skills both verbal and written.-     Able to multi-task with attention to detail.-     Good with numbers.-     Analytical thinking. Desired Skills-     Degree in undergraduate studies, preferably in Business Administration/ Accounting &amp; Finance/Human Resource Management.-     Minimum 1 year experience in administrative positions.-     Experience in HR Administration tasks and/or knowledge of Romanian labor law would be considered an asset.","-     Attractive Remuneration Package.-     Work in an international, dynamic and fun atmosphere among young and smart people-     Huge learning experience in using best practices and cutting-edge technologies-     Challenging engineering projects in an interesting and complex travel industry.",0,1,1,,,,,,0
2244,SR. INTERACTIVE ANIMATOR ,"NL, NH, Hilversum",Animation,,"MediaMonks is the biggest creative digital production company on the planet. We specialize in working for and with advertising agencies to craft amazing digital work for global brands. You can find us anywhere on the Web as well as in Amsterdam, London, LA, New York and Singapore.MediaMonks boasts an in-house team of 250 Monks that are versed in an infinite range of digital disciplines, collectively covering anything you could possibly throw at a digital campaign. Our award-winning capabilities include film, games and mobile and are recognized by over fifty institutions worldwide, including Cannes, Eurobest, the Webbys and the Awwwards.MediaMonks, est. 2001, is a member of SoDA and part of the FWA Hall of Fame. Catch our latest showreel at #URL_9ae12c3d274cab9516c33a92a00900561d083cecea1571d12dd251f5d12c1608#.OpeningsInterested in joining this club of digital artisans? Check out our vacancies and tell us why we should hook up right away. We tend to care less about paper qualifications and more about hands-on experience, so be sure to provide us with a portfolio or other feat of arms. Nothing that matches your skill set? We have awesome jobs for awesome people. Simply tell us about yourself and your trade and we may dream up your digital dream job (or internship) just like that.","MediaMonks is looking for a heavy duty animator to produce unparalleled animations for digital crafts of any kind. As a senior animator, youll be part of an international animation &amp; motion graphics team of about twenty Monks. Together with the responsible designer and art director, you plot the animations for projects big and small and oversee their executing, if not taking up the Wacom sword yourself.With you looking after our After effects, motion tweens and the like, every mouseover has the potential to be magical  all whilst abiding to natures most powerful law: file size restriction. Nevertheless, your lightweight additives have a profound effect on the overall user experience and stand for the craftsmanship we bring to our work. Great animators know how to get the user involved through their craft, rather than merely mesmerizing them. In other words, interaction perhaps is as important as motion. Understanding digital, that is, understanding how people use websites and engage with content, is a critical skill in our line of work.To absolutely kill it in this senior role, youll need to draw on at least 5 years of animation experience. Ideally, youve been making stuff move since the (hey)days of Macromedia and know your way around other packages too. Experience with banner and rich media production are considered a big plus so do share your trials and tribulations in these fields, even when its not your most imaginative work. (Theres true merit to the ability to squeeze four different logos and CTAs into a 40k skyscraper.) Being a people- (and occasionally alcohol-) driven company, wed also love to hear what drives you, personally. Tell us what makes you move.",,,0,1,0,,,,,,0
1748,Software Engineer,"US, CA, Los Angeles",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)#URL_94f805e93a6fa859cd8540e9767e187e295d764a384221d77f8880142b6416b7#-#URL_dbdb917780b325918ec611d0f47d6a0dff5ee871b96bc71180616d0d0570925b#Job Title: Sr. Software Engineer - C HTML .NET PHP Java - Detroit, MIJob Location: Job is in Detroit, MIA Sr. Software Engineer is required having 5+ years of programming or related experience in one or more of the following: Cocoa, Objective C, HTML, .NET, PHP, Java, or Progress.Job Requirements:Experience leading small project teamsExperience mentoring othersUndergraduate degree in Computer Science or equivalent relevant experienceGraduate degree experience preferredJob Responsibilities:Effective in fostering a collaborative environmentEffective working with or without complete business requirements or specificationsExpert knowledge of software development lifecycle processes and concepts like Agile.Exceptional verbal and written communication skillsThis role will also require periodic on call duties",,,0,0,0,Full-time,,,Computer Software,,0
7776,Maintenance Technician,"US, MO, St. Louis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Job Title: Maintenance Technician  PLC maintenance experience -A Maintenance Technician is required having 5+ years industrial maintenance experience in a manufacturing environment is required.Job Requirements &amp; Qualifications Required:5+ years industrial maintenance experience in a manufacturing environment is requiredPLC skills are not necessary, but would be a plusPlastics and/or extrusion experience not necessary, but also would be a plusAbility to calculate figures and amounts such as proportions, percentages, area, circumference and volumeAbility to apply concepts of basic algebra and geometryJob Responsibilities:Maintain facility and equipment. Perform repairs, modifications, installations, etc. of complex electrical, hydraulic and pneumatic systems.Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,,,0
10320,Client Services Engineer,"US, NY, New York",Client Services,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Qubit: Cutting Edge Big Data EngineeringQubits New York office is growing, and we need a Client Services Engineer who can join our client project team. Our Sales team is winning clients, and we need someone who can carry out the technical integration project for each new account. This is a fantastic opportunity for a recent graduate or someone in the early stages of their career. Youll work closely with our London-based developers to troubleshoot for new clients and engineer compatibility between their sites and the Qubit platform.Its a great way to start your career with a number of options for progression. As one of the first Customer Solutions Engineers in the United States, its an even better opportunity to make your mark early on a growing, international business.What youll be doingTroubleshooting and implementing our JavaScript tags, which are served to more than 1 billion monthly page impressions!Coding A/B and multivariate website tests in JavaScript for our client project teamEngaging with our clients to understand their systems and data architecture, and providing troubleshooting and support to those clients","What you'll needBy submitting your application you understand that Qubit will store your data in accordance with local lawsEither a degree in Computer Science, Web Development or related discipline or the equivalent in relevant work experience.Proficient with JavaScript, you will be able to hand code a website from scratch using JavaScript. Some knowledge of JQuery would be very helpful.Good AJAX, CSS, HTML and XHTML skillsExperience with #URL_a58bd7bd48420a1f4774598bc5f1451bdcc79baee91a357c1d69e8aede501d73#, #URL_24af608067894e12e6fea7409bc304eab8b081f9a997620340783e8eb5641189# and cross-browser checking would be highly advantageousA strong communicator who is able to deal with clients in everyday and technical termsTroubleshooting experienceBe highly proactive and creative in your solutions and passionate about tech and codingFluent in English and with the authorization to work in the USA","Plenty of perksAs well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Information Technology,0
13204,Front-End Developer (Contract),"GB, LND, London",,,,"We need a talented Front-End Developer to join our teamFront-end work at Osper is an engineers dream. The Osper App is a rich and fully-featured client to our JSON API, and we're 100% committed to using modern solutions and frameworks to deliver a first-class experience. We've put a lot of energy into making the app beautiful and delightful to use and are always on the look-out for better tools to work smarter, leaner and faster.Our product is a pretty exciting challenge, blending financial services, multi-user apps and gamification. The best part is talking to our customers and hearing how much they love what we do. Theres a lot of room for passion and creativity because we're in a broken industry, and building from first principles. The result: almost everything we do breaks new ground (without rebuilding the wheel!).What you'll be doing...Responsible for Osper's mobile (PhoneGap) app and website, you'll be working with our talented product manager, designer and backend engineers to design, build, deploy and test all the exciting new features we're brewing up.Creating beautiful email templates for our newsletters and customer communications.Constantly A/B testing ideas to make sure we're always doing the best job we can.Setting up an awesome Osper Blog so we can share our story.Making our website scalable and maintainable with smart, modern web technologies like CDNs and cloud hosting.What you'll be great at...You are a passionate developer with at least a years commercial experience (although the more the merrier) in HTML, CSS and JavaScript skills but you will have been coding for as long as you can remember.  You've built some things you're really proud of, and can point to a few apps and sites you wish you'd built.Your colleagues love working with you because your extraordinary attention to detail means you just get things right first time.You can consume REST APIs as easily as your lunch, and using SVGs and web-fonts are as easy as PNGs and JPEGs.You're totally happy working with Adobe tools and the people that love Adobe tools.You get a buzz from creating the perfect email template that 'just works' in all major mail clients.Ideally you have real-world experience with both PhoneGap (or similar) mobile app development and website development, and have taken projects 'to market' successfully before.Analytics, experimentation and optimisation is just a way of life for you, and the only way is agile.You're the one who persuaded your team mates that more UI testing saves time.You want to change the world and share our vision.Being part of a focused team at an exciting, early stage means you'll be expected and encouraged to think beyond codingAnd finally, were serious about creating generations of people who are good at managing their money, and that mission should be as exciting and rewarding to you as it is to us! To apply for this opportunity please send your CV to #EMAIL_1b75982774a25516acb7f5773e66e3687f777ea218df021f6e314f5ddf0d71c6# All Applicants MUST be eligible to live and work in the UK","Experience with the following; *HTML, JavaScript, CSS*Cordova or PhoneGap*Mobile Design, responsive best*RESTful APi Consumption*SVG's &amp; Web Fonts*Automated UI Testing","You will be working with a passionate and highly talented team, each sharing their philosophies, challenges and craft with you. ",0,1,0,Contract,Mid-Senior level,,,Information Technology,0
9786,Coaching Coordinator,"US, MA, Boston",Coaching,,"Super Soccer Stars is the country's most popular soccer development program for kids. For over a decade, we have provided outstanding instruction for thousands of children in 400+ locations in NY, NJ, CT, MA, CA, FL, IL, Washington, DC, and London, UK! Super Soccer Stars was founded in 2000, and since its inception, it has been providing outstanding soccer development instruction for children aged 2 and up.","Do you want to be part of a fun, dynamic environment where hard work is rewarded and a positive attitude demanded? Do you have a passion for the beautiful game? Then this is the job for you!We are a rapidly-growing small business operating the Boston-areas leading children´s soccer development program and are looking for a dynamic, intelligent, and personable Coaching Coordinator to develop and train our strong team of early childhood and youth soccer coaches.The Coaching Coordinator is a full-time position for a talented, creative, and engaging individual with exceptional written and oral communication skills. We are seeking an innovative thinker with an infectiously energetic personality to lead our team of educators and coaches.Responsibilities include:Hire and train new coachesContinually mentor and guide the coaching teamSupervise and evaluate educators and coaches to ensure program qualityKeep track of performance and frequency in fulfilling our quality standardsAssist in development of staff training programLead, provide materials for and participate in coach trainingLiaise with parents to receive feedback on staff performance and program contentPlease send us a cover letter that reflects your personality and an updated resume in the body of your email. Applications not including these elements will not be considered.",Minimum coaching license - USSF C or NSCAA National DiplomaMinimum two years coaching/education experience and practical applicationMinimum two years in a supervisory / leadership positionAptitude for effectively preparing and delivering presentations to large groupCustomer service orientedExceptional organizational skillsExperience in project managementDegree in Education/Exercise Physiology is a plus,"We offer a competitive starting salary, excellent growth potential, outstanding benefits (health and dental insurance, 25 days of Paid Time Off, matched 401K plan, etc.) and an extremely friendly and energetic work environment.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Sports,Management,0
9304,Graduates: English Teacher Abroad (Conversational),"US, NM, Las Cruces",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Excellent for student loans/credit cardsHousing provided (Furnished/Private)Airfare ReimbursedRemind us when you wanted to start when applying :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
2930,Senior Software Developer(Java),"HK, , ",,,"Joy Aether is a leading provider of mobile business solutions, based on iPhone, Android, iPad, and other platforms. We focus in design and development of mobile and web application software for businesses. Our customer base includes multi- national corporations such as Pfizer, UBM, DBS Bank, Haemonetics, Galderma, PCCW, etc.","Joy Aether LimitedJoy Aether is a leading provider of mobile business solutions, based on iPhone, Android, iPad, and other platforms. We focus in design and development of mobile and web application software for businesses. Our customer base includes multi-national corporations such as Pfizer, UBM, DBS Bank, Haemonetics, Galderma, PCCW, etc.We were recently interviewed by the best-selling local newspaper, about AR (Augmented Reality) technology. ResponsibilitiesThe right individual will perform architectural design, development, and hands-­on implementation of web applications.","Requirements:3+ years working experience in Java web stackExtensive knowledge in Java Development Platform and framework, with 2 years working experience on RESTful development and system architectureExperienced in Dojo or other Javascript-­framework programming for web applicationsKnowledgeable in database design and HTTP, with working experience in MySQL and Stored ProceduresFamiliar to programming algorithms like OO, MVC, ext., software engineering and processes, and agile modelingExperience with large scale deployment using Google App Engine, Heroku, Amazon EC2 and the like would be an assetStrong learning capabilities and ability to solve problems independently Strong communication skills with cooperation skills within a team.",Benefits:Gain experience in a fast growing industry with huge potential5-­day work weekOpportunities to lead and contribute your opinions.Gym with Swimming Pool,0,1,0,Full-time,,Bachelor's Degree,Information Technology and Services,Information Technology,0
4793,Key Account Manager,"GR, , Volos",Supply,,"incrediblue is busting the myth that boating is only for the rich and famous by enabling any traveller to book an amazing holiday on a boat. As it happened in lodging and in transportation, incrediblue is changing the travel industry again, by introducing a whole new travel category; boating. Boating is the ultimate vacation with all the comforts of being at home while you are exploring new destinations.We are an innovation driven, committed and fun to be around team, where every contribution, no matter how little or big, counts. So if you're a passionate professional with solid experience who wants to work in a world-class internet company, you are in the right place. As with all incrediblue team members, were looking for someone who takes pride in their entrepreneurial spirit, discipline, proactivity, guerilla mindset and great teamwork skills. Thats us!","Incrediblue is changing the way people experience holidays by enabling aspiring and experienced boaters to book unique boats directly from their owners. By integrating the 3 key industries of Internet, Travel &amp; Nautical Tourism, we are busting the myth that boating is only for the rich and famous. Were an innovation driven, committed and fun to be around team, where every contribution, no matter how little or big, counts. With growth comes great responsibility, so we are looking for skilled and excited professionals to join our team. As with all incrediblue team members, were looking for someone who takes pride in their entrepreneurial spirit, discipline, proactivity, guerrilla mindset and great teamwork skills. Thats us!As a Key Account Manager position you will take care of our supply side (boat owners/charter companies and fleet) You will need to:Build and execute on plans that help recruit new boat owners On board and train new boat owners, make sure they live up to the incrediblue standards.Maintain constant communication with boat owners, vendors, organizations and related entities to help engage, grow &amp; retain our fleet.Review data analytics and develop initiatives based on priorities that help improve our boat acquisition and retention.Liaise between concierge and supply departments to ensure the timely and successful delivery of specific boat types and special offers to incrediblue guests. Represent the company in national and international trade shows and conferences. Prepare reports demonstrating quarterly fleet building results, account statuses, and other valuable metrics data.","This is not your regular job and you probably won't be a regular candidate. Youll have a positive attitude and desire to help clients above all else.You must be:Experienced in sales and account management.Fluent English speaker.Tech-savvy, meaning that you are comfortable using modern office productivity tools, you are an efficient user of spreadsheet software and you can understand/learn our product well enough to be able to explain and sell it to others.Pleasant and patient communicator. We pride ourselves for our exceptionally friendly customer support, we communicate with respect and timeliness and we guide our clients with patience and dedication.Self-motivated, having a drive to carve out a career with a fast-growing tech startupYou must have:The ability to express yourself, both orally and in writing, with clarity, elegance and courteousness.College education.Experience in the field of sales.Experience in writing.Plus: Sailing experienceOther European Language(s)","BENEFITSCompetitive salary, depending on skills and experience.Generous office perks such as free food and beverages.Opportunity to learn and develop in a fast-growing, VC-backed tech startup.Are you excited by the opportunity of becoming part of a young, ambitious and entrepreneurial team who is innovating 3 industries at the same time? If yes, apply now for the position of the Key Account Manager here.",1,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Business Development,0
8254,Inside Sales Representative (LA/OC),"US, CA, LA/Orange County",Sales,,"Our HistoryFounded in 1929 by Earl Bertrand Bradley, the company began selling products for Knape and Vogt Co. of Grand Rapids, Michigan.With the opening of the Los Angeles branch in 1929, the company became a wholesale distributor specializing in store fixture and specialty hardware such as drawer slides, hinges, brackets and standards. In 1943 branch offices were opened in San Francisco followed by Seattle in 1956.The companys market position and business began to grow during the late 1950s and 1960s after adding Wilsonarts high-pressure laminate line to its product mix.During the 1970s under the leadership of E.B. Bradleys son Robert E. Bradley, Sr., two new branches were opened; San Diego in 1972 and Portland in 1976. Significant product additions were the Blum line of European hinges and drawer slides and Accuride precision ball bearing drawer slides. A greater emphasis as a supplier to the Cabinet and Furniture industries was taking hold.Since the opening of the Anaheim branch in 1995, the company has been consistently growing.In 1998 the company entered the cold press lamination business by opening 3 locations of its West Coast Laminating subsidiary in the Los Angeles, San Francisco and Pacific Northwest marketplaces.Our OwnershipUp until January 4, 2009, the company operated as a 100% family owned business.On January 5, 2009, Industrial Opportunity Partners (“IOP”), a private equity firm based in Evanston, IL. partnered with Robert Bradley, Jr. in aquiring the stock of E.B. Bradley Co. and its subsidiaries from its current shareholders.","Position available is located in 4 of our Southern California offices:  Anaheim, North Hollywood, Santa Fe Springs, and Vernon.Provide internal and external sales and customer service support within a call center working environment.","Essential Job FunctionsResponsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Answer incoming calls;Input orders;Order processing;Providing customer quotes;Check stock and inventory levels;Track and monitor orders;Interact with customers, vendors, Operation Managers and Outside Sales Reps;Provide technical support to customers and Outside Sales Reps;Resolve product application issues;Provide support to Outside Sales Reps;Filing, faxing and e-mailing;Assist at Will Call Counter;Organize catalogs;Practice good housekeeping maintaining a clean and safe working environment;Attend and participate in product and skills training classes, events and seminars;Develop and maintain product and industry knowledge;Some independent decision making in the areas of pricing, product sourcing, prioritizing tasks and time management;Continue to be knowledgeable and well trained on the products that you sell;Promote products and provide add-on suggestions to customers;Develop and maintain good working relationships with vendor reps and participate in ridealongs and training events with them;Participate in trade shows and events:Participate in product knowledge training events from manufacturers and vendors;Participate in promotions and spiff programs;Promote next-day delivery and one-stop shopping;Build and maintain long lasting business relationships and partnerships with clients;Assist customers by resolving issues and complaints;Complete required paperwork and reports as required by the Operations Manager;Trouble shooting and problem solving;Resolve issues with internal and external customers;Take advantage of all sales tools that are provided by the Company;Assist in resolving delivery issues and making special deliveries;Participate in training and technical support provided by Outside Sales Reps;Monitor inventory and assist in stocking decisions;Maintain a high level of customer service, professionalism and integrity;Perform housekeeping duties within your work area maintaining a clean and safe working environment.Non-Essential Job FunctionsPulling orders;Must assist others and perform additional tasks as directed by the Regional Sales Manager.Skills/QualificationsMust have a basic understanding of the selling process;Product and industry knowledge is preferred;A general knowledge of woodworking tools and equipment;Must have excellent time management skills;Prioritization, Organization, and Execution — ability to multi-task in a stressful, fast paced working environment;Attention to Detail,;Must be highly organized;Excellent Verbal and Written Communication skills;Must have the ability to interact effectively with individuals throughout all levels of an organization;Proficient in standard business software, including Outlook, Excel, Word, Access and PowerPoint.QualificationsTo perform this job successfully, an individual must be able to perform each essential job function satisfactorily. The requirements listed in this job description are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with qualified disabilities to perform the essential functions of the job.RequirementsHigh School Diploma or GED;Bachelor degree in business administration, marketing or equivalent preferred;1-3 years of inside sales and/or call center experience.Building industry experience is a plus.Physical DemandsRequires the ability to walk, stand, carry materials, stoop, kneel, bend at the waist, reach overhead and climb stairs:May require sitting and/or standing for long periods of time;Will be required to lift up to 50 lbs occasionally;Working EnvironmentWork is performed within a diverse environment;Some work may be performed within a general office environment;Some work may be performed within a manufacturing and warehouse environment;Work will also include extensive operation of an automobile;Exposure to moving mechanical parts, machinery, fumes, airborne particles, dirt, dust and chemical fumes;The noise level in the work environment is usually moderate to loud and may require hearing protection.Safety shoes and eye protection may be required at times.Temperature environment range is approximately 30°F to 110°F.SummaryThis position description identifies the key responsibilities, expectations of performance, qualifications and work environment as outlined in the contents.  It is neither intended nor possible to list all tasks and variables the employee may be required to perform or conditions the employee may be subject to.  Employees are expected to follow any and all job related duties and responsibilities, as may be reasonable assigned by management.As an Equal Opportunity Employer, E.B. Bradley, Co. is committed to a diverse workforce.",,0,1,1,,,,,Sales,0
11586,English Teacher Abroad ,"US, NJ, New Brunswick",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
15944,Business Development Manager,"US, NJ, Hasbrouck Heights ",,45000-60000,"G3 Communications is a fast-growing B2B content agency that specializes in custom content creation and demand generation. We're SO fast growing, that G3 Communications placed # 1340 of the fastest-growing companies in the Inc. 5000 list for 2013! Shortly after that, G3 Communications was announced as one of the 2014 Top 100 Best Places to Work in NJ! Not to toot our own horn, but we are a small, fun, boutique agency full of awesome people who not only talk the talk, but walk the walk. We have an impressive roster of clients who we partner with in developing stellar creative campaigns utilizing the most cutting-edge digital and interactive tools and tactics. That being said, Toot Toot! Our brands include Retail TouchPoints, Demand Gen Report, Channel Marketer Report, Content4Demand and Decision Tree Labs. Do yourself a favor, and check us out!","G3 Communications is looking for a highly organized, self-motivated individual with ability to work in a fast-paced, digital environment. Applicants must possess a positive attitude, strong desire to learn, and an ability to use online tools such as #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86#, LinkedIn, and ambition to find new prospects and connect with executives via multiple channels.You will have the opportunity to provide custom content strategy and execution services to small to medium size businesses across the Content4Demand brand as well as help support senior account managers with the day-to-day management of large accounts.Opportunity to build your professional brand and build relationships with clients at top brands in tech, financial services and media. Ideal candidate will utilize our vast knowledge and tools to engage with insights.  Company Overview:Content4Demand, a division of G3 Communications, is a fast-growing B2B content agency that specializes in custom content creation and demand generation. Were SO fast growing, that G3 Communications placed # 1340 of the fastest-growing companies in the Inc. 5000 list for 2013! Shortly after that, G3 Communications was announced as one of the 2014 Top 100 Best Places to Work in NJ! Not to toot our own horn, but we are a small, fun, boutique agency full of awesome people who not only talk the talk, but walk the walk. We have an impressive roster of clients who we partner with in developing stellar creative campaigns utilizing the most cutting-edge digital and interactive tools and tactics. That being said, Toot Toot! Our brands include Retail TouchPoints, Demand Gen Report, Channel Marketer Report, Content4Demand and Decision Tree Labs. Do yourself a favor, and check us out!","Manage the entire sales process from first contact to account and campaign management.Lead generation including outbound calling and email campaigns to build a continuous sales pipeline.Manage sales pipeline and develop and execute strategy for long-term client relationships, including cross selling across the G3 brands where relevant.Close sales (new and existing clients) and achieve monthly and quarterly targets.Assist prospects in understanding our USP and how our solutions can help them achieve their marketing goals.Utilize #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# to keep accurate records of your outreach, pipeline and account records.Work with senior level account managers to expand and transition larger accounts.Develop &amp; maintain database of Content4Demands competitive positioning in the marketplace.Requirements:1-2 years agency experience, managing accounts or in account development1-2 years prior inside sales experience, preferably within new media, with a proven track record of success.  Prior experience generating new leads and making new connections.Understanding of the sales cycle and solution selling process.Experience in the B2B marketing space helpful, but not required.Excellent communication and interpersonal skills.Ability to write compelling marketing copy for email campaignsMotivated by individual and team achievement as well as able to operate under minimal supervisor.BS/BA degree required. ","The opportunity to be part of a growing company at the cutting edge of the digital media industry; youll never get bored in our fast-paced, constantly evolving and challenging environment.Really cool people.Chance to learn about emerging areas of Demand Generation and Content Marketing from leading authority in these sectorsWe offer you real work/life balance; youll get flexible business hours!Did we mention, really awesome people to work with?We want you to be comfortable at work; so we have an uber-casual dress code.Weve got your health in mind; youll get a comprehensive benefits package.And lets not forget the REALLY awesome people! ",0,1,1,Full-time,Associate,Bachelor's Degree,Media Production,Business Development,0
12196,I want to help young people manage their money better..,"GB, LND, London",,,,"None of the Osper Team joined because they were looking for a job. We all wanted an opportunity to build something meaningful. An opportunity to impact the lives of young people positively. And in the process - have something that made our grandmas proud.As we stepped into the world of financial services - we promised ourselves that we would doggedly stick to our principles. Whether that meant overturning global financial policies, re-building technology stacks, or creating a simple experience trusted by young people and parents alike - nothing would stop us from achieving our goal of transforming how tomorrows adults learnt to manage money.You wont find any job descriptions in our “jobs” section. What you will find are some passionate teams each sharing their philosophies, challenges and craft with you. If they strike a chord- and you feel equipped with the tool for an exciting climb with breathtaking views - say hello!- Alick.",,,0,1,0,,,,,,0
2073,Graphic Designer,"US, NY, New York",Communications,,"We're a data and design focused startup based in New York City with a passionate and forward-thinking team. We enable people to do what they love better, through data. This means we are building a groundbreaking data product and need your help achieving our goals.Were a different kind of company. Every day we live out our core values of community, excellence, innovation, fulfillment, and transparency.","Based in New York City, SumAll is a connected data tool that helps thousands make better decisions using data. We're expanding our marketing efforts and are currently seeking a graphic designer for our communications team to work under the direction of our Senior Brand Designer.This is an entry-level position.","Branding knowledge: You should respect and expand SumAlls guidelines and design language that express the SumAll brandObservation and feedback: You are familiar working with user researchers to observe field/usability studies and understand how to get and process feedback from any source ranging from users to any member within SumAlls team.Cross Collaboration: You are comfortable working with multidisciplinary teams including user researchers, user experience designers and engineers.Graphic Design: You enjoy sketching, wire-framing, and iterating on design concepts that are modern and visually compelling.Communication: You must have strong presenting, verbal, written, and visual presentation skills. You are able to work with a close-knit team under supervision of the senior brand designer. You are comfortable interacting with a multi-disciplinary group of engineers, data-scientists, and business development experts.Your responsibilities will include:Crafting web-based advertising for SumAllCreating mock-ups and wireframes for landing pagesCreating images, gifs, and layouts for our marketing emailsWorking closely with our marketing team to create compelling images and collateral for presentations.Your skillset includes:4+ years of experience in graphic and web design, creating advertisements, banners, and layouts.Strong knowledge and love of typographyStrong knowledge of Adobe Illustrator, Photoshop, and InDesignBasic knowledge of HTML5 &amp; CSS with a desire to improve. Strong knowledge is a plus.Strong attention to detail, good prioritization skills, and the ability to work with tight deadlinesKnowledge of e-mail marketing is a plus.","We are committed to building a fun, community-oriented, and open culture. We provide catered lunches, a snack bar, kegerator, Xbox, and a number of other treats that make the workday more enjoyable. Full-time benefits include, but are not limited to, stock options, 401K, and health insurance.Founded in 2011, we are a group of experienced entrepreneurs, designers, and engineers. Between us, weve started several companies, created commercial web products, and led teams at Fortune 500s. We have the prowess, gusto, and push to build a disruptive technology that will change how people use data and see the world around them. Wed love for you to join us.",0,1,1,Full-time,Entry level,,,Design,0
8152,Executive Assistant to CEO,"DE, , Berlin",Leadership,14400-24000,"WorkHub, a Berlin-based technology startup, is innovating at the intersection of mobile technology and digital outsourcing. WorkHub takes freelance work to the next level by building an on-demand cloud working service that distributes tasks to people around the world, anytime, anywhere, on any device.","Coordinate meetings, travel schedules, etc. for the CEO and key executivesManage incoming emails to the CEO from team members, partners, customers, shareholders and investorsManage the CEO's calendar and schedule appointmentsCreate presentations, reporting decks &amp; facilitate social media postingsEnhance communication within the teamCoordinate internal and external meetingsEnsure smooth-running office operationsHelp with paperwork and keep track of administrative things","You are a native (or very fluent) German speaker and equally fluent in EnglishYou are proficient with Keynote, Excel, Google Drive etc.You are interested in startups, entrepreneurship &amp; technology, and you are looking forward to building a highly successful career in startupsYou have a university degree or a similar qualificationYou have previous professional experience in a similar positionYou are eager to learn and flexible to adapt to last-minute changes in scheduleYou are a great communicator and love working with teams","An international work environment characterized by flat hierarchies, a great company spirit, the most flexible working hours in Germany, and an open feedback cultureValuable insights into Berlin's and the international startup sceneWork directly with the founder &amp; CEO on a daily basisLearn exactly what needs to get done in a startupFun, fast, and international team of young top performersWork with serial entrepreneurs who've founded successful companies beforeWork in Kreuzberg, the European Silicon Valley's new heart",0,1,0,Full-time,Entry level,Bachelor's Degree,Internet,Administrative,0
2384,Developer,"KR, , Seoul",Developer,,"Our mission is to optimize the first screen of mobile to better connect users with the world.Founded in 2012 by leaders in the South Korean startup and tech scene (ex-Google, Naver, Ticketmonster, etc.) and backed by Softbank Ventures Korea, were building a team of people who aspire to change the world by dreaming the impossible. Were a team of 30 based in our HQ in Seoul, and we have recently set up our new offices in San Francisco, Tokyo, and Taipei for global operations. Our flagship mobile app, Honeyscreen, has reached over 2 million users in South Korea since its launch and is the leading lock screen advertising platform in Japan, making us primed to impact mobile advertising on a global scale.To find out more about Buzzvil life and culture, check out our blog! ","버즈빌에서 글로벌 모바일 서비스에 대해 무한한 관심과 열정이 있는 개발자를 찾습니다. 잠금화면을 광고 플랫폼으로 활용해 모바일 첫 화면이란 공간을 혁명적으로 변화시키고 있는 버즈빌의 글로벌 서비스를 개발하는 역할입니다. 한국에 본사를 두고 있는 버즈빌은 현재 20명의 직원이 근무하고 있으며, 글로벌 확장을 위해 샌프란시스코와 도쿄에 오피스가 있습니다.About Buzzvil2012년 한국의 대표적인 스타트업 기업가와 개발자가 설립한 버즈빌은 불가능할 정도로 큰 꿈을 꿔야 세계를 변화시킬 수 있는 신념을 가지고, 모바일 첫 화면을 통해 유저가 더 나은 세상을 만날 수 있도록 하 한다라는 미션을 달성하기 위해 노력하고 있습니다. 버즈빌의 모바일 앱 서비스인 허니스크린은 현재 한국에서 200만 유저를 확보하고 있으며, 일본 내에서도 (락조이란 이름으로) Top 잠금화면 광고 매체 중 하나가 되었습니다. 이런 성과를 바탕으로 버즈빌은 미국과 동남아 등 해외 진출을 적극적으로 모색하고 있습니다.About the Job합격자는 글로벌 서비스를 지향하는 버즈빌의 개발을 담당하게 됩니다. 주요 직무로는 1) Python/ Django/MySQL 기반의 API서버/웹서버 개발, 2) 외부 애드 네트워크에서 광고를 받아오는 연동 시스템 구축, 3)안드로이드 클라이언트 개발 등으로써 글로벌 서비스에 필요한 기능들을 골고루 개발하게 됩니다. 글로벌 서비스를 내 손으로 한 번 만들어보고 싶다는 꿈과 열정이 있으신 분이라면 주저하지 마시고 저희 버즈빌의 문을 쾅쾅 두드려주세요!Key ResponsibilitiesPython/ Django/MySQL 기반의 API서버/웹서버 개발외부 애드 네트워크에서 광고를 받아오는 연동 시스템 구축안드로이드 클라이언트 개발광고 관리를 위한 대쉬보드 및 어드민 구현",코딩하는 것이 즐거우신 분자료구조/알고리즘/OS/네트워크에 관한 기초지식을 보유하신 분능동적인 업무 수행과 협업을 중요하게 생각 하시는 분스타트업 문화에 대한 관심 및 빠르게 업무를 진행할 수 있는 역량이 있으신 분지원서 제출 기한: 9월 26일 2014년 Learn more about Buzzvil life and culture on our blog!  ,,0,1,1,Full-time,Not Applicable,,Information Technology and Services,Engineering,0
13096,Junior WebDeveloper [Intern],"PT, 13, Porto",Engineering,,"What is ColorElephant?At ColorElephant we're in the business of helping businesses. We're a small company focused on developing products that help businesses worlwide and providing an array of specialized services in the areas of marketing (events, online marketing, community management, online campaigns, brand activation, improvement in customer service), webdevelopment (applications, websites) and design (graphic and web). We like to offer solutions that are a mix between those areas delivering consistent and multidisciplinary added-value. We see and understand problems, we approach and solve them - as if they were our own. Headquartered in Porto (Portugal) we've also offices in New Delhi (India) and people working remotely from Romania and France - we're small but growing very fast. Want to join a fast-paced environment with brainstorm sessions happening all the time? Where everyone cares about making great products and providing stellar services? Want to be part of a family where we deeply care about each other?Why join ColorElephant crew?We've worked for other people before. We believe in treating other people the way we'd like to be treated. Here's what awaits you dispite your position (expect it no matter if you are a senior developer or a ""just starting"" intern):Free healthy (and not so healthy) stack of snacks throughout the day.Free and unlimited doses of coffee and energy drinksCasual environment - no dress code, flexible hours, intimist setting (you know your partner as well as you know your boss)Always open-minded: We expect you to be open minded, and so are we. Brainstorms are done almost on a daily basis. And you're always free to come up with and present your ideas. Equity option in a very young company growing 250% every semester - depending on your profile. Paid time-off! Access to an unmatched network of clients, partners, other entrepreneurs etc nationally and internationally. Ability to be part of our Product Development process - with input, ideas, etc - even if you don't code you'll be building our products. Company phone and plan - depending on positionAmazon credit to spend on learning material regularly. Ability to attend one event you find valuable locally or abroad - company paid, after one year with us.Travel to some European city for 3 days - we pay for it, after one year with us.Lets do it?","Candidate must meet IEFP ""Estágios Emprego"" requirements or be eligible for the Erasmus Entrepreneurs program. (alternatively you can also apply if you are eligible for ""Medida Estímulo"")We're looking for a great webdeveloper willing to learn and grow within the organisation. You'll work together with our sales and marketing team and with our management team to respond to needs of our clients as well as those from our products. Expect to create amazing web applications for our clients or extraordinary components for our products. Above all expect a ""always changing"" environment and to work side-by-side with our management team. You're a self-starter, entrepreneurial and focused person looking to create useful products that can be used worldwide. You understand the importance of creating long-lasting and beautiful code. You're ready to ""get dirty"" and work hard to make sure we fullfil our goals. You understand what a startup is and what it means (more dedications, a few ""extra nights"", more ownership and independence, ability to make an impact with your work).We're looking for someone with knowledge of: PHP, SQL, CSS, HTML, JavaScript. Preference for candidates who send with their application examples of code. ","Understand what a startup is and what kind of environement you'll be working in. Fluent in EnglishUnderstands and codes in PHP, SQL, CSS, HTML, Javascript (Web Development)Writes clean, re-usable codeCan work in a small-teamReady to learn every dayLeadership SkillsAbility to cope with a high-responsability / ownership environmentAbility to work well in team and to grow inside the company","Fair Salary based on candidate profileFree healthy (and not so healthy) stack of snacks throughout the day.Free and unlimited doses of coffee and energy drinksCasual environment - no dress code, flexible hours, intimist setting (you know your partner as well as you know your boss)Always open-minded: We expect you to be open minded, and so are we. Brainstorms are done almost on a daily basis. And you're always free to come up with and present your ideas. Equity option in a very young company growing 250% every semester - depending on your profile. Paid time-off! Access to an unmatched network of clients, partners, other entrepreneurs etc nationally and internationally. Ability to be part of our Product Development process - with input, ideas, etc - even if you don't code you'll be building our products. Company phone and plan - depending on positionAmazon credit to spend on learning material regularly. Ability to make it to the core team past the one year of internship. Ability to attend one event you find valuable locally or abroad - company paid, after one year with us.Travel to some European city for 3 days - we pay for it, after one year with us.",0,1,1,Full-time,Internship,,,Engineering,0
16723,Lead Information Architect,"US, AL, Montgomery",PMO,208000-270400,"CARES is Alabamas approach to the modernization of the enrollment and eligibility systems for Medicaid, Child Health Insurance Program, Food Assistance, TANF and Subsidized Child Care. Through this system, citizens will be linked with critical, life-saving services in an efficient, user-centric interface. ","Design, develop, implement, and validate innovative applications.This includes:Direct a team of Information Architects and technical specialistsProvide architectural strategic thinking, information solutions, and roadmaps to drive architectural recommendations.Maintain a plan to address significant architecture gaps between current and target state.Provide information architecture services to project teams. Solutions provided must meet availability requirements and adhere to CARES Program standards.Work with product owners to develop the canonical data schema for the CARES ProgramEnsure information architectural compliance of solutions and introduce recommendations for changes to reference models when needed.Collaborate with business leaders to determine how to best use technology to enable growth and success (e.g. operational reporting and advanced analytics).Participate in defining and driving architecture competencies, processes, and best practices across Integrated Eligibility and Enrollment systems.Understand potential impacts of proposed solutions on other systems, processes or projects. Articulate and document designs, considering tradeoffs, costs, and benefits.Develop ongoing knowledge of current and emerging technologies. Identify new and emerging solutions, assess relevance and potential value to the organization, and present findings to teammates and management.Participate in day-to-day execution of the architecture strategyWithin the CARES Program governance process, develop blueprints and conduct design reviews to ensure architectural compliance of solutions.Work across multiple projects and systems to develop, communicate, and mentor others on information design/implementation standards, guidelines and best practicesApprove architectural and development standards and communicate them to the development teamsProvide guidance for technical standards enforcementDesign systems level architecture in compliance with industry standard approaches to enterprise architectureMeet with other PMO level teams to facilitated a collaborative approach to systems developmentDevelop clear, API and SDK level documentation and communicate that documentation to the development teamsProvide direct supervision of 2 Enterprise Architects, an Application Lifecycle Manager and a UI/UX DesignerCollaborate with a Program Manager, Lead Enterprise Architect, Lead Business Analyst, Quality Assurance Manager, Development Manager, and Infrastructure Manager to provide advice and guidance to the development enterpriseReports to the Program Director and Deputy Program DirectorProvides project management support to the Architecture team to ensure adherence to the program management planCollaborate in gathering and documenting requirements from end users and management. Candidate will work from high-level conceptual ideas and translate them into technical requirements.Design integrated data framework and canonical data schema for the CARES ProgramWork with an Enterprise Architecture Team to support database design and implementationDesign application componentsWork with a Quality Assurance Manager to develop automated test plansWork with an Application Lifecycle Manager to develop build and development automation processesMonitor the development of application components to ensure compliance with architectural standardsParticipate in security testing processes and implement recommendations to code revisionsManage, troubleshoot, optimize, and tune web applications and featuresWork with the Lead Business Analyst and product owners to understand business rules and interpret dataRespond to ad hoc requests from Medicaid Eligibility team and othersReview, assess, analyze, design, build, implement, &amp; support Medicaid Eligibility system(s) and/or associated projects as directed or assigned MITA Documentation of as is/to be for each processFacilitate technical interactions with multiple state agenciesWorking to help align Medicaid/CHIP/SNAP/TANF/CCS business rules","Experience:10+ years of experience with systems design and development as an IT Applications/Web Applications Developer; specific experience and knowledge of the following:Experience with Alabama Medicaid Beneficiary Services Eligibility Determination OperationsExperience with Alabama Department of Human Resources Food Assistance, TANF and Subsidized Child Care Enrollment and Eligibility SystemsExtensive knowledge of IT Project ManagementAbility to cross-walk existing legacy systems (business process, code, technology, programming and architecture) from Mainframe to Web and Web to Mainframe environments Skill/Technology:Project Management ExperienceExcellent Written and Verbal Communication SkillsExpert level knowledge of database design and information modelingAdvanced uses of database design toolsets, especially with Microsoft SQL Server solutions Education/Certification:Bachelors Degree from an accredited four year college or University in an appropriate technical degree program or equivalent experience ",,0,0,0,,,,,,0
3438,Project Lead,"US, TX, Houston",,,"We believe in global talent, and we know where to get it and how to develop it. This ability fuels the solutions and services we provide to our clients.In 2012, our 350 people worked on projects across IT, software development, technical support and business process outsourcing. Our software development team alone worked on over 80 projects serving customers as varied as Fortune 500 multinationals to small companies and start-ups.We are firm believers that a project's success hinges on having the right team in place. Our strength lies in our people  people who are skilled, driven and talented. They make it their mission to ensure that they give their best in everything they do.Whether you are looking for IT talent or back-office staff, we'll stringently match you with experienced professionals who will fit right in with your existing team. We are in the business of finding people and providing the best staffing mix  be it remote or on-site.",eFacts Project LeadProgram Manager for the $10M sFACTS project which is a complex Global Mobility program - Manage approximately 20 people team plus coordinate the 20 people vendor team. All aspects of project management capabilities plus ideally be a driver of the solution (Solution Architect). ,1 - HR Process Design (P4 - Expert) |2 - SAP-Human Capital Management (P4 - Expert) |3 - SAP-HCM-Technology (P3 - Advanced) |4 - Global Mobility (P2 - Proficient) .,,0,1,0,Contract,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
14610,Copy Editor,"GR, , Athens",Editorial,,"Daily Secret is one of the fastest growing digital media brand for premium audiences around the world, serving 1.8 million subscribers every day. Covering over 35 markets, its signature daily email newsletters reveal coveted bars, restaurants, shops and all around local secrets while integrating brands around passion points. Headquartered in New York, NY and Athens, Greece, Daily Secret is backed by leading media investors, such as Greycroft Partners, eVentures, Ronald Lauder, Dick Parsons, and Andy Russell. To learn more about us visit #URL_e830679f90409d3a40bc46c9110e3c627418d0bff3a005f2a78539860ed584c2#","Daily Secret is seeking a Copy Editor to join the Athens team. This role will provide copy editing support to the editorial team and report to our Managing Editor. Our ideal candidate is someone who is passionate about writing great copy and enjoys “traveling” the world through reading our secrets. We are looking for someone who is meticulous, detail-oriented, communicative, organized, and can exercise good judgement with minimal direction.Responsibilities:Work with the Managing Editor to copy edit all content.Proofread and copy edit content for grammar, consistency, accuracy, and readability.Edit copy to ensure content is easy to understand, interesting, creative, and compelling.Fact-check all content for quality assurance.Meet daily deadlines set by the editorial team.Perform other duties as assigned.","2-4 years of professional editorial experience.must be able to edit copy in English and Spanish a passion for finding grammar, punctuation and syntax errorsmust be familiar with our editorial voicecan work collaboratively with stakeholders and as a team member across divisionscan manage multiple deadlines to complete projects on timecan work full time out of our beautiful office in Kolonakiloves the Daily Secret product and is passionate about our editorial categories (arts &amp; culture, food &amp; dining, health &amp; wellness, etc.)is highly adaptable and enjoys learning about new technologyis a fantastic communicator, especially across different culturesbelieves in our company values as much as we do","We offer...first-hand experience at a fast-growing, global digital media startupthe opportunity to expand your skill set from traditional to digital mediadaily exposure to readers around the world who are passionate about our secretsintelligent colleagues who take pride in their worka salary, a full fridge and all that jazz",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Writing/Editing,0
11162,Front End Developer,"US, NY, Brooklyn",Engineer,,"Namely is the leading end-to-end HR and payroll platform for growing companies. Offerings include human payroll, benefits administration, time management, human capital management, performance management, and employee engagement. In addition, each company is assigned its own account manager, available 24/7 for ongoing support and training for each of Namelys features. Namely is used by some of the worlds most innovative and exciting companies from many industries, including media, technology, commerce, and professional services.","Namely is the HR Platform for companies serious about growth. Our clients get more than just HR software - they get a cloud-based, complete platform to manage their entire company. In the past, growing companies depended on multiple systems to manage all their HR tasks. In contrast, Namely offers an end-to-end integrated platform so employees and managers only have to remember one login and take care of all their HR needs.So, why work for us? Because we think big - were growing our enterprise-wide solutions, and expanding our amazing team. We know that people are as equally important as product. We treat people like grownups, and have all the perks youd expect out of a made-in-NY startup. We believe in our product, and we live our our values.We are looking for a skilled front end developer to contribute ideas, innovation, solutions and code to our product.Developers work out of Greenpoint, Brooklyn.","Highly desired skills:Experience building front end javascript-based apps that utilize Ajax and DOM manipulation. Ideally has experience with jQuery and #URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399#Experience working with a SQL database using either raw SQL or Active Record objects.Experience working with and creating raw HTML / CSS from PSDs or design documents.Experience with version control and group repositories, comfortable working intimately on a codebase with other developers.Experience with structuring and maintaining common assetsNice to have skills:Experience with Squarespace and/or Hubspot templates.Experience using test-driven development methods.Experience with creating or maintaining a web server in a Unix based environment.HTML5 and Canvas experience.Agile-based development, including sprints and standup meetings. Comfortable using collaborative software to track development tasks.Familiar with both agency and startup settings, and comfortable working with UX, Designers, Producers, and Product Managers.Strong communication and writing ability. Comfortable communicating high level tech ideas to both developers and non developers.Strong QA and bug fixing abilities. Comfortable using bug tracking software.Independent, resourceful learner.",,0,1,0,Full-time,,,Information Technology and Services,,0
2659,Mid level System Engineer/Administrator,"US, KS, Kansas City",IT Department,,,"ResponsibilitiesSystem Engineers are responsible for the daily, weekly, and monthly activities required to keep a client system running at peak performance.  System Engineers perform a variety of technical tasks, in addition to following all process and procedures, escalating issues, and creating/updating all documentation necessary.  System Engineers need a strong understanding of core Microsoft technologies including Windows Server and Active Directory as well as core networking concepts and troubleshooting skills.","QualificationsMinimum Qualifications:Associates degree in an Information Technology related field or equivalent experienceKnowledge in one or more of the following areas: Linux, AIX, Citrix, Windows, WebSphere Application Server, Oracle Application Server, or NetworkingAbility to read, speak, and write in French or EnglishPossess decision making skills as well as the ability to deal with ambiguityStrong analytic and problem solving abilitiesDemonstrates the ability to work on multiple projects simultaneously and prioritize work to meet adapting deadlinesAttention to detailAbility to work overtime and irregular hours when neededPreferred Qualifications:Experience in the following areas: Linux, AIX, Citrix, Windows, WebSphere Application Server, Oracle Application Server, or NetworkingExperience with SQL scriptingApplicants for U.S. based positions must be legally authorized to work in the United States.  Verification of employment eligibility will be required at the time of hire.  Visa sponsorship is not available for this position.","Full Benefits including discounted health insurance, discounted stock purchase program, 401k match of 33 cents to the dollar up to 6%, dental and optical insurance deeply discounted, and free life insurance included.  ",0,0,1,,,,,,0
1075,Cheadle Fragrance and Beauty Promotional Staff,"GB, , Cheadle",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","We are currently recruiting for an exciting Sales &amp; Customer Service role. We are looking for someone with a passion for Sales, beauty and fragrance who is enthusiastic and driven.The ideal candidates will have the ability to demonstrate a fresh approach to Sales and be able to work in a fast paced and versatile environment.If you are looking to build your career in Beauty, Promotional work, Sales and Customer Service apply now.The role would suit anyone with the following experience:* Great communication skills* Positive attitude* Be able to hit targets and achieve goals* Be able to work in a customer facing role* The ability to build and maintain strong relationships with clients and customers* Beauty training or make up artisty* Fragrance or cosmetic counter experienceFull product training will be available to all successful candidates.Please click on the link below to apply directlyhttps://b4.#URL_c050d78cefc5b9fd74edaf55bf1e65eb99b3be8c37df0cbef9b053604be1ce85#?AppKey=0e11200093d4b79c99574dd8af67",Experience in promotional work fragrance and make up.Sales experience.,Bonuses may be given.,0,1,1,Temporary,Associate,Unspecified,Cosmetics,Sales,0
17170,Kitchen Prep Hires Needed - Hiring ASAP!,"US, VA, Chester",,,,BIG EVENT IN CHESTER! NOVEMBER 10TH AND 13TH!RELIABLE INDIVIDUALS NEEDED FOR FOOD PREP AND FOR SERVING. Day shift 6am-2pm Kitchen Food PrepDay shift 7am-3pm ServersNight shift 5pm-1am Kitchen Food PrepNight shift 6pm-2am Servers$10 per hour all positions. Should have reliable transportation. Not on bus line.Include a Resume and include WHICH SHIFT(S) YOUWISH TO WORK AND IF YOU AREAN EXPERIENCED FOOD PREP (NOT FAST FOOD) INDIVIDUAL ORAN EXPERIENCED SERVER (BUFFET OR RESTAURANT,,,0,0,0,Temporary,,,Restaurants,,0
10457,Massage Therapist,"US, VA, Ashburn",,0-0,,"LaVida Massage of Ashburn is a unique wellness center dedicated to providing affordable, convenient, and highly customized therapeutic massage and skin care services for the whole family. Our center is conveniently located in the Ryan Park shopping center in the heart of Ashburn. We are currently recruiting cheerful, professional, and highly motivated VA licensed Massage Therapists to join our Ashburn, VA team. ","-       Must have appropriate state and local massage therapy licenses.-       Knowledge of Swedish and Deep Tissue Massage Required.-       Knowledge of other modalities preferred, but not required.-       Must be professional, friendly, and customer service oriented.-       Must believe in the benefits of massage therapy and be able to relay that to clients.","-       Quality medical, dental, and vision insurance available-       Free monthly massage and discounts on retail products-       15 minute breaks in between each session-       Flexible schedules-       Steady clients-       Very competitive compensation",0,0,0,Full-time,Entry level,,"Health, Wellness and Fitness",,0
13340,Cloud engineer,"IN, KA, bangalore",,0-0,"Watchy Technology is a 3 year old Tech startup providing hardware technology solutions for video broadcasting and Internet. We were incubated with The Morpheus. Our clientele includes Redbus, LG, Firepro, Toshiba, Hindustan Unilever, TEDx.Our flagship product is Bond007- a hardware for network aggregation. Simply put , now our customer can connect 7 wireless dongles of 2G, 3G, 4G networks from any vendor to bond007 and get the sum of all the bandwidth as one super speed internet. 7 torches give a brighter light than one.Currently the product solves the pain point for media companies , video broadcasters and event managers who are looking for a reliable alternate for publishing live video feed without using expensive satellite based systems. Since the bond007 provide high speed download and upload using low speed networks, it also benefits NGOs and travellers who need access to high speed internet in the field.You can find out more about us from Rootconf by hasgeek and media coverage by Times of India, Sunday Times, CNBC TV18, Yourstory.","What you will do at WatchyAutomate provisioning &amp; scaling servers on our cloudTune and extend our monitoring infrastructure to track more metricsBuild and execute simulations that can pro-actively test the infrastructure under heavy load.Execute periodic security audits on server.Though this list is neither definitive nor exhaustive, it gives a fair idea what you can expect in this role.","Relevant experience2-4 years of hands on experience on provisioning cloud serversExperience in scaling cloud instancesCommandline proficiency in Linux Wild card EntryMore love would be given to your resume, if you add details about your activities in the following.FOSS &gt; Github, Source forge, …Q&amp;A forums &gt; Stack overflow, Quora, ubuntu forum, …Tech talks &gt; Barcamp, Hackathons, …Blog &gt; Personal, Professional, Third party, …Competition &gt; Topcoder, Hacker Rank, …","Exposure to startup EcosystemCompany sponsored Tech talksSupport and Encouragement to work on FOSS/Pet projectsMedical InsuranceKoramangala, BangaloreLunch, Snacks &amp; Fresh green tea",0,1,1,Full-time,,Bachelor's Degree,Computer Networking,Engineering,0
8993,Graduates: English Teacher Abroad (Conversational),"US, KS, Lawrence",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Excellent for student loans/credit cardsHousing provided (Furnished/Private)Airfare ReimbursedRemind us when you wanted to start when applying :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
14936,Digital Art Director,"GR, I, Gerakas",Creative,,"OgilvyOne worldwide, Athens was established in 1987. The company provides Digital, CRM and Promotional services to Greek and International clients.OgilvyOne Athens has been named both Digital and Direct Agency of the Year in Greece for 2012 and for 2013. During the last 6 years, it has been the most awarded agency for each year, at Greece's annual advertising awards, winning more than 200 ""Ermis Awards"", including 14 Grand Ermis.The agency's work, has also won awards for its results, including 4 Effie Awards Hellas.OgilvyOne Athens is the most awarded Greek agency abroad, winning international awards at Cannes Lions, CLIO Awards,One Show Entertainment, the London International Awards, the New York Festivals and IAB's MIXX Awards.","OgilvyOne Worldwide, Athens seeks to recruit an Art Director to join its award winning team, creating digitally led integrated campaigns for major Greek and global clients.","Minimum 1 year of art direction experienceExceptional conceptual skillsA clear communicator and passionate about design and typography.Self motivated to keep up with the latest advances of marketing and of the way the Web, Social Media, and Mobile are influencing consumers experiences around brands.Exceptional crafting skills and expert use of Photoshop, Illustrator etc.",A competitive package matching the candidates skills and experience,0,1,1,,,,,,0
2513,Senior PHP Developer,"UA, , Kharkov",Kreditech,,X1 Group helps startups and tech companies push their businesses forward by setting up long-term dedicated development teams working exclusively on their projects.,"We're extending development team of the digital lending project, which provides easy, fast access to online loans to consumers worldwide through its web and mobile services. Now we have a team of 25+ developers and QAs and searching for more high-skilled web developers (PHP and JavaScript). We'll be happy to give you much more details during interview.We expectStrong expertice in web development with PHP and related technologiesAdvanced knowledge of PHP, MySQL, Zend Framework (3+ years of commercial PHP experience)Strong self-learning abilities and knowledge of latest web dev trendsSharp mind, good attitude, responsibility and motivationIntermediate EnglishNice to have: Git, git flow, HTML5, CSS3, basic+ linux administration skillsYou can expectCompetitive compensation and ongoing professional growthChallenging and fast growing projectFor those who have team or tech leading experience, or at least who are strongly willing to get one - even more opportunitiesComfortable and stylish office in the very center of KharkovWe offer an exciting and dynamic work environment combined with responsibility from the very beginningWe make sure that you have an enjoyable working environment and fun at workRegular business-trips to Germany and long-term Schengen visa which might be used for your private trips as well",,,0,1,0,Full-time,Mid-Senior level,,Information Technology and Services,Engineering,0
14830,Jr. QA Engineer,"US, GA, Atlanta",IT,,,"1-5 years of software QA experience- BS/MS in CS/Engineering - Experience with test development on web applications - Scripting languages  *Perl *VBScript *Windows Powershell - Minimum 1 years experience with QA automation tools (Selenium, etc.) - Experience writing test plans - Writing automated test cases - Time Management- Good knowledge of software testing theory and methodologies - Organizational Skills- Written and verbal communication skills- Basic-intermediate knowledge of SQLAdvantage to profiles with- Testing Flex/ActionScript experience- Bug-tracking tools (i.e., Jira) experience - Agile methodologyFor: US Citizen/ Green Card/ EAD candidates only",,,0,0,0,Full-time,Entry level,Bachelor's Degree,Information Technology and Services,Quality Assurance,0
2912,Technical Support Engineer,"GR, I, Athens",Technical Support,,"ESET is a pioneer in the antivirus industry with its award-winning NOD32 technology celebrating its 25th anniversary in 2012. ESET advanced security solutions are trusted by businesses and consumers around the world for protection against today's most sophisticated cyber attacks.On a daily basis, we protect millions of users across all types of environments - be it computers, laptops, mobile devices or servers. ESET Endpoint Security and ESET Endpoint Antivirus, in addition to ESET NOD32 Antivirus, ESET Smart Security and ESET Cyber Security (solution for Mac), are trusted by millions of users globally and are among the most highly recommended security solutions in the world.The company continues to lead the industry in proactive threat detection. The proven detection capabilities and low system demands of our security solutions continue to be recognized by independent testing organizations. ESET NOD32 Antivirus has achieved the longest unbroken string of Virus Bulletin VB100 Awards — a testament to the consistent high-quality performance of ESET security across all platforms. ESET also holds a long string of accolades from AV-Comparatives, AV-Test and other independent testing organizations. In 2012, ESET was awarded the SC Magazine Reader Trust Award by its readership of IT security executives for being the “Best Anti-Malware Management” solution.ESET operates in the region, through offices in Dubai-UAE, Athens-Greece and Nicosia-Cyprus.","Working in Technical Support the Technical Support Engineer will provide first class technical support to ESET customers by troubleshooting, analysing, replicating and diagnosing issues with a focus on quality working and customer satisfaction.Provide technical and customer support to ESET customers and partners, by answering queries, with a focus on quality and customer satisfaction.Document and record all activity and communication with customers over telephone and email in the company's crm system.Deliver pre-sales technical presentations, demonstrations, and consultations to ESET customers and partners.Demonstrate feasibility of ESET products solutions during the qualifying process, confirm the products meet the prospect's requirements, and assist sales in technical qualification.Responds to after-hours support queries during assigned rotation and takes accountability for ownership and escalation of all after-hours issues during assigned rotation.","Computer related degree.Good working knowledge with IT security technologies (Anti-Virus, Malware Detection, IDS/IPS, Firewalls).Expertise with Network Installation, Administration and Management, Network Performance Monitoring and Analysis.Ability to understand and explain related technical issuesExcellent knowledge of Greek and English languageWorking knowledge with competitor products, is preferred.",,0,1,1,Full-time,Associate,Bachelor's Degree,Computer & Network Security,Information Technology,0
7323,System Administrator,"GB, LND, London",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a System Architect, fluent in English, to offer his/her services as expert who will be based in Westminster, London, UK. The work will be carried out either in the companys premises or on site at customer premises. In the context of the first assignment, the successful candidate will be integrated in the Testing team of the company that will closely cooperate with a major clients IT team on site.Your tasks:Build and maintain appropriate environments for testing and development work;Identify the role of technology in service delivery and policy implementation;Revise and improve the development toolset as the work progresses;Configure and manage Linux servers for serving a dynamic website, debug complex multi-server services;Bring innovation in managing and improving the development tool set.","Your skills:University degree with demonstrated experience in system administration and web operations;Excellent knowledge of Mongo database and JAVA;Good knowledge of Dropwizard, Mustache templates, Javascript, Git, Jenkins, Cucumber, HTML and CSS;Hands on experience with Linux servers;Understanding of common web application architecture;Working experience in development (preferably in Java) will be considered as an asset;Fluency in both oral and written English.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major public administration, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (SA/04/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.   800x600    Normal  0          false  false  false    EL  X-NONE  X-NONE                                 MicrosoftInternetExplorer4                                                                                                                                                                                                                                                                                                                            /* Style Definitions */ #URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0cm 5.4pt 0cm 5.4pt;mso-para-margin:0cm;mso-para-margin-bottom:.0001pt;mso-pagination:widow-orphan;font-size:10.0pt;font-family:""Times New Roman"",""serif"";}",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
17756,Administrative Clerk,"US, , ",,17-20,,"• Answering calls, and transferring to the correct department. • Greeting Customers • Ensure customers in the waiting area are helped. • Working with excel • Perform basic clerical functions • Scheduling meetings • Perform outbound calling  • Assist in basic office functions","Positive attitude, Excellent telephone skills, Verbal Communication, Excellent computer skills, Proficient in Microsoft Office, Understanding of social media, Professionalism, Customer Focus, Organization, reliability, attention to detail!Please forward your resume to #EMAIL_e5383f54f5f1faef4a9a33b997251c93861f884343e1fbb29c2d09e92f666407# ASAP.",,0,0,0,Full-time,Not Applicable,Unspecified,Business Supplies and Equipment,Administrative,1
3822,"Writer, Russian Language","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.",The Writer shall properly complete all daily tasks. These daily tasks include but are not limited to: adhering to the appropriate newswriting style (fails not more than twice per week); preparing for writing assignments and interviews (fails not more than once per week); meeting deadlines (fails not more than once per week); delivering required content from reporting assignments (fails not more than once per week); producing and managing multimedia content within a content management system (fails not more than once per week).,"The Writer shall have at least five years of current experience. The Writer shall be able to do extensive research, original writing and reporting, voicing, and multi-media content production (including audio, video, still images). The Writer shall have expert knowledge in correcting and editing scripts and copy of reports. The Writer shall have the ability to adapt English materials to language of expertise. The Writer shall have knowledge of the target audience and news and events related to them. The Writer shall have knowledge of television production and post-production elements to assist producers to develop their work. The Writer shall demonstrate high standards of social media engagement as related to digital journalism needs and practices. The Writer shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies.Knowledge of Russian language required. ",,0,1,0,,,,,,0
15552,Senior Test Engineer ,"GB, , London",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Senior Test Engineer, fluent in English, to offer their services as experts who will be based in Central London, UK. The work will be carried out either in the companys premises or on site at customer premises. In the context of the first assignment, the successful candidate will be integrated in the Testing team of the company that will closely cooperate with a major clients IT team on site.Your tasks:Define the test strategy and ensure its implementation;Monitor detailed test progress and results in each test cycle;Management of issue workflow, analysis of test failure and preparation of test reports;Identification of the appropriate techniques, tools and guidelines to implement the required tests;Automation and execution of tests;Identification, analysis and design of test cases, test scenarios and scripts;Assist in the identification suitable test resource requirements.","Your skills:University degree and demonstrated experience in software testing;Experience in application domain of online analytical processing;Experience as Testing supervisor;Working experience in Agile environment;Good knowledge of a test methodology, preferably ISTQB certified;Experience in Oracle Business Intelligence and case tools;Excellent knowledge of Black Box Testing, White Box Testing and good knowledge of databases, SQL and PL/SQL;Knowledge with MicroStrategy and IBM Rational ClearQuest is an asset;Communication abilities and management capabilities are desirable;Fluency in both oral and written English.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major public administration, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (TM/12/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Consumer Services,Information Technology,0
1870,Supervisor in the airport cafe Erbil,"IQ, , ",,14000-16000,"ClarusApex is an international recruiting company with representations in the Netherlands, Austria, Ukraine, Cyprus and Dubai (UAE). We are sourcing top talents from all levels and industries from more than 45 countries with a combination out of classical headhunting techniques and modern social media marketing, advertising and research technologies.Our customers love our services as we supply them with top talent without borders including migration and in many countries even employment (umbrella) services.Candidates entrust us with their careers as we work according to international ethical recruitment standards with free recruitment services, free visa support and regular follow up contacts after the successful job placement. ClarusApex, unlocking the global job market","Active and confident in themselves and their own powers and abilities people we invite to the work in the international airport Erbil. Vacancy  the Supervisor in hotels and restaurants. There are provided fair wage and career development, as far as opportunity to study under professionals. Waiting for your CV right now! Start investing in the future career today and tomorrow you`ll be able to leave out your dreams. We offer not only interesting job and possibility to become a member of the professional team, but also to get acquainted with ancient culture and traditions of the richest country of Asia. WARNING: Employment is absolutely free for all candidates! The employer covers all expenses. EMPLOYER: international company  (Kurdistan) START: July 2014 CONDITIONS:  food (thrice daily) and lodging  air tickets paid leave (with tickets out and home) health insurance working visa and official contract. DUTIES: Help in restaurant or hotel management; Ensuring the correct and effective financial management according to company policy; Ensuring flawless operationof service; Control over observance of company`s standards, quality of work and customer service. Who are we? APEX AV An international company a subsidiary of Clarus  which is operating in the Netherlands and Austria since 2005, and in Ukraine since 2006; APEX AV operates under the license №585131 issued by the Ukrainian Ministry of Social Policy 12.04.2012 The participation in all recruiting programs of APEX AV is free of charge to the candidates. +38 (044) 461-97-94 #EMAIL_c005a82e627a19fd7fcb1e34a9968ad766460a80a7fecce4e08d32d79266b989#",REQUIREMENTS:  English (above an average)formative experienceattractive appearancecommunicative skillsdesire to work and study in team. ,,0,1,0,Full-time,Not Applicable,,Food & Beverages,Customer Service,0
17696,Data Entry Admin/Clerical Positions - Work From Home,"US, CA, Bakersfield",,,,ACCEPTING ONLINE APPLICATIONS ONLYClick Here To Apply This is a Full Time Temporary Position Lasting for 2 yearsDescriptionValidate and review legal contractual agreements for customers Input contract into contract databases All contracts completed &amp; reviewed within per-determined service level agreement Professional e-mail interaction with customers Scanning and uploading of documents QualificationsHigh School Diploma or Equivalent Professional Communication Skills via e-mail interactionDedicated to the needs of the business Project management skills to assist in facilitating multiple contract rejects Detail oriented Able to multi-taskAbility to work with time sensitive documents Must be able to work independently but able to perform in a team environment when needed. Fast and accurate typist ACCEPTING ONLINE APPLICATIONS ONLYClick Here To Apply,,,0,0,0,,,,,,1
17148,Call Center Agents,"GR, , Athens",Sales,,"Έχουμε έναν και μοναδικό σκοπό. To ΝowDoctor να γίνει το μεγαλύτερο site εύρεσης γιατρών και επαγγελματιών υγείας στην Ελλάδα με βάση α) την γεωγραφική περιοχή, β) την ειδικότητα και γ) τα συνεργαζόμενα ασφαλιστικά ταμεία. Τέλος στις ατελείωτες ουρές, την ταλαιπωρία και την αναμονή.Τώρα υπάρχει το Ν#URL_353b96566738e072ab2f50d7f5c1c286d5034e659fa7bb5d2c6793aa20121924#!Αναζητήστε γιατρό, διαβάστε πληροφορίες και τα τελευταία νέα του κλάδου τους, δείτε βίντεο και φωτογραφίες με ότι τελευταίο για την επιστήμη τους και κλείστε online ραντεβού 24/7 με ένα μόνο κλικ, από την οθόνη του υπολογιστή σας!","CALL CENTER AGENTS&Omicron;&iota; &kappa;ά&tau;&omicron;&chi;&omicron;&iota; &tau;&omega;&nu; &theta;έ&sigma;&epsilon;&omega;&nu; &theta;&alpha; &alpha;&pi;&alpha;&sigma;&chi;&omicron;&lambda;&omicron;ύ&nu;&tau;&alpha;&iota; &omega;&sigmaf; &upsilon;&pi;ά&lambda;&lambda;&eta;&lambda;&omicron;&iota; &gamma;&rho;&alpha;&phi;&epsilon;ί&omicron;&upsilon; &mu;&epsilon; &epsilon;&upsilon;έ&lambda;&iota;&kappa;&tau;&alpha; &omega;&rho;ά&rho;&iota;&alpha;, 4&omega;&rho;&alpha;, 5&omega;&rho;&alpha;, 8&omega;&rho;&alpha;, &pi;&rho;&omega;&iota;&nu;ά, &alpha;&pi;&omicron;&gamma;&epsilon;&upsilon;&mu;&alpha;&tau;&iota;&nu;ά",&Alpha;&pi;&alpha;&rho;&alpha;ί&tau;&eta;&tau;&alpha; &Pi;&rho;&omicron;&sigma;ό&nu;&tau;&alpha;:&Alpha;&pi;&omicron;&lambda;&upsilon;&tau;ή&rho;&iota;&omicron; &Lambda;&upsilon;&kappa;&epsilon;ί&omicron;&upsilon; / ή &Alpha;&nu;ώ&tau;&epsilon;&rho;&eta;&sigmaf; &Sigma;&chi;&omicron;&lambda;ή&sigmaf;&nbsp;&Alpha;&nu;&epsilon;&pi;&tau;&upsilon;&gamma;&mu;έ&nu;&eta; &iota;&kappa;&alpha;&nu;ό&tau;&eta;&tau;&alpha; &epsilon;&pi;&iota;&kappa;&omicron;&iota;&nu;&omega;&nu;ί&alpha;&sigmaf; &amp; &pi;&epsilon;&lambda;&alpha;&tau;&omicron;&kappa;&epsilon;&nu;&tau;&rho;&iota;&kappa;ή &alpha;&nu;&tau;ί&lambda;&eta;&psi;&eta;&Pi;&rho;&omicron;&sigma;&alpha;&nu;&alpha;&tau;&omicron;&lambda;&iota;&sigma;&mu;ό&sigmaf; &sigma;&tau;&omicron; &alpha;&pi;&omicron;&tau;έ&lambda;&epsilon;&sigma;&mu;&alpha;Ά&nu;&epsilon;&sigma;&eta; &sigma;&tau;&eta; &chi;&rho;ή&sigma;&eta; &Eta;/&Upsilon;&Epsilon;&pi;&iota;&theta;&upsilon;&mu;&eta;&tau;ά &Pi;&rho;&omicron;&sigma;ό&nu;&tau;&alpha;:&Pi;&rho;&omicron;&eta;&gamma;&omicron;ύ&mu;&epsilon;&nu;&eta; &epsilon;&rho;&gamma;&alpha;&sigma;&iota;&alpha;&kappa;ή &epsilon;&mu;&pi;&epsilon;&iota;&rho;ί&alpha; &sigma;&epsilon; &tau;&eta;&lambda;&epsilon;&phi;&omega;&nu;&iota;&kappa;έ&sigmaf; &pi;&omega;&lambda;ή&sigma;&epsilon;&iota;&sigmaf; &theta;&alpha; &epsilon;&kappa;&tau;&iota;&mu;&eta;&theta;&epsilon;ί &iota;&delta;&iota;&alpha;ί&tau;&epsilon;&rho;&alpha;.,&Eta; &Epsilon;&tau;&alpha;&iota;&rho;&epsilon;ί&alpha; &pi;&rho;&omicron;&sigma;&phi;έ&rho;&epsilon;&iota;:&Mu;&iota;&sigma;&theta;ό&Mu;&eta;&nu;&iota;&alpha;ί&omicron; bonus &beta;ά&sigma;&epsilon;&iota; &epsilon;&pi;ί&tau;&epsilon;&upsilon;&xi;&eta;&sigmaf; &sigma;&tau;ό&chi;&omicron;&upsilon;&Sigma;ύ&mu;&beta;&alpha;&sigma;&eta; &Epsilon;&rho;&gamma;&alpha;&sigma;ί&alpha;&sigmaf; &Alpha;&omicron;&rho;ί&sigma;&tau;&omicron;&upsilon; &Delta;&iota;ά&rho;&kappa;&epsilon;&iota;&alpha;&sigmaf;&Sigma;&upsilon;&nu;&epsilon;&chi;ή &epsilon;&kappa;&pi;&alpha;ί&delta;&epsilon;&upsilon;&sigma;&eta; &kappa;&alpha;&iota; &kappa;&alpha;&theta;&omicron;&delta;ή&gamma;&eta;&sigma;&eta; &alpha;&pi;ό &iota;&kappa;&alpha;&nu;ά &sigma;&tau;&epsilon;&lambda;έ&chi;&eta;&Epsilon;&xi;&alpha;&iota;&rho;&epsilon;&tau;&iota;&kappa;ό &pi;&epsilon;&rho;&iota;&beta;ά&lambda;&lambda;&omicron;&nu; &epsilon;&rho;&gamma;&alpha;&sigma;ί&alpha;&sigmaf;,0,1,1,,,,,,0
8435,Sous Chef,"US, WI, Milwaukee",,,"Lakefront Brewery is a leader in brewing the best beers in Milwaukee.  Founded in 1987, Lakefront has been brewing for more than 26 years. The owners and employees pride themselves on innovation within the industry.  Gluten free beer, organic beer, green brewing and locally sourced ingredients are only a few examples of this innovation.  Recently LFB unveiled its Beer Hall; open 7 days a week-- complete with a Friday night Fish Fry.  ","If youre an experienced chef with a love for beer and the fun that comes with it, you should consider joining our team.  Lakefront Brewery is looking for an experienced Sous Chef who can handle the pressure of serving high volume fish fry nights without losing the standards for high quality and innovation of a high end restaurant.  You must be a motivated self starter with excellent communication, problem resolution and organizational skills.  Must be able to work cleanly, efficiently, and with respect for quality ingredients and careful attention to preparation. If you can operate effectively as part of a team and ensure smooth service operations and quality service, we want to hear from you!Job responsibilities include:Maintaining an efficient kitchen (including scheduling cleaning, scheduling cooks, demonstrating proper use of equipment, demonstrating cooking techniques, training/monitoring staff, making sure sanitation rules are being followed)Stepping into roles as needed, including cooking on the line and filling in for the head chefQuality Assurance (ordering high quality ingredients and making sure kitchen staff follows cost standards)Acting as a liaison between customers and the cooks- timely delivery of food, mediating customer issuesTaking inventory and helping with menu ideas","A successful candidate will have the following experiences and knowledge:3+ years experience as a Sous Chef in a high volume restaurant or catering operation5-7 years kitchen experienceServSafe certificationAbility to lift up to 50lbsKnowledge of all kitchen equipment including cleaning and maintainingManaging a team of 10+ employeesInventory ordering via large distributor and small vendorsCatering-set up and cookingHigh volume kitchen (200+ dining room)Front of House experience (serving, bartender or manager) helpfulMust have open availability 7 days a week",Lakefront Brewery offers a competitive benefits package.,0,1,1,Full-time,Not Applicable,,Hospitality,,0
7887,Client Services Account Manager,"US, CA, Palo Alto",,,"Declara is focused on bringing data to life. Our technology platform uses machine learning, search, algorithms and recommendations to develop learning paths for individuals, making learning a constant discovery.Learning isn't just our product, it's what we do everyday. Work with a small focused team. You'll push and be pushed. Tackle the toughest technical challenges as you create products that can transform organizations across the globe. Do something meaningful. We're changing how the world nurtures and develops talent. We are located in Palo Alto, Boise and Mexico.","The Client Services Account Manager is responsible for day-to-day oversight of several clients, managing the entire client life-cycle including pre-sales support, on-boarding and ongoing account growth. The Client Services Account Manager will actively engage with clients to help them grow and manage their effective use of the Declara platform. The successful candidate will work with cross-functional teams in Product, Sales, and Engineering to drive value for accounts using a strategic and consultative approach.The candidate should have a passion for technology and learning, and the drive and ownership to work in a startup environment. The candidate should possess strong interpersonal skills with an emphasis on teamwork, ownership, initiative and integrity. It is critical to have high energy, enjoy working in a fast-paced environment, and be flexible and able to react to changing priorities by prioritizing and delivering in a deadline-oriented environment.JOB RESPONSIBILITIESServe as day-to-day contact with clients to deliver value through on-going support, escalation management, onsite training and strategic business initiativesMaintain active dialogue with clients through weekly meetings and periodic in-person client meetingsCollaborate with cross-functional teams to deliver results and meet account goalsWorks with implementation team to successfully on-board clientEnsures client and user feedback is channeled to Declara team and that client knows status of feedback requestsSpeaks fluently the native language of the client (Spanish, English, more to come)Able to identify opportunities to grow the relationship with the clientSkillfully handles the demands of multiple clients at onceEffectively communicates issues and resolutionsAvailable to travel 20-30% of time domestic and international","QUALIFICATIONS/ SKILLS3+ years of experience in client services positions with evidence of merit-based advancement, preferably in a SAAS environmentExcellent communication, leadership, problem solving and analytical skillsExperience working in a team-oriented, collaborative environmentAbility to manage escalated development/troubleshooting issuesAbility to elicit cooperation from senior management and other departmentsRapidly adapts and responds to changes in environment and prioritiesStrong project management skillsCan easily and effectively shift focus from one project to another when multiple concurrent projects are involvedBachelors degree from an accredited universityNative fluency in Spanish is a very strong plus",,0,1,1,Full-time,,,Computer Software,,0
7164,Graduates: English Teacher Abroad (Conversational),"US, ME, Orono",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Openings in China$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsPlease let us know when you would be looking to start when applying",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
7405,Trainee Management Accountant,"GB, LND, London",Operations,25000-35000,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","We are looking for an energetic, efficient and enthusiastic Trainee Accountant to join our in-house Finance and Operations team. You will work with an experianced and motivated team, in a fast paced creative enviroment with projects accross the globe and assist with our rapid global expansion.In this role you will support the Finance Manager and Accountants, controlling the finances of the group during a period of huge expansion. We need an ambitious, flexible junior accountant that wants to complete certification and grow a career in Accounting. The positions responsibilities will develop considerably as the business grows both physically and geographically, as well as in complexity. An integral part of the Blippar team, you will have a terrific opportunity to flourish in a fast-paced creative and innovative environment while it undergoes a phase of significant growth.On a day-to-day basis you will work with the Finance Manager, Management Accountant and Commercial Managers across all areas of the business. You will need to be diligent and precise, ensuring tasks are completed effectively, accurately and in a timely manner. Your duties will include:Helping to complete monthly balance sheets, profit and loss and reconciliationsHelping to integrate and control reporting of group companies through a period of rapid expansion (international)Bringing VAT returns and statutory accounts preparation in-houseSupporting the implementation and improvement of cashflow forecastingHelping to produce and improve statutory and management accountsSupporting the development cost centre of reportingDeveloping and maintaining fixed asset registerAssisting with annual budgeting/forecastingAd Hoc Commercial Analysis - reveiwing cost centres, cash burn rate etc.","We are looking for a junior candidate with a strong degree and ideally some professional experience.You will need to be a strong communicator, enjoy working under pressure, and understand Blippars extraordinary short- and long-term potential. Successful candidates will be:Excellent academics - minimum 300 UCAS points from a top University. Degree can be from discipline as long a candidate has relevant work experience.Smart, creative and hard-working, with a proactive personality and commercial approachPart-qualified ACA/ACCA/CIMA, working towards completing qualificationExperienced using cloud accountancy software (Xero, ideally)Passionate about doing a great job and contributing to the success of a high-growth tech company","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter &amp; Gamble, Conde Nast, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesOur office dog (London)Work with state-of-the-art hardware and softwareA challenge to change the worldChance to shape a new industryCreative freedom and controlCollaborative opportunities with huge brandsPlease apply now for more information about this role, or check our careers page for details of other opportunities to work with us.",0,1,0,Full-time,Associate,Bachelor's Degree,Accounting,Accounting/Auditing,0
12524,Medical Program Coordinator - Home Assistance Coordinator,"US, IA, Iowa City",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","Elder Services, Inc has an opening for a full time staff member to coordinate its home assistance andmedical transportation programs. BA/BS in a helping field such as Social work, health sciences, familyservices preferred.Please submit resume, cover letter and an ESI application to:Elder Services, Inc.Susan Blodgett, Executive Director1556 S. 1st Ave, Suite AIowa City, Iowa 52240Application form may be obtained on #URL_0dc539ce00c6cbaec3bc24e8a3882cf27c18e27d9bef5b7a18132e3c32c37785#.","This position is fast paced and requires good interpersonal and organizational skills, supervisoryexperience, computer literacy and the ability to work as a team member.",,0,1,0,Full-time,Entry level,High School or equivalent,Hospital & Health Care,Strategy/Planning,0
5443,"Controls Engineer - Farmington Hills, MI","US, MI, Farmington Hills",Controls Engineer,,"Systems Technology International, Inc. (STI), a full service innovative professional services corporationserving in virtually every industry. STI offers professional services in the Technical/Engineering, IT (Information Technology), Creative and marketing, Business Analyst, Accounting and office support areas.","Title: Controls EngineerDuration: PermanentLocation: Farmington Hills, MI JOB DESCRIPTION:Controls Engineer Position-PLC programming and debug experience in a manufacturing environment with some automotive experience.  Siemens Step 5,7 experience needed.Need hands on Programming and debug of 4 years or more.Overtime and benefits package.Full time Long Term Contract with option to hire.Some Travel required. ",PLC programming and debug experience in a manufacturing environment with some automotive experience. ,Best Benefits in the industry.,0,1,1,Full-time,Mid-Senior level,Associate Degree,Automotive,Engineering,1
2599,"Best Buy - Director, Pricing Strategy and Capability Design Job","US, MN, Minneapolis",,,"Pricing Recruiters is the leading global resource for the procurement, vetting and placement of qualified, pricing and profitability professionals worldwide.  We understand and hold appreciation for the unique needs and demands of todays employers and job seekers who work within the pricing discipline across all levels and industries.  Through our insightful knowledge of pricing in over 5 offices in 4 key international markets we hold an active candidate database of over 4,000 candidates from senior analyst to executive leadership.  Contact one of our recruitment consultants today to learn how we can help position your pricing team for success through strategic recruitment and team buildin","Best Buy is the global leader in consumer electronics retailing, with stores in the United States, Canada, China, and Mexico. Our website, #URL_c267fd03266d66ebb5c9c6646a7c87eea2d55fac2fafe19d64cba05d0b62e4d5#, is among the top-10 retail websites in the United States and we have the number-one customer loyalty program of its kind. Each year, we welcome more than 1 billion visitors online and 600 million visitors in our U.S. stores. This unique combination of physical stores, online presence and industry-leading loyalty programs enables us to meet customers when, where and how they want to shop. Through Renew Blue  our multi-year effort to transform every aspect of our business  we vow to be the preferred authority and destination for technology products and services. We are also committed to attracting and inspiring people who want to be a part of this transformation. Our employees knowledge, passion and commitment are recognized through pay, benefits and other rewards that are among the best in the industry, including an industry-leading employee discount on the latest and greatest products. To learn more about careers at Best Buy, we invite you to visit #URL_db45cc39c19fcd81842610632efdc69f4731da8ab71307c8412629057eea4e64#-#URL_e6dbbb49abdcd312fbc3b2c0d88bb1ab26d1dc3617e853fe8dd6f52b2ce06f77#","Director, Pricing Strategy and Capability DesignJob Number:14000000H3DescriptionMA in Finance/Analytics or related field10+ years work experience in a retail, CPG or business consulting industry5+ years experience in pricing analytics, marketing/consumer analytics or financieal analysis with proven ability of driving change in these areas5+ years of managerial or leadership experience, including people and budget responsibility5+ years managing cross-functional teams5+ years project management experienceCombination of consulting and retail experience a plusJob:Accounting/FinancePrimary Location:United States-MN-RichfieldOrganization:Best Buy US CorporateJob Posting:Mar 24, 2014, 3:55:02 PM",,0,1,0,Full-time,,,Electrical/Electronic Manufacturing,,0
16325,Regional Sales Manager,"US, PA, Philadelphia",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Our client is a leader in the field of digital throttle controls as well as AC, DC and Eddy Current testing components. Additional options for these products include: test control, automation, data acquisition and cell safety interfaces. Located in the local Milwaukee area this opportunity is with a growing, well established manufacturer that offers an excellent career opportunity to personally contribute in the growth of a small rapidly growing manufacturing company.Job Duties:Guide and assist customers in choosing the appropriate equipment for their applicationsPrepare quotes, proposals and bidsCoordinate communications between customer and our engineering and manufacturing departmentsMeet with customers on a regular basis; travel to territory one week per month, or as needed.Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#",,,0,0,0,Full-time,,,Marketing and Advertising,,0
7127,Property Management Data Clerk,"US, NJ, Lakewood",,0-0,,"We are currently expanding our business and are looking for self-motivated individuals who have excellent writing and critical thinking skills to work at our NJ office. Some knowledge of home construction/preservation preferred. Candidates must exhibit basic computer knowledge and be familiar with Microsoft Office. This job requires work on legal holidays and weekends. If interested, please email resume (and cover letter if possible).",Job requirements and essential functions: Able to type a minimum of 45 WPM Computer savvy Basic knowledge of Microsoft Office (especially Word and Excel) Time management skills Hard working Ability to write property condition reports Minimum of 40 hours per week Overtime available (and occasionally required) Willing to work legal holidays and weekends as required Comfortable in an open office environment Applicants with college degrees and/or college students preferred but not required,$11+ per hour (40 hour week minimum),0,0,1,Full-time,Entry level,,Real Estate,,0
7103,Free application,"BE, BRU, Brussels",,,"Founded at the beginning of 2013, the startup #URL_1126a42ca4e3346cc3e1a08fbb8e4c3f867169c63a14fc3710ba1f37c6c0e928# is a platform dedicated to nighttime activities.Alongside this, the startup offers services to events professionals and advertisers.","Born in 2013, #URL_1126a42ca4e3346cc3e1a08fbb8e4c3f867169c63a14fc3710ba1f37c6c0e928# is an online platform dedicated to nightlife activities. The website gathers worldwide events and give the possibility to the users to choose simply and quickly the right party for them.  Alongside its also a young and growing startup that offers services to Event professionals and advertisers. We are constantly expanding our activities and searching for new multilingual and skilled talents that share our passion for innovation and technology.Are you willing to join a young and creative team in a multicultural and multilingual environment? Do you want to contribute into European and international projects?Then you might be our future team member!","Interested in working with us?Here are our last requirements:We are looking for creative people with strong interest in technology and/or the sector of entertainment events.To be part of our team, the knowledge of English is essential; Dutch, French or any other language would be a real asset.Finally, we need you to be located in Belgium - preferably Brussels - and show Project Management skills.Wanna be part of the team?To apply and get to live a (very) unique experience, link your CV and Cover Letter in the application form.",,0,1,1,Full-time,Not Applicable,Unspecified,Internet,Information Technology,0
17603,Network Marketing,"US, NH, ",,7200-1380000,,"Are you looking to make anywhere from 600-115,000$ a month? Are you looking to be paid to take vacations? Are you tired of working a 9-5 with no ability to grow in your field. Do you want to be your own boss and set your own schedule of when you work? If you answer yes to any of these than we have the perfect opportunity for you. Our company is based on 3 principles 1.Saving Money 2.Making Money 3.Managing Money. We offer financial soulutions to help you live financially free while making money showing others. We have over 5,800 national brand retailers where we recieve discounts.","An ambition to succeed, A desire to be the best at our field and not be discourage when people turn you down. If you have these qualities than you are perfect for this business","Residual Income, Travel dollars, Car Dollars, the ability to rise in pay grade rapidly",0,0,0,Other,Not Applicable,Unspecified,Marketing and Advertising,Marketing,1
17082,Startup Team Leader,"GR, I, Athens",,,"Ideas2Life is a startup team of people in Cyprus, who are passionate about exploring, conceptualizing and developing new ideas that help and add value to our life. Our goal is simple: To bring many such Ideas 2 Life within the next few years, both in Cyprus and the world.AtYourService, a marketplace for services, is the first project of the team. Watch our awesome video to find out how AtYourService works!","We are Looking for an Amazing Startup Team LeaderIdeas2Life, a startup team passionate about developing new ideas, is looking to create a great Team in Greece that will lead the expansion of the Startup in Greece.Our Startup is #URL_b6959d62b640438fd704ac6d6bcdf226d0bbc9348b0e3dd7787844f89e620e3e# an online marketplace for Local Services, with amazing Traction in Cyprus.What we are looking for in our team:Hard Working, Agile and Fast LearningExcellent Team Players who do their best work in a TeamPassionate about Startups &amp; TechnologyHave an extraordinary Drive to create an Innovative, Disruptive and Profitable businessMain Responsibilities will be: Sales and Business DevelopmentIf you have the above, and are excited by the prospect of working at a startup environment, please apply through the link. We are welcoming people from all Backgrounds.An excellent compensation package along with the possibility of gaining vested ownership in the startup will be offered. So impress us with your application, and hopefully you will join our team very soon.Please tell us why you are the best candidate for the Job by answering the following questions on this application. Please do not use more than 250 words for each answer. Ideas2life Team",,,0,1,1,,,,,,0
10947,Customer Service Associate  ,"US, FL, Tampa",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Tampa, FL. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities include but are not limited to: Customer service and data protection  Attention to detail and the ability to follow detailed instructionsOpen and sort mailProcess email and fax documentsPrepare documents for delivery to the clientProcess return mailSort work  both by numeric and alphabetic indicatorsScan documents using a MFD or scannerPreform basic machine repairs and maintenance on scanners and inserters.Computer skills including basic excel and database knowledgeMaintaining confidentiality at all times is paramount.  Maintain accuracy of required reports and logs ","Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredAbility to handle multiple tasks simultaneously1 year of mail and data entry experience preferredCustomer service driven; responsive to client issues and concernsProficiency in Microsoft Office Suite Products (Outlook, Excel, Word, etc.)Knowledge and computer database entry experienceMust be able to lift at least 50 pounds with or without accommodationsWillingness to submit to a pre-employment drug screening and criminal background check ",,0,1,0,Full-time,Entry level,High School or equivalent,Legal Services,Customer Service,0
2646,Customer Service Team Lead,"US, CA, San Francisco",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Team Leader. The right candidate will be an integral part of the Novitex team, supporting our continued growth. As Customer Service Team Leader, you will be responsible for successful delivery of all aspects of the contracted site services and the on-site Novitex team. In order to achieve these objectives, you will be expected to maintain a high level of client contact; develop site personnel, and maintain a cost effective and profitable site operation.Responsibilities include but, are not limited to: leading team in Reprographics and Print Services, outgoing package delivery and S&amp;R duties, ensuring a high level of customer service and managing a team of CSAsWe are looking for a Team Leader willing to do “whatever it takes” to get the job doneIdeal candidate has a “can do” attitude with excellent communication skillsSelect, develop, motivate, and maintain a properly staffed team, so that all work is processed in accordance with service level agreementsCompile, generate, and publish reports to ensure internal and external accuracy and productivityCoach employees for performance and developmentProvide clients with updated documentation for all processesDevelop plans for improving quality, and efficiency by reviewing work flows, procedures, systems and performanceConstantly monitor the workflow and utilize manpower in the most effective manner to ensure satisfaction of all service level commitments and maximization of cost effectivenessResponsible for scheduling vacations, floating holidays, overtime hours, and backup coverage for employeesResponsible for maintaining equipment and performing software updatesMeet critical client expectations and deliver results in a timely mannerIdentify and create the potential for add-on business by developing a deep understanding of the clients business strategy and needsMaintain constant contact with the client for system changes to ensure that the department runs efficientlyMaintain efficient and accurate updates of customer's operation to ensure customer and client satisfaction. These goals are met through example and guidanceEnsure employees are cross trained and able to perform a variety of roles whenever necessaryManage daily team huddlesProfessional attire","Required Qualifications:High school diploma required /undergraduate degree preferredMinimum of 3 years supervisory experience managing a staff of non-exempt employees in a client facing environmentStaff management experience including; establishing performance expectations and performance monitoring, corrective action documentation, as well as staff development and coachingStrong computer proficiency in email environments, MS Office, Outlook, ExchangeExcellent oral and written communication skillsAbility to excel in a fast-paced, multi-tasking, team environmentAbility to coordinate available resources to provide effective operationAbility to perceive and analyze client, employee, and technical problems. Must be able to develop alternatives and make recommendationsMust be flexible and have the ability to handle many projects at one timeMust be self-motivated and able to perform with minimal supervisionAbility to complete and write concise reports, procedures, and documentation that are error freeCreative thinkerOutstanding work ethicTarget-drivenEnthusiastic and passionateMay be required to lift items weighing up to 50 poundsMay be required to stand for long periods of timeAbility to meet attendance policyAvailability to work additional hours if neededSuccessful completion of a pre-employment drug test, employment history check, credit check and criminal background check ",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
10543,EMTs (Lift Coaches) Los Angeles,"US, CA, Los Angeles",,,"At Atlas Lift Tech, safety always comes first!  We are a fast growing company with an innovative vision of making Safe Patient Handling and Mobility (SPHM) programs available to hospitals systems nationwide. ATLAS is the first company offering safe patient handling and care staff training programs that protect healthcare workers and patients from the dangers of manual patient handling. Our unique team brings together bedside training, education, and program management to empower care givers to reduce workplace injuries, improve patient care, and create a culture of safety.","We are looking for EMTs to become Lift Coaches at Atlas Lift Tech.ATLAS has several part-time and full-time hourly Lift Coach opportunities in Southern California including Los Angeles for EMTs looking for in-hospital and patient care experience. As a Lift Coach, you will work as part of a team to perform equipment-assisted lifts, transfers, turns, and re-positions of patients in clinical environments from their admission to discharge, as well as, train existing hospital staff on proper lifting techniques and use of lifting equipment while adhering to Department Policies &amp; Procedures and applicable State, Federal &amp; Local Laws.","Position Responsibilities:Teaching safe patient handling methodology to existing hospital staff.Performing and assisting with patient lifts and other patient handling maneuvers, as delegated by the supervisor in collaboration with the client staff.Utilize patient handling equipment, transfer devices and protective equipment in compliance with hospital policies and patient handling protocols while utilizing proper Lifting Techniques, Proper Body Mechanics and observation of Hospital Protocol. Provide records of each lift to analyze key performance indicators.Position Requirements:Please do not apply if you do not meet all of these requirements at time of application6 months or more experience working with patients in a healthcare and/or ambulatory environment. Customer service experience.Minimum High School Diploma or the equivalent.Current CPR/ BLS Certified.Experience with coaching/ training multiple individuals. Flexibility to work day/ night/ weekend shifts including holidays.Must be able to lift 35 pounds unassisted and to stand/walk for long periods of time.Computer proficient to navigate both mainstream and proprietary software.Be able to work independently and as part of a team.Must pass a medical and drug screening before and during employment.Preferred Qualifications:EMS / EMT / Paramedics / Nursing / Physical Therapy Military experience","At Atlas Lift Tech we are innovators and we value individual contributions! We encourage continued education, priding ourselves on offering re-certification assistance. We offer a competitive compensation package based on your valuable experience.Other benefits include:Health Insurance for full-time statusVacation / Sick / HolidaysFlexible Spending AccountCommuter BenefitsPromotional opportunities for driven employeesFree Health ScreeningsFree Yearly ImmunizationsInnovative environment",0,1,1,Full-time,Entry level,High School or equivalent,Hospital & Health Care,Health Care Provider,0
13615,Director of Sales,"US, NY, Brooklyn",,,"Makers Row is an online marketplace that connects American manufacturers and product based businesses. Our mission is to make manufacturing easy to access and simple to understand. We support a network of established businesses in their domestic sourcing needs, and are inspiring the next generation of American businesses to think domestic first. For more general info: press, funding, teamAt Makers Row, creative thinking and problem solving are at the cornerstone of our operation as we push the boundaries of knowledge and access to product manufacturing. We are a tight-knit team where individual contributions play a vital role in shaping our product daily. We are looking for leaders with drive, creativity and a strong belief in teamwork.","Makers Row is looking for a Lead Sales Manager to join the team in our New York office. We are looking for someone with a passion for technology, small business and American manufacturing. This position is high-visibility and hands-on. The ideal candidate will have sales management experience at a startup, and a track record of recruiting and leading a world class team. ResponsibilitiesRecruit, train and manage a sales teamCreate and update sales materialsDevelop and maintain relationships with potential clientsAnalyze existing data and trends to modify sales strategyMake group presentations to decision makersCompile lists of prospective clients for use of sales leadsAnswer phone calls, schedule meetings, manage calendarsAssist enterprise level clients with navigating #URL_79d8fc58b59d0833730d0c5095c8bb4934044ebf4b6a4a59afeeff55e40f8d63#, including uploading photos, and helping users edit their profileHelp develop new processes to improve customer experience on the siteWork on special projects within Makers Row based on the team's needs","8+ years of sales experienceGreat communication skills and a talent for collaborationA ""no task is too small"" attitudeSelf-motivated and energetic personalityEnergetic, kind, sincere, patient, and adaptableSmart and organized to the point of neuroticismSuper positive attitudeDriven by an entrepreneurial spirit","HealthcareGym MembershipSnacks all dayTeam outings (drinks, comedy shows, etc.)Dog-friendly officeWe don't count vacation daysStock options",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Sales,0
11466,Data Science Intern ,"NZ, N, Auckland",,,"Parrot Analytics is a technology company that is working with TV content sellers and buyers to help them make more data-driven decisions to increase content-related ROI. The company has developed a unique technology platform that allows them to capture and analyze global TV content demand and consumption over the Internet in real time. This vast amount of global empirical data, combined with a data science layer, allows the company to provide unprecedented insights into geographic-specific content demand and predict, with high accuracy, future global performance for upcoming content.","Parrot Analytics is accepting applications from talented post graduates to join our data science team as part of a 6 month internship programme.This is a full time (40 hour a week) programme based in our Auckland office (Parnell).  This is a rare opportunity to work with some of the hottest and in-demand technology and solution sets within NZ where your output will be applied to our customers around the world.  You will be responsible for researching, analyzing and developing inhouse capability towards computational linguistics, text mining and machine learning with a goal of advancing our systems to analyze our data to help drive strategy, improve our data products and content item recommendations.You will also have demonstratable software development skills (Java) for language processing and work closely with our Data Engineers and Data Scientists.If this sounds like you, get in touch with us today. We look forward to speaking with you! ","Someone that has Post Graduate level qualifications in a quantitative discipline: Statistics (including regression models), Physics, Applied Mathematics, Data Science, Computer Science, Engineering, Economics, etcSelf motivated with strong written and verbal communication skillsProficient with at least one programming language: Java (Python, Perl  optional)Experienced using Data Mining algorithms/techniques and statistical tools like R, Stata, SASExposure to writing scripts to extract data from (un)structured data files and load/analyze into relational databases (MySQL)  Proficient in SQLSomeone with experience/knowledge across user and item based filtering for product based recommendations.Someone with experience with Java, OO programming, and exposure to the hadoop platform (and Hive and/or Pig).Passionate and excited about Big Data processing  (And you like to watch TV!) Bonus points forExposure or some knowledge of Amazon Web ServicesExperience with Agile methodologies including scrum or KanbanAdvanced skills in Java/C++","Interesting data science challenges involving scale and Big DataAn emphasis on learning and career growthExposure to Big Data platforms and tools as well as some truly global datasetsA fun and collaborative, team-driven working environment Free lunch on Mondays!Social Fridays!An iOS/Android friendly environment",0,1,0,Temporary,Internship,Master's Degree,Information Technology and Services,Data Analyst,0
11244,Sales Representative,"US, VA, Chesapeake",,,,"Westview Financial Services, located in Chesapeake, VA has a full-time Sales Representative position available immediately. Our office provides personal loans with a one-on-one approach and we are looking for an individual to join our team and assist with this process.The duties for this position include but are not limited to: • Sell loans and insurance products • Review credit applications and make recommendations regarding the applicant's credit worthiness • Close loans • Service loans • Collect delinquent accounts • Answer the phones and greet customers as well as administrative duties • Accept customer payments • Order supplies as needed • Accounts payable The qualifications for this position are: • A minimum of two years of experience directly related in a similar industry • Strong customer service skills -- must be customer service oriented • Strong verbal and written skills  • Proficiency in Excel and Microsoft Word • Strong organizational skills • Must be able to multi-task and be flexible regarding your schedule and workload • A self-starter who can work with minimal supervision  • Must be a solutions-oriented individual • Ability to work well with others • A basic knowledge of accounting is helpful for this positionThe hours for this position are as follows: • Monday -- 8:30 am to 5:30 pm • Tuesday -- 10:00 am to 7:00 pm • Wednesday -- 8:30 am to 5:30 pm • Thursday -- 8:30 am to 5:30 pm • Friday -- 8:30 am to 5:30 pmWe offer a competitive salary as well as a comprehensive benefits package including:  • 40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment • 6 paid holidays  • Paid personal and sick leave on the first day of the month following three months of employment • Health, dental, life and disability insurance as well as AFLAC supplemental insurance • A 401K plan with a company match after 6 months of employment, however, we have quarterly enrollment periodsInterested candidates may submit your resume and salary requirements via email to #EMAIL_35e44c5d029cc41d0d0ed9b8346b04075110309746529a4738984792dc5ed5dc# Faxes may be submitted to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#Westview Financial Services is an equal opportunity employer in all aspects of employment without regard to race, age, sex (including pregnancy), marital status, religion, disability, genetic information, military status or any other characteristic or status protected by law.  ",The qualifications for this position are: • A minimum of two years of experience directly related in a similar industry • Strong customer service skills -- must be customer service oriented • Strong verbal and written skills  • Proficiency in Excel and Microsoft Word • Strong organizational skills • Must be able to multi-task and be flexible regarding your schedule and workload • A self-starter who can work with minimal supervision  • Must be a solutions-oriented individual • Ability to work well with others • A basic knowledge of accounting is helpful for this position,"We offer a competitive salary as well as a comprehensive benefits package including:  • 40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment • 6 paid holidays  • Paid personal and sick leave on the first day of the month following three months of employment • Health, dental, life and disability insurance as well as AFLAC supplemental insurance • A 401K plan with a company match after 6 months of employment, however, we have quarterly enrollment periods",0,0,0,Full-time,Associate,Unspecified,Financial Services,Sales,0
5744,License Enforcement Officer,"US, , ",Animal Control,20800-22880,"The Central California SPCA (CCSPCA), established in 1946 and located in Fresno County, California, is a 501(c)(3) non-profit humane society.As the leading animal welfare organization in Californias Central Valley, we are committed to leading and serving our community of animals and people by providing programs and services which serve all the stages of an animals life.  These programs include Adoptions, Rescue, Foster Care, Owner Surrenders, Outreach, Humane Education, Veterinary Services, Spay/Neuter programs, and Pet Services.The CCSPCA also assumes responsibility, by contract, to perform the Animal Control and Leash Law services for the City of Fresno dating back to September 1951. The modern facilities and our caring staff offer safe refuge, nourishment, medical care, and love to every animal in our Animal Center.  Free ambulance service and shelter is provided for lost or stray animals in the City of Fresno, or those owned but temporarily without homes because their owners can no longer keep them.Although the CCSPCA is contracted to conduct Animal Control Services and Rabies Control Enforcement within the Fresno City, we are not federally, state, or locally funded and do not receive aid from any national organization (including the ASPCA). The CCSPCA owns its own land, buildings, and equipment purchased with privately donated funds.  Our funds come from our loyal supporters, allowing us to provide many vital services to our community.","PURPOSE/FUNCTIONActively support and uphold CCSPCAs mission and values. The License Enforcement Officer will be responsible for collecting license information, provide solutions and services to the community within a specified territory. Working Conditions/Environment:  May work in a noisy environment and works mainly off-site. At times may require the use of protective clothing and/or equipment. Must have the ability to hear, speak, and see to communicate with the general public. Physical effort is required to lift/carry supplies that weigh approximately 20 to 30lbs. Standing: 60%; Walking: 30%; Sitting: 10%. Exposed to potentially sick and dangerous animals. ESSENTIAL FUNCTIONS:Serve as a representative of CCSPCA, demonstrating a positive attitude and progressive actions through the display of professionalism, courtesy, and appropriate tact and discretions in all interactions with other employees and with the public.Provide assistance to the public regarding animal control matters; Responds to inquiries and provides information regarding local animal control laws.Issue citations or notices of violation in the enforcement of ordinances relating to animal control.Protect confidential information by preventing unauthorized release, both verbal and/or writing.Compile statistical data and report analysis to management.Educate the community the reasons for licensing and benefits of spaying/neutering.Verify licenses from a database and collect accurate data.Teaches and promotes CCSPCA programs.","Qualification Requirements: High school diploma or equivalent. Experience in Microsoft Outlook, Excel, Word required. Minimum Requirements:  The License Investigator must be able to read, write, speak and understand the English language at a level necessary for efficient job performance. Learn, understand, interpret, and enforce appropriate animal control codes, laws, and regulations. Identify and report animal control problems encountered. Neat appearance is required, as you will be dealing with the public. Must maintain a professional and courteous attitude at all times. California drivers license required without major infractions on DMV record.Special Notes: This description was prepared to indicate the kinds of activities and levels of work difficulty required of positions in this class. It is not intended as a complete list of specific duties and responsibilities.",,0,1,1,Full-time,Entry level,High School or equivalent,Veterinary,Customer Service,0
17008,Network Engineer,"US, CA, San Francisco",,,,"Position: Network EngineerLocation: San Francisco, CADuration: 6+ months contract Skills:Linux AdministrationShell scriptingPerl Python Ruby scriptingPalo Alto firewallsJuniperACL IDSWAN routing protocolsCiscoF-5 load balancer Job Description: Network Engineer with a focus on monitoring/scripting and automationThis position is for a lead technical role in the design, implementation, and deployment of application network services.This is a hands-on role where significant participation in build and escalated support activities will be expected. Essential Duties and Responsibilities: Participates in a team responsible for the design, implementation, and maintenance of network, server, storage systems, and related software and services.Acts as a subject matter expert on all networking-related technologies used in the Clients systems infrastructure, as well as a broad knowledge of applications and server-related technologies in place.Contributes to the infrastructure design and planning process by delivering technical requirements and capacity analysis. Provides technical vision for the network architectureInterfaces with Project Manager, Technical Architects, Technical Managers, DBAs, Software Development, Deployment Engineering, Release Management teams and others to draft &amp; approve detailed work plans and deployment schedules.Possesses comprehensive technical knowledge and organizational skills to oversee, coordinate, and participate in highly complex projects with high visibility and high impact on the business.Understands the price-value trade-off between incremental improvements in overall High Availability Architecture.Will work with vendors to help Client, maintain supported configurations for key platforms.Provides input on new and existing processes and procedures based on industry best practicesCan organize, assemble and lead teams from multiple IT groups, and in some instances, may supervise daily work activities of assigned team(s)Acts as a role model and mentor on technical issuesDemonstrates creative thinking and innovative approaches using multiple technologies and works to clarify technical ambiguity.Some work responsibilities to include compliance activity and reporting on compliance activity.This is a hands-on role where significant participation in build and escalated support activities will be expected, including highly technical problem solving and / or performing technical audits of work done by Clients staff and vendors. Required Qualifications: Bachelor's degree from accredited college or relevant experienceCisco certifications preferred (CCNA, CCNP, etc)Strong Linux sys administrator and shell scripting experience required to support a 24/7 e-commerce environment5 or more years of network administration and engineering experience and at least 1-3 years of technical leadership experienceHas technical expertise in design, implementation and support of large-scale, highly available, multi-site networks, preferably involving consumer-facing web-based applications.Required Technical Skills:Linux systems administration and troubleshooting is paramount for this roleBash shell scripting, Perl, Python, RubyDeployed network monitoring and configuration solutions, MRTG, Cacti, Nagios, RANCID, TACACSLayer-3 switched networking technologies from Cisco (1g and 10g network architectures)Network Security technologies including Palo Alto Network, Juniper firewalls, complex ACL, IDSWAN Routing Protocols such as BGP, OSPF and EIGRPHardware Load Balancing technologies from F5Application network traffic and capacity analysisCommon network-based services such as LDAP, DNS, SNMP, SMTP, Squid, etc. ","Top 3 Tech Skills Required:1. Strong Cisco networking background2. Linux scripting3. F5 load balancers , securityTop 3 soft skills:Driven to get things done, I.e. Working with vague requirements you find the right solution and show value by implementing. No tolerance for slackersProject based work, supporting an agile development environmentConsultant mindset of constantly delivering value. - Not Ops.   ",,0,0,0,Contract,,,,,0
6089,C# [.NET Framework],"PH, 00, Mandaluyong City",Information Technology,20000-50000,"Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking &amp; Financial Services, Telecommunications &amp; Media, Technology, Energy &amp; Utilities and Manufacturing &amp; Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development &amp; Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence &amp; Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.","Job Title :- C# [.NET Framework]Location :- Mandaluyong City.Years of experience :- At least 2+ years.Job Description :• C# .NET Skills • MVC Framework • SQL Server 2005Requirements:• Experience in Software Configuration Management SQL Profiler (a plus) DB Administration (a plus) Mobile Development (a plus) (Android, iOS, Windows)","Job Title :- C# [.NET Framework]Location :- Mandaluyong City.Years of experience :- At least 2+ years.Job Description :• C# .NET Skills • MVC Framework • SQL Server 2005Requirements:• Experience in Software Configuration Management SQL Profiler (a plus) DB Administration (a plus) Mobile Development (a plus) (Android, iOS, Windows)","Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking &amp; Financial Services, Telecommunications &amp; Media, Technology, Energy &amp; Utilities and Manufacturing &amp; Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development &amp; Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence &amp; Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.",0,1,0,Contract,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
7787,Senior Test Engineer  ,"NL, , The Hague",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Senior Test Engineer, fluent in English, to offer his/her services as an expert who will be based in The Hague, Netherlands. The work will be carried out either in the companys premises or on site at customer premises. In the context of the first assignment, the successful candidate will be integrated into the Quality Assurance Team of the company that will closely cooperate with a major clients IT team on site.Your tasks: Write/maintain test scripts for manual and automated testing, create/maintain representative test data;Perform automated regression and technical testing based on existing specifications and architecture;Perform test analysis, design and execution of functional and technical tests;Design and documentation of re-usable technical testing approaches.","Your skills:University degree, with minimum 6 years of demonstrated experience in software testing;Minimum 5 years of experience in conducting functional and non-functional testing and 4 years using automated testing tools, test management tools and defect tracking systems;Minimum 3 years of experience in web application testing especially in penetration, efficiency, reliability and portability testing;Experience for at least 1 year in developing performance tests using MS Visual Studio;Hands on experience with test automation using keyword or data driven approaches;Knowledge of SQL, XML and regular expressions;Knowledge of ISTQB terminology and ISTQB certification;Experience in programming VBScript with HP Quick Test Professional (Functional Tester) will be an asset;Knowledge of writing unit tests using Junit and iterative development methodologies (ie: Scrum, RUP etc.) is advantageous;Fluency in both oral and written English.","If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (STE/07/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
13026,UX/UI Designer,"IT, 25, Milano",Development,18000-22000,"we are a digital creative studio focused on UX &amp; UI Design.we build delightful websites and applications with WordPress and Ruby On Rails.CareersWe are looking for people to join our team of passionate designers and talented engineers. We are based in Milan (Italy), in Talent Garden “Passion Working Space”.","Moze is looking for a creative UX &amp; UI Designer.Join us if:You are a detail-oriented designer who loves to make products, listening to users, simplifying complex problems into usable &amp; smart interfaces and polishing pixel-perfect designs.You have experience designing complex web applications and turning your designs into a clean HTML/CSS markup code.You will join our design team by focusing on the following tasks:Creating wireframes, storyboards, user flows, process flows and site maps to effectively communicate interaction and design ideas.Collaborating with development team and agile leader with the goal to lay and implement innovative solutions in terms of interaction design and visual appearance.Executing all visual design stages from concept to final hand-off.Conceptualizing inventive ideas that bring simplicity and user friendliness to complex design roadblocks.Presenting and explaining your designs and key milestone deliverables to team members and clients.Conducting user research, usability inspections and evaluate user feedbacks.Establishing and promoting design guidelines, best practices and standards.","3+ years of relevant working experience in UI design.Age under 27 years old.Great knowledge of Human-Computer Interaction, usabiity and user experience principles.An online portfolio demonstrating broad skills, versatile aesthetic, high-end experiences.Solid experience in creating wireframes, storyboards, user flows, process flows and site maps.Proficiency in Photoshop, Illustrator, or other visual design and wire-framing tools.Strong HTML5, CSS3, Responsive Design Methodologies &amp; Rapid Prototyping skills required.Excellent visual design skills with sensitivity to user-system interaction.An exceptional eye for pixel-perfect detail.Experience in iOS and Android Platforms UI design is preferred.Ability to present and argument your designs and sell your solutions to various stakeholders.Ability to solve problems creatively and effectively.Being always up-to-date with the latest UI trends, techniques, and technologies.Strong spoken and written communication skills (english).Experience working in an Agile/Scrum development process.",Workstation desk and meeting rooms &amp; relax-spaces inside Talent Garden Milano co-working space.Chance to work and growth in a team of young talented designers &amp; engineers.Salary based on candidate experience.,0,1,1,Full-time,Associate,Unspecified,Design,Art/Creative,0
9291,"Director eLearning,  Enterprise","US, NY, ",,80000-120000,,"Koemei is changing the world of learning for enterprises and education institutions with a game changing way to use video that dramatically improves outcome. Founded in Switzerland with office in San Francisco, Koemei is a fast growing startup with international recognition and awards: (World Economic Forum Technology Pioneer; Swiss Venture Leader; Top 100 Swiss Startups and more). To fuel our rapid growth, were looking to hire a creative, passionate and driven individual to join our team and participate in driving the company to the next level, as Director eLearning. DescriptionThe Director eLearning serves as the strategic and operational leader of current and emerging projects. S/he will source, manage and develop a close relationship with customers, and work closely with business leaders to develop and implement training projects, courses, and curriculum that ensures our customers employees and leaders have the necessarily skills and tools to successfully accomplish their position requirements and enhance their career development. The Director eLearning will partner with HR Consulting teams and various business units to act as a performance consultant or subject matter expert on enterprise-wide learning and development solutions that strategically link talent and learning initiatives. In addition, you will provide expert advice, design and implementation of blended learning strategies to help our customers enable learning across their organization. 1. Sales and Business DevelopmentIdentify, prospect and close customer relationshipsDefine and implement partnership strategies; identify partners and close relationships2. E-Learning Development and Consulting ServicesDefine and implement eLearning business strategies at KoemeiDesign and delivery of eLearning programs using Koemeis platform for our customers Act as a partner to business leaders to determine needs and position Koemeis learning platform3. Education Training and Instructional DevelopmentAct as a partner to business leaders to determine needs and position Koemeis learning platformDesign, plan, organize, facilitate, and evaluate online training programs4. Planning and ManagementManage multiple projects both internal and external Manage Business specific training requirements","Masters degree in Organizational Development, Education or related fieldAt least 8 years of corporate experience in a training related role with instructional design and deliveryExperience in leadership, developing and managing staff members Experience designing or aligning to Talent Management solutionsStrategy development and project management skillsTraining, consulting, facilitating, managing and collaborating across organizationsLanguage:   Fluency in English; French, German or Spanish an advantage","As a fast growing early stage start-up we offer opportunities for significant and rapid career advancement in a challenging, innovative environment; competitive salary package with equity.",0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,E-Learning,Education,0
16463,Solar Installer,"US, CA, San Diego",Installers,,"Solar electricity is the way of the future!  We are focused on bringing solar to homeowners in a simple solution with no up-front cost to the customer.  We are looking for talented and driven people to join our team and take their careers to the next level while growing our business into a national leader in solar energy development.  Our culture is a fast paced, high growth, work hard, play hard environment that encourages collaboration.","Solar electricity is the way of the future!  We are focused on bringing solar to homeowners in a simple solution with no up-front cost to the customer.  We are looking for talented and driven people to join our team and take their careers to the next level while growing our business into a national leader in solar energy development.  Our culture is a fast paced, high growth, work hard, play hard environment that encourages collaboration.Your RoleYou will install residential grid-tied solar arrays. In this position, the Solar Installer will provide support to the crew lead of the installation team, communicate job status data to the operations management, and ensure the completion of installation of all solar arrays/systems. It is the responsibility of every Installer to ensure safe work practices for the installation team.ResponsibilitiesLayout and assembly of solar modules / array and mounting hardwareMechanical/structural mounting of racking, modules and electrical equipmentElectrical wiring of solar array/system (AC and DC)Document completion of completed installationClean-up of job siteAttend training sessions on new products, installation methodology and safetyAdditional duties required as needed","1 year of roof work, general construction, or carpentry preferred but not requiredSolar experience is a plusMust be able to lift 50lbsEnjoy working outdoorsMust be willing and able to climb ladders, stairs, work on rooftops and able to work on your feet for long periods of timeExcellent verbal communication skills requiredThrive in a team environment",Compensation depends on skill &amp; experienceCareer path opportunities for top performers,0,1,1,Full-time,Entry level,,Utilities,Other,0
16355,Co-founder,"DK, 84, København Ø",,,"At Founders we create companies. We are are a team of people who constantly work and experiment with business models applying our Creation Process to build new businesses. During the process, we partner with individuals who will become co-founders and ultimately CEOs of the businesses. We are not an incubator, nor a venture capital firm. We are simply a company that creates companies.","Founders is a company that starts companies. We partner with exceptional people and provide capital, expertise and talent flow to turn ideas into great businesses. We are in it with you 100 percent and we get our hands dirty, just like we expect you to do.About the opportunityWe are looking for entrepreneurial talent with a background in a specific industry, consulting or investment banking. As a co-founder in residence your objective is to turn an idea into a company, working with us through the steps of the Founders Creation Process . You might work on an idea of your own or find one you are passionate about together with us. In both cases we expect you to act as a founder from day 1 and show that you have what it takes to lead a team and build a successful company. As part of Founders you will have access to a team of people who do nothing else than building companies and productsYour profileThe typical co-founder is a passionate entrepreneur or an extraordinary business professional. We value your experience and previous accomplishments, but most of all we value your attitude.As a co-founder you are expected to be able run and grow a company. You will have access to Founders resources at any time, but you are the one responsible for the business and winning the market.","Drive, passion and curiosityThe ability to fight for your opinions while remaining open to feedbackA track record of getting shit doneThe stamina to work hard and go through tough timesAn analytical and data driven approach to decisionsRelevant business experience in industry or consultingPrevious entrepreneurial experience (a plus)",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Venture Capital & Private Equity,,0
4973,English Teacher Abroad ,"US, CA, Ontario",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
3246,Hiring for Business Analyst,"US, NJ, albany",,,,We are looking for Entry Level Business Analysts/Quality Analysts/Financial Analyst We presently have openings for Business Analysts/Quality Analysts/Financial Analyst and we are looking for fresh BS/MS/IT graduates for our multiple positions of Business Analysts/Quality Analysts/Financial Analyst. ,"Required Skills:*BS/MS in all streams like Computer Science, Information Technology, Engineering, Finance, MBA.*Great communication skills/presentation skills.*Some years of business and system analysis, management, and project planning experience/knowledge.*Ability to communicate business users needs to technical staff.*MS Office: Word, Excel, Powerpoint, Visio*Capable of learning new technology in a fast paced environment.* Send me your resume.",,0,0,0,Contract,,,Information Technology and Services,Information Technology,0
13404,PHP Web Application Developer,"CA, ON, Toronto",,,,"We are not going to bore you with a long job ad so we will get to the point.  We are looking for a 70% backend and 30% frontend web application developer to join our team. We are agile and work with cutting edge technology and best practices.  We want someone who can ship products but also love to tweak and optimize his/her codes.  If you have leadership skills, that is a huge plus as we also have a vacant Dev lead position.  Our motto is “There is always a way, and there is always a better way.” ","1. Experienced in the entire process of software development 2. Understand Agile 3. Love to write tests (functional, unit, acceptance) 4. Love to tweak and optimize 5. Up-to-date with latest web application technology and best practices 6. Solid understanding of various database technology (MySQL, MongoDB, Redis, Memcache) 7. Solid understanding of PHP (Laravel framework), Javascript, AngularJS, NodeJS, C++ 8. Practice source code control using Git 9. 4+ year in web application development (customizing WordPress doesnt count) 10. Mobile Experience is a huge plus 11. Basic understanding of Unix CLI 12. Open Source contribution is a huge plus How to apply: Please submit your resume and the answers to the following questions: 1. Why do you think you are a great web application developer? 2. Describe a situation in your work-life where you failed. 3. Describe a time when you accomplished something you thought was impossible. (Can be work-related or personal) ",,0,0,0,Full-time,Mid-Senior level,,Information Technology and Services,Information Technology,0
12675,Process Engineer,"US, IA, Cedar Rapids",,,"Red Star Yeast Company LLC (RSYC), a leader in the Yeast Manufacturing Industry, is a joint venture between Lesaffre Yeast Corporation and ADM. Red Star Yeast is proud to operate a state of the art facility that opened its doors in 2005 in Cedar Rapids, IA as the largest fresh yeast manufacturing facility in North America. The Lesaffre Yeast Corporation has been providing quality yeast products since 1853 and is the world leader in yeast and yeast extracts with a presence in more than 40 countries worldwide.Red Star Yeast Company LLCs success comes from strong values, passion for excellence in our yeast business, and partnership with its employees and customers.Dedication - Is the main ingredient of our past and future successes.Professional - Is who we are.Responsible - To our customers, fellow employees, families and communities.Pride - Is what we feel about our products, know-how and technology.Respect - Is what keeps us focused and united.","Red Star Yeast Company LLC (RSYC), a leader in the Yeast Manufacturing Industry, is now accepting resumes for the Process Engineer position at our Cedar Rapids, IA! RSYC is a joint venture between Lesaffre Yeast Corporation and ADM, and is proud to have a state of the art facility that opened its doors in 2005 as the largest fresh yeast manufacturing facility in North America. The Lesaffre Yeast Corporation has been providing quality yeast products since 1853 and is the world leader in yeast and yeast extracts with a presence in more than 30 countries worldwide. Primary Duties and Responsibilities:The primary goal of the Process Engineer is to prepare for future positions of greater responsibility in the production departments at Red Star Yeast Co. of Cedar Rapids or Globally for the Lesaffre Yeast Corporation. This goal will be accomplished by acquiring knowledge and experience through training, both internal and external, and participation in process optimization studies and/or continuous improvement projects in the Process department. As knowledge and experience is gained, this position will grow to lead the aforementioned projects and/or studies. This position will receive work direction or assignments directly from the Production Manager but may also be given work direction from the Plant Manager, Technical Manager, and/or Director of Operations. The ideal candidate will have 2 to 5 years experience in a similar position and a Bachelors Degree in Biotechnology, Chemistry, or Food Manufacturing, as well as strong communication skills and a willingness to work internationally for the Lesaffre Yeast Corporation. Gain Yeast Manufacturing process knowledge through internal and external training along with working on the various improvement and/or optimization studies.Acquire knowledge of, and gain familiarity with, the plant fermentation equipment and process control system.Acquire knowledge of the plants utility systems and their performanceLearn existing fermentation, Compressed Yeast (CY) and Nutritional (NUT) production scheduling process.Identify/develop opportunities to modify, improve, and/or automate the fermentation scheduling methods.Participate in and/or lead specific studies directed at continuous manufacturing improvement or process optimization.Assist Process Superintendent in monitoring and troubleshooting process issues as required.Assist Process Superintendent in developing key manufacturing performance strategies and reports. Continue and/or improve fermentation performance tracking systems.Develop management skills necessary to manage both hourly and supervisory staff members through internal mentoring and external training programs.","Education: Engineering degree required. Emphasis in Biotechnology, Chemistry, or Food Manufacturing preferred.Experience: Two to five years of experience working in a similar position. Previous experience working in the food, beverage, or chemical manufacturing industries highly preferred.Ability to apply advanced mathematical concepts such as exponents, logarithms, quadratic equations, and permutations. Ability to apply mathematical operations to such tasks as frequency distribution, determination of test reliability and validity, analysis of variance, correlation techniques, sampling theory, and factors.Ability to prioritize and organize tasks.Communication and “customer” service skills are required in relationships with coworkers, management, and other departments within the company.Mechanical aptitude and trouble-shooting skills.Ability to write detailed technical reports; computer proficiency required.Ability to design and/or utilize complex spreadsheets to organize and analyze data.Additional safety and/or training experience helpful.","Full-time, Salaried-Exempt PositionBonus Eligible401K with Company match and a Management Incentive ProgramEffective on first day of employment: Medical, Dental, Vision, Employee and Dependent Life Insurance, Long and Short Term Disability, and Paid Vacation and Sick Time.",0,1,1,Full-time,Associate,Bachelor's Degree,Food Production,Engineering,0
4884,Customer Support Specialist ,"US, GA, Atlanta ",Support ,32000-36000,"AVOXI, formerly known as DOW Networks, is a cloud communications provider focused on meeting the international calling needs of call centers, hotels, tour operators and global enterprises around the globe. With network operation centers and offices in the US, the UK, South Africa, Costa Rica and Jamaica, AVOXI provides telecommunications and enhanced VoIP solutions including toll free services, Cloud PBXs and call center solutions with advanced features such as call recording, call forwarding and enhanced routing. With toll free numbers from more countries than anyone in the industry, AVOXI is your business source for international toll free numbers (ITFS). In addition, AVOXI can provide universal international freephone numbers (UIFN) for businesses that require a single number for multiple locations and local DID numbers for businesses looking to project a local presence where they do not have a physical office. When your business relies on a VoIP call center solution or international communications, you can rely on AVOXI to have what you need  when you need it  to save money, improve performance and optimize customer service.","AVOXI is currently looking for a Customer Advocate to join our team near I-75 and Windy Hill Road.  As our international client base expands, we need bright, motivated communicators who can give top-notch customer support. With a goal of expanding to 24/7 accessibility, we are looking for Night-Owls and Early-Birds to round out the team! AVOXI offers reliable call center solutions, customized to meet the unique communications needs of customers around the globe. The company is renowned for its ability to quickly design and implement tailored systems backed by responsive support from knowledgeable technicians. Our high quality, cost-effective solutions enhance operational efficiency, improve performance and optimize customer service.Primary Duties &amp; Responsibilities:Serves as a positive, helpful, and efficient bridge between clients and internal departmentsWorks extensively in Salesforce for client communication, record logging, case creation, and more.Monitors and assigns work to necessary internal departments (Tech Support, Billing, Accounting, etc.).Efficiently manages multiple requests as one time via phone, email, chat, and internally.Translates the clients requests regardless of language and lingo barriers.Provides training or explanation of complicated subject matter.Knows product line, prices, delivery and installation time, marketing promotional services, etc.Completes cases regarding cancellations, billing, records requests, and more, in a timely manner.ADDITIONAL RESPONSIBILITES:Occasionally provides Tier 1 tech support requests.Creates and updates internal documentation","Skills and Experience Required:Willingness to work non-traditional business hours. We are currently seeking to expand to 24/7 accessibility.College degree and/or equivalent experience.Good team player but takes initiative when possible!Must love people!Phenomenal communications skills  ability to explain technical info to non-tech people and alleviate conflicts.Efficient problem solver and critical thinker.Proficient in Microsoft Office, Google Docs, and Google Calendar.Tech savvy  able to quickly learn network systems.Ability to balance a multitude of tasks at once.  Preferred:SALESFORCE! Its your new best friend.Spanish speaker for our international clients.Work experience with any sort of customer-facing role.Familiarity with telephony terms such as VoIP, PBX, PTSN, ITFS, DID/DDI, UIFN, etc. ",AVOXI offers a great benefits package and an amazing work environment with the ability to grow and develop with a fast growing telecommunications company.  We encourage promotion within and advancement in climbing the corporate ladder.  ,0,1,1,Full-time,Entry level,Associate Degree,Telecommunications,Customer Service,0
8207,Loyalty Marketing Manager - Netlog,,,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"Are you ready to become the primary driver for Netlogs loyalty initiatives?    Are you a passionate direct marketing professional?    Do you understand best practices for customer segmentation and analysis?    Are you ready to be evaluated on retention and onsite re-engagement?    Can you focus your efforts to one goal while multitasking on several fields (e-mail campaigns, behavior analysis, satisfaction research, segmentation, online partnerships, strategic deals)?    Do you have relevant commercial education and marketing experience as well as excellent written and oral communication skills?Don't loose time...Apply now!",,,0,1,1,,,,,,0
821,Marketing Assistant,"US, CA, San Diego",,,,"About RelationEdgeAt RelationEdge we work with clients who use or plan to use #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# to run their marketing, sales and service operations. With experience across many industries we have the requisite abilities to support every type of business. We are the best at driving operational excellence by enabling technology that is flexible, simple to use and core to your business. We implement, integrate, customize and manage #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# for our clients. It is through a dedication and commitment to continuous improvement that our clients find ultimate success. RelationEdge is the cloud service that engages, enables and evolves your cloud solution.We have an exciting opportunity for a Marketing Assistant to join our growing team. This position will provide an individual the opportunity to be a key contributor for a rapidly growing business. ResponsibilitiesMarketingManage the companys website, blog, and newsletter including coordinating, collecting, and editing articles from key contributors, employees and partners Managing Digital Marketing (SEO, PPC, etc.) strategies including creating content partnerships, social media, following best SEO practices, and managing a PPC campaignIdentifying, testing, and coordinating new marketing ideasGeneralGenerally help company with any activities that generate new customers, increase the companys brand, or free up time to increase business developmentEnsure #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# data is entered in a timely and consistent manner on behalf of the CEOGather information and format internal reports for management team. This includes Account activity and project managementAssist CEO with client and partner communication and follow upCoordinate hiring process by filtering resumes, pro-actively reaching out to qualified candidates, and organizing interviewsEnsure employee and contractor billable time is entered and invoiced according to planManage the officeHelp create a positive, fun work culture by organizing company meetings, events, etc.Partnerships &amp; EventsCoordinating and attending regular partner meetings and eventsCreate and manage programs and relationships to solidify existing partner relationshipsOrganize regular networking events to attract new customers, including inviting new prospects and partners, and organizing content and entertainment for the eventsAttend 3rd party networking events, create leads, and pass to CEOManage the companys referral programs including creating and coordinating customer outreach days, and tracking and motivating employees to participateCustomer CommunicationFirst response for inbound inquiriesCreate proposals and customer agreements for distribution by CEOSet up follow up process and hold management accountable for following up; follow up directly with “old” or “stale” leadsGenerally create leads and pass to CEO to closeBenefitsCompetitive compensationFortune 500 level medical, dental and vision benefitsFun and hardworking atmosphere with opportunity for everyone to contribute cross-functionally to the overall growth of the businessPosition is based in San Diego","Highly organizedVery strong written and verbal communication skillsOutgoing personality / charismatic with professional presence (Not afraid to jump on phone to make calls or introduce yourself)Decent understanding/acumen for digital marketing, computers, and cloud based softwarePositive, can-do attitudeApplicants MUST be a US Citizen or Permanent ResidentFast-paced individual; good, positive energyMature personality; able to handle confidential informationSelf-starter, motivated (does NOT need to be micro-managed)Basic marketing skills / understandingBusiness degree required, Marketing focus preferred","Competitive compensationFortune 500 level medical, dental and vision benefitsFun and hardworking atmosphere with opportunity for everyone to contribute cross-functionally to the overall growth of the businessPosition is based in San Diego",0,0,1,Full-time,Entry level,Bachelor's Degree,Computer Software,Marketing,0
14436,Embedded Software Engineer,"NZ, N, Auckland",Development,60000-80000,"You're youthful, tech savvy and open-minded right? So are we. We aim for excellence in everything that we do, by continually improving the way we do everything, from coding to washing the dishes. Part of this is making sure our team are able to expand their knowledge by developing new skills. We work together on a weekly basis to improve company processes, and everyone is involved in this.","We need someone to research, design, implement, test and support firmware for the spidertracks hardware range, under the guidance of the CTO, and in conjunction with our hardware design team. Development of firmware for: Our range of hardware productsFirmware Upgrade ToolsInternal Customers Engagement in the software planning process Input to development planning Estimations and advice Maintenance and support of current software and firmwareTesting firmware Conformance with company development policies Perform On-Call duties in accordance with on call schedule and requirements Performance of On-Call duties will be on a regular rotation, and may include the need to work on public holidays and weekends, for which alternative days off will be provided Supporting the work of our software development team, with potential to work on our web and mobile applications",Master of Engineering majoring in Computer Science/IT/Mechatronics or a related discipline or higherExperience in writing firmware for embedded systemsInternational experience through either work or pleasureProject managementWeb application familiarityAviation experience or interest is an advantage,"You get to work here! And we'll pay you.Sometimes on a Friday, we go out to lunch. Competitive salary and a great bunch of people to work with. ",0,1,1,Full-time,Entry level,Master's Degree,Information Technology and Services,Engineering,0
9566,FedEx Class A CDL Driver - $1500 Sign-On Bonus! Home Daily!,"US, KY, Louisville",,40000-50000,"Green One Transportation, Inc. was founded in early 2014, in a small home office in Louisville, Kentucky (where we still reside) and has been built from the ground up to support the ever-changing needs of FedExs Home and Ground services, including line haul and residential/commercial package delivery and pickup. We have pooled our knowledge of more than a decade in the transportation industry and the management of nearly half a dozen businesses to create what we like to call “the next big thing” in the transportation industry; a company with a heart! We understand that any business is only as strong as its ideas. And were not just talking about the ideas of our founders; were talking about the ideas of the entire company! We are dedicated to cultivating the kind of environment that makes growth and success inevitable! What does success look like to us? Happy Clients + Happy Team Members = Exponential Growth &amp; Success!Happy ClientsBy hiring highly skilled, knowledgeable, and motivated team members with an attitude that aligns with our vision, we are able to provide a transportation service that crushes the competition; a service that exudes consistency, courtesy, efficiency, and dependability. As we like to say, we are intelligently lazy, so smart as to find the simplest way of completing a task and so diligent as to repeat the same process every time the task needs to be completed. Happy Team MembersOur competitive advantage depends on the happiness of our team members and without them, we would not be able to supply the high level of service that we consider mandatory. To ensure the happiness of our team members we've striven to create a culture of support that extends from the top, down. We pride ourselves on going above and beyond for the team members that go above and beyond for our clients; including providing our team with health benefits, profit sharing programs, industry competitive pay, and an ever-evolving way of doing business that takes every team members opinion to heart! ","Looking for a career in the transportation industry? Discover what it's like to work for a company that's uniquely supportive of its team members! Green One Transportation, a FedEx contractor, is hiring qualified Class A CDL drivers. Call Anthony Nichols at #PHONE_7e8f6ec0a9ed0f60fcd348657ded935175dcbd466863d894e02076d7b6fa8573# for details.Job Description/Daily ResponsibilitiesSaturday-Wednesday$.42 per mile + stop payYearly raisesBenefits include medical, retirement and profit sharingHome daily350-500 miles driven per dayDrop and hook(no loading or unloading)Night runs (2nd and 3rd shift)Know someone who may be interested? We are offering a $500 referral payment to anyone that sends us a qualified driver that we hire!Simplified RequirementsClass A CDLT-Endorsement(for Double/Triple Trailers)6 months of experience driving a tractor trailer in the last 2 years(or)1 year of driving experience within the last 5 yearsBelow is a list of more detailed requirements.Green One Transportation, Inc. was founded in early 2014, in a small home office in Louisville, Kentucky (where we still reside) and has been built from the ground up to support the ever-changing needs of FedExs Home and Ground services, including line haul and residential/commercial package delivery and pickup. We have pooled our knowledge of more than a decade in the transportation industry and the management of nearly half a dozen businesses to create what we like to call “the next big thing” in the transportation industry; a company with a heart! We understand that any business is only as strong as its ideas. And were not just talking about the ideas of our founders; were talking about the ideas of the entire company! We are dedicated to cultivating the kind of environment that makes growth and success inevitable! What does success look like to us? Happy Clients + Happy Team Members = Exponential Growth &amp; Success!Happy ClientsBy hiring highly skilled, knowledgeable, and motivated team members with an attitude that aligns with our vision, we are able to provide a transportation service that crushes the competition; a service that exudes consistency, courtesy, efficiency, and dependability. As we like to say, we are intelligently lazy, so smart as to find the simplest way of completing a task and so diligent as to repeat the same process every time the task needs to be completed. Happy Team MembersOur competitive advantage depends on the happiness of our team members and without them, we would not be able to supply the high level of service that we consider mandatory. To ensure the happiness of our team members we've striven to create a culture of support that extends from the top, down. We pride ourselves on going above and beyond for the team members that go above and beyond for our clients; including providing our team with health benefits, profit sharing programs, industry competitive pay, and an ever-evolving way of doing business that takes every team members opinion to heart! If you would like to learn more about our company, please visit #URL_fadb0f1f76790369e214458af473a5b1ddf77545afc3a339a9b194dd01267648#.","A. New Driver Eligibility RequirementsNo record of a preventable accident resulting in a fatality or serious injury.No record, citation or conviction during the one-year period prior to the application date of:Operating a commercial motor vehicle (CMV) at a speed greater than 10 m.p.h. above the posted speed limit.Failing to wear a seatbelt in a CMV.No record, citation or conviction during the thirty-six (36) consecutive months prior to the application date of:More than three (3) moving violationsMore than one (1) preventable accident and two (2) moving violationsOperating a vehicle without a valid license or improper license, including operating a vehicle while a license is expired or under suspension, revocation or cancellationOffenses resulting in more than one (1) driver's license suspension for moving violations thirty (30) days or moreReckless, aggressive or careless endangerment of any vehicleOperating a CMV when not medically certifiedOperating a vehicle that contains alcoholic beverages, drugs or controlled substances contrary to lawAny offense resulting in suspension of driving privileges due to excessive or serious moving violationsViolating an out-of-service order issued by any law enforcement officialFalsifying any document related to DOT complianceCarrying or transporting unauthorized passengers in a CMVNo record, citation or conviction during the sixty (60) months prior to the application date of:Operating any vehicle while unlawfully under the influence of alcohol, drugs or controlled substancesFailure to submit to or pass drug, controlled substance or alcohol testing mandated by the U.S. Department of Transportation (DOT) or requested by any law enforcement official in connection with the operation of any vehicleFailure to stop or remain at the scene of an accident in connection with the operation of any vehicleFailure to yield to a school bus while operating any vehicle and causing an accidentFailure to yield for a railroad crossing while transporting hazardous materials in a CMVFleeing or attempting to elude a law enforcement official in any vehicleUsing any vehicle in connection with the commission of a felonyPlacement on the National Driver Register Problem Driver Pointer System (PDPSB. COMMERCIAL DRIVER'S LICENSE POLICYEvery driver of a tractor-trailer leased to FedEx Custom Critical must have an unrestricted class A commercial driver's license (CDL) along with a double trailer endorsement. C. EXPERIENCETractor drivers must have a minimum of 6 months of verifiable tractor commercial driving experience requiring a CDL within the 2 years prior to the application date or a minimum of 1 year of such experience within the 5 years prior to the application date. D. CRIMINAL BACKGROUND Felony  no less than 7 years from the date of clearance. (Clearance would be the completion of probation, parole, incarceration or court-ordered diversion program relating to felony.)DUI/DWI  no less than 5 yearsMisdemeanor  no less than 3 years","Industry Competitive Pay - $850-$1,000 Per WeekWe understand that in order for you to feel comfortable making a career out of anything, you need to make a comfortable living. We arent just competitive for our clients; were competitive for our team members! Health Benefits Health insurance has been a huge topic of debate recently, and for good reason; theres no replacement for your body! Thats why we see health insurance as a no-brainer. When youre healthy, were healthy.Profit Sharing ProgramWe talk a lot about the importance of our team members and how vital of a role they play in our business. We make sure that everyone who is involved in our growth and success feel like they are. At the end of each year to ensure that our team members are growing with us, 2-5% of our annual profit is divided among all of our team members.Retirement PlansIt would make it kind of difficult to be with us your entire career if we didnt provide you with some method of paying for life after retirement. You decide which retirement vehicle works best and how much you would like to set aside and everything else is handled.Culture of SupportWe work hard to ensure that our team members are taken care of, but that wouldnt mean much if they had to spend every day with people they didnt enjoy, in a company they didnt like. For that very reason we have created a culture of support that makes sure you always have someone to answer your questions, hear your concerns, and take seriously your suggestions. Were all in this together! ",0,1,0,Full-time,,Certification,Transportation/Trucking/Railroad,Other,0
13430,Art Director Interactive / Design,"AT, 5, ",,,"LOOP is an award winning interactive agency based in Salzburg with satellite offices in Copenhagen, Berlin, Sydney and New York City. We work on remarkable online campaigns in web, social and mobile specializations. Over the last few years our brilliant team has done outstanding work for internationally recognised brands such as Puma, Audi, Clearasil, Durex and many more. OUR SERVICES.We create digital experiences for brands and companies by using creativity and technology. From design to development, from mobile to social, from content production to monitoring and analytics. LOOP offers a broad range of services to help businesses and brands thrive in the digital age. LOOP works together with brands to craft strategies along with a unique and innovative digital approach. We thrive on collaborating with clients who are looking to push the limits and think outside the box.ENGAGING THE #URL_1cc142d21262b78a43aae9217a11ae2d5ebb9f2289a01a905afe6ffe361c4565#'S WHAT WE'RE HERE FOR.The common things expected from a digital agency are nice looking websites, branded social media profiles, some mobile apps and possibly a few microsites. At LOOP we aim for more. It kicks off with a brilliant idea and leads to a unique digital approach to draw in your target group. Contemporary advertising should be something that people really want to be part of. Engaging your audience, that is what we're here for.","ART DIRECTOR INTERACTIVEAs Art Director Interactive, you are a talented visual designer with strong interests and capabilities in the design and development of engaging user experiences and visual styles for websites and mobile applications. You're a hands on designer and can be soley responsible for working on and conceiving creative design solutions. You will constantly be working on multiple design solutions and coming up with new individual ideas to serve our clients' diverse digital needs. You are positive, proactive and feel at home in a fast growing and motivated team environment.REQUIREMENTS AND RESPONSIBILITIESEnjoy interesting challenges and solving problemsLove to work with international clients and within an international teamExceptional design portfolio of recognizable work for high-profile clientAbility to design complex interaction models for mobile and web solutionsProven ability to produce interactive design works from concept to deliveryGather customer and end-user requirements and create overall UX concept and strategyWell-versed in web technologies, best practices and emerging trendsAbility to develop simple and elegant design approaches to complex design problemsMotivation to manage your own projects and work under tight deadlinesAn eye for detail, typography and colorWork closely with development teams to ensure that design specifications are implementedOur flat hierarchy and exceptional team culture, is key to the personality of our agency. We believe that there is a wide range of talent in our team, so we won´t restrict passionate people from learning skills in new areas for professional growth. We promote continual advancement in our staff by giving opportunities to attend tech conferences, meetups, english classes, workshops and further training. Plus were really fun people as well!So if you are motivated to join the LOOP Team, we are happy to offer you a full-time position as an Art Director Interactive in NYC. Your entry level and starting salary will be based on your experience.To be considered for this role, send your application, CV, portfolio and earliest possible start date to the LOOP Team.",,,0,1,0,,,,,,0
11351,Fraud Analytic and Card Payment Manager  ,"IE, , Dublin",Finance,,"Ryanair is Europes favourite low fares airline, operating more than 1,600 daily flights (over 500,000 per year) from 69 bases, across 1,600 low fare routes, connecting 186 destinations in 30 countries and operating a fleet of 300 new Boeing 737-800 aircraft. Ryanair has recently announced firm orders for a further 180 new Boeing aircraft, which will be delivered between 2014 and 2018. Ryanair currently has a team of more than 9,500 highly skilled professionals, will carry 86 million customers this year and has an outstanding 30-year safety record.","Ryanair is looking to hire a Fraud Analytic and Card Payment Manager who will be working within the finance department.This is a permanent role based in Ryanair's head office, Airside Business Park, Swords, Co Dublin.Responsibilities Responsible for Fraud and card payments on all Ryanair Sales Channels.Responsible for the automated fraud management tool Liaise with  the Fraud team in relation to Fraud detection and prevention procedures. Maintain, adjust and develop new fraud rules, rule set scoring to optimize fraud detectionAnalysis payment and Chargeback data to identify emerging fraud trends, develop strategies to mitigate trend and reduce Fraud losses. Responsible for the management of the Chargeback team to ensure all Chargebacks are processed and defended within the card scheme rules and regulations and monthly reconciliations are completed on time.Liaise with third party Payment/Fraud provider, Law enforcement and internally within company on all Fraud and Payment issuesAd-hoc duties as required by the Revenue Audit Control Manager.  ","Bachelor degree in Finance, Business, Analytic Science, Accounting or mathematics.Minimum of three years of experience working with fraud/Card payments role.Experience of analyzing large sets of transaction data to identify patterns and trendsFluent English, excellent written and verbal communication skills. A second European language is preferable.  Excellent analytical and problem solving skills are essential.  The candidate should be able to demonstrate a high degree of initiative, strong interpersonal and excellent communications skills. ",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Airlines/Aviation,Finance,0
6032,Software Engineer - C#.Net HTML CSS Java XML PHP C#- NV,"US, NV, Las Vegas",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Software Engineer is required having responsibility to support and enhance software and member registration, update system and tools for sales, training and support departments  Job Responsibilities: Architect and develop a new web database and e-commerce applicationSupport and enhance software and member registration, update system and tools for sales, training and support departmentsSupport and enhance security and automatic updating features with an existing graphics-centric application.Designing and building a web-based account system with content management control for existing and future products.Work on a diverse range of software projects.Design and code solutions to in support of customer-facing applications.Troubleshoot and resolve complex and software issues.Software/tools: C#.Net, #URL_c5591c960537d84ff7889f414633cdbc8e7d36f3fe042a096d374e4e058388d5#, MVC, WCF, SQL, Javascript, HTML, CSS, XHTML, VB.Net, PHP, C++","4+ years software development experience in current web technologies (HTML/XHTML, CSS, JavaScript, XML/XSLT, PHP and #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#Strong knowledge of web programming using C#, Visual Basic, and JavaScriptWeb-based client/server applications developmentProficiency in web services and related frameworksExperience with production web sitesSQL Server and/or MySQL schema design and database architecturePossess a knack of solving complex problemsStable record of direct employmentGraphics, visualization, 3D, or similarC++ programmingNetwork configuration and maintenance (Web, FTP, email servers, firewalls, routers, etc)Network programming experience, windows socketsNetwork protocols and client/server architectureEducational Qualifications:BS degree in Computer Science or similarWe Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,Computer Software,,0
9055,Senior Administration Officer ,"ZA, NL, Richards Bay",,,"Ensight is an international energy design and implementation practice specialising in sophisticated whole-of-business energy efficiency programs for energy-intensive industry. Working for global enterprises, our innovative, world leading programs require people with a passion for efficiency, collaborative teamwork, economic optimisation and the delivery of rapid results that are enduring and profitable for our clients.","The Senior Administration Officer is accountable for the accurate execution of all company and business administration, ensuring the compliance to company policies and procedures, in line with legislations. KEY RESPONSIBILITIES:The core tasks of a Senior Admin Officer can be grouped as follows, but are not limited to:Company and office administration and the accurate record keeping thereof. Finance administration as well as providing support to general HR administration. Conducting rental and asset management, which include the management of domestic workers, properties, company vehicles and other company assets and the accurate keeping of these records.Co-ordinating the work of assistant, temporary or casual Admin Support staff.Providing admin support to staff and projects running.DOWNLOAD JOB PROFILE","Senior Certificate/Matric.3 year BCom degree.Minimum of 5 years experience in office, business, finance and/or HR administration.Clean credit record.High proficiency in MS office and other business applications.PREFERRED ADDITIONAL QUALIFICATIONS:Additional appropriate business qualifications.Company Secretary experience. KEY COMPETENCIES REQUIRED:Attention to detail and high desire for accuracy.Highly organised and action orientated.Assertive and confident.Responsible and reliable in all dealings.Must be willing to travel and relocate for business purposes.","Permanent with 6 months probation.BENEFITS COULD INCLUDE:Medical Aid subsidy.Annual and Performance Bonus.PLEASE NOTE:Please upload supported verified copies of education with your CV/Resume.Must be willing to travel and relocate for business purposes.Only applications submitted via Workable will be considered, no other application will be considered. CLOSING OF APPLICATIONS:Applications will close by 31 August 2014.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Management Consulting,Administrative,0
13277,Linux System Administrator,"CA, ON, Toronto",,,,"UnoTelly is a DNS &amp; VPN service that allows users to access geo-restricted content no matter where they are in the world!We are seeking a dynamic network administrator to join our growing team in our new downtown Toronto office location. Do you derive satisfaction from seeing your work go live and help real customers from around the world? We ship and ship often! We are looking for a motivated network administrator who enjoys working on the many aspects of our expanding software products. Your responsibility will be to manage our server infrastructure to ensure 99.999% uptime. You will also dabble between playing around with the Unix/Linux distros, optimizing TCP/IP stack and some fun coding.We offer a challenging yet nurturing work environment where everyone is given the opportunity to learn and grow. We always welcome new ideas and value individuals who take initiative. At UnoTelly, we foster creative and collaborative energy by encouraging our staff to take risks, ask questions and engage in meaningful dialogue.To find out more about UnoTelly, please explore our company website.","*Skills &amp; Requirements:Minimum 5 years of system and networking experienceIPv4/IPv6, TCP/IP, DNSMRTG, Cacti, Netflow, NAGIOSRADIUS, FREERADIUS, SNMP,NTP, LDAPBGP, OSPF, EIGRPStrong network trouble-shooting skills including the use of utilities such as tcpdump, wireshark, nmap, etc. to quickly identify root causes of network issues.Solid TCP/IP networking foundation including Layer 2 &amp; Layer 3 switches, routing, subnetting, HSRP, stacking, VPN, ACLs, VLANs, VCLs, packet capture/analysis, and NAT configuration.Load Balancing, HA ClusteringMysql Cluster and replication, mysql optimization and indexingApache, Nginx, lighttpdOpenVPNShell and Perl scripting (Java, PHP, python bonus)RAIDKVM/XEN and other virtualization technologyCompile packagesKernel tunings, patching, recompiling and building modulesBGP, PeeringRedHat, Debian, CentOS, Ubuntu, FreeBSDiptables, iproute2, IPSECFamiliar with CDN(Akamai, Edgecast, etc.)Familiar with GeoDNSAbility to discern a network vs. system issueNormal business hour and after-hour on-call rotation to respond to critical issuesKnowledgeable with Red Hat package management (rpm and yum, building rpms, maintaining a yum repo)Familiar with video streaming (adaptive bitrate streaming etc.)*How to Apply:Please submit your cover letter &amp; resume in care of Nick.Your cover letter should include answers to the following questions:1. Why do you think you are an awesome system administrator?2. Describe a situation in your work-life where you failed.3. Describe a time when you accomplished something you thought was impossible (can be work-related or personal).",,0,0,0,Full-time,Mid-Senior level,,Information Technology and Services,,0
7503,Digital Marketing Manager,"GB, LND, London",Marketing,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"We're on the hunt for a&nbsp;digital marketing manager&nbsp;- a talented professional who'll help grow our online performance marketing channels into a streamlined digital acquisition program.You're top of the game because you think differently, and you'll fit with&nbsp;TransferWise&nbsp;because we think differently too.","We're looking for somebody to- Drive customer acquisition from performance marketing channels- Test, grow &amp; optimise across the full online mix- Deliver growth at ever-improving CPAs in our key European markets and the USIs this is you?Self-starter: take charge and deliver on your own, pro-active and independentFresh-thinker, non-institutionalised, creative and willing to go head-to-head with the banking industryMaster of PPC, Display, SEO, lead-generation and all key online channelsMetrics/data driven, completely at home with quantitative analysis, testing &amp; optimisation3+ years experience with hands on marketingHave worked for an online financial services company or e-commerce companyExperience working in a startup is a plus - we don&rsquo;t have HR or other support systems, so you need to be a good swimmer",,0,1,1,,,,,,0
9971,Visual UI Designer,"IN, KA, Bangalore",IT,,"47Billion is a Product engineering and Experience design company that delivers state-of-the-art solutions. We provide end-to-end solutions starting from user experience, design, architecting, development, testing, deployment and scaling. We are present in Bengaluru, Indore and Hyderabad. We have strategic partnerships with various budding startups and established firms in the US, Europe and India. Apart from helping our partners, we are also developing a product that will disrupt the way people engage with online content. OUR PARTNERSPersonagraph is our strategic partner. Personagraph helps companies understand their mobile users, their interests, and their needs. Personagraph platform provide actionable user insights to help developers improve mobile user acquisition, engagement, monetization, and personalisation efforts. 47Billion's R&amp;D team consisting of product managers, architects and developers build Analytics, Monetization and Campaign Management products for Personagraph. We also help Personagraph in sales in Asia-Pacific region. Cisco is world leader in designing and manufacturing networking equipments. 47Billion is a certified partner with Cisco. We provide user experience and design for their latest products in security and machine-to-machine areas.L-Squared Digital Signage builds enterprise Digital Signage product that any business can use to enhance their core operations. 47Billion is a strategic partner with LSN. We help them with complete end-to-end product development with user experience, design, development and testing.Cavo Knowledge Search is a SAAS based solution of Humanizing Technologies that allows you to find documents and emails quickly and easily. It knocks down document silos by searching across varied repositories as well as in multiple locations. 47Billion is a product development partner with Cavo especially in scalability and high-availability areas.ccZen is a Silicon valley startup that develops products to simplify email communication and collaboration between people. 47Billion is an engineering partner with ccZen. We do end-to-end product design and development. We take care of user experience design, architecture, feature development and testing, scalability and cloud deployment and management of the product.",Executing interaction design and visual design as a part of a multi-disciplinary teamCollaborating on user experience planning with a lead experience architectConsulting with clients and lead experience architectResearching interaction design trendsResearching technology trendsPerforming other duties as assigned,"ROLE REQUIREMENTSTwo or more years of user experience design experience for software, Web applications which leverage emergent technologies, consumer electronics and/or mobile devicesStrong conceptualization ability, strong visual communication ability, drawing skills and sketchbook techniqueExceptional design skills, production value and attention to detailAbility to create wireframes as well as visual design compsStrong working knowledge of Photoshop, Illustrator, InDesign, Fireworks and associated design toolsExperience with user interface design patterns and standard UCD methodologiesStrong written and verbal communication skillsUnderstanding of common software project management practicesUnderstanding of common software development practicesADDITIONALStrong working knowledge of HTML, CSS, JavaScript/JQuery, ObjectiveC (iOS), C++ (Android) a plusBachelors or Masters degree in interaction design, new media design or related design field or HFI certifiedSome travel is requiredPortfolio to accompany resume",,0,1,0,Full-time,Entry level,Bachelor's Degree,Information Technology and Services,Information Technology,0
16939,Appointment Coordinator,"US, OH, Cincinnati",,50-65,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Job DescriptionJob DescriptionOur Appointment Setters work hand in hand with our top sales executives to prospect customers who are interested in our home improvements products. Appointment Setters will coordinate meetings directly with interested home owners. Appointment Setters will dispatch senior sales executives to appointment, making the initial contact, setting and confirming the appointments, as well as managing the appointment setting process.LEI Home Enhancements  BenefitsHighest commission payout in the areaBase Pay (paid weekly)Advancement Opportunities in a brand new departmentDaily bonuses - 5 days a week PLUS weekly and monthly bonusesTime tested PAID trainingFull BenefitsQualificationsSuccessful Candidates will: Demonstrate the ability to professionally represent The Company and its various products/services through prospecting and qualifying local home Owners to meet with our most senior sales executives.Demonstrate a ""champion's mentality"" by maintaining a positive, hardworking attitude at all times.Desire growth opportunities available within The Company by reaching goals and earning advancements/promotions.Demonstrate the ability to manage and schedule; accompanied strong follow-up and time management skills.Work well with senior sales executives and efficiently assist in the sales process.If you are a motivated, well-organized, high energy sales professional possessing the qualities listed above, Please do not forget to include your resume with your application.Additional Information* Due to our expansion,  Monthly reviews with your manager to promote those individuals who are exceeding company expectations.      * Management     * Assistant Manager     * Relocation available     * Expansion Teams     * Franchise Opportunities     * Sales Reps     * Regional Positions     * Sales Management     * Director positions",,,0,1,0,Full-time,Entry level,,Consumer Services,,0
1022,Business Intelligence Analyst ,"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Business Intelligence Analyst, fluent in English, to offer his/her services as an expert who will be based in Brussels. The work will be carried out either in the companys premises or on site at customer premises. In the context of the first assignment, the successful candidate will be integrated into the Data Warehouse team of the company that will closely cooperate with a major clients IT team on site. Your tasks:Definition of business needs, analysis of user requirements, modelling of new systems and their impact on DataWarehouse architecture;Development and maintenance of data marts, staging areas, ETL modules, universes, Business Objects reports and dashboards;Data analysis and modelling;Provision of assistance in testing, technical documentation, systems evaluation and Business Intelligence user services.",Your skills:Minimum 14 years of combined relevant University Studies and Experience in IT;Minimum 9 years experience in Business Objects tools (version XI 3.1) mainly BO Data Integrator/Designer;Minimum 3 years of experience in Business Intelligence methodologies and tools;Extensive experience in Oracle database features of the SQL and PL/SQL languages;Knowledge in the field of SASBase language for advanced statistics and data mining will be considered an asset;Good knowledge of French will be considered a strong asset;Fluency in both spoken and written English.,"Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (BIA/05/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#. We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential. You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
14423,Front-End Engineer,"GB, , London",Development,,"Neverbland is a team of designers, developers and doers. Specialists in user experience, interaction design and product development. Having earned our spurs developing startups, we understand the importance of simple, elegant solutions and quick releases; matching beautiful aesthetic to functional design.We're driven by the Web's ability to unite and empower. That's why we're passionate about collaboration and the open source movement. But it's what we haven't done that really excites us. What's next?","As part of our front end technical team your days will be filled developing engaging interactive products that people will love. Youll have an eye for the finest details, know your LESS from your SASS and will be as happy diving into well-documented code as you are developing your own.Knowing tech like you do, youre excited by the future of the web and what possibilities lie ahead with advances in technologies like Canvas, WebGL and SVG. Youre well versed in available front end frameworks and technologies, but can make calculated decisions about what to use based on the project at hand.You get excited by new techniques and the nitty gritty of how people are using CSS animations to delight the user with next level user experience.Youll also relish working as part of a team  supporting the studio and simultaneously managing relationships with your colleagues and clients.","Specifically, our perfect candidate will possess the following skillset:Object Orientated Javascript developmentFamiliarity with MV* frameworks (Angular, Backbone etc)DOM manipulationCSS and Javascript AnimationsFamiliarity with OO CSS methodologies (OOCSS/BEM)Have used CSS Preprocessors for more than just mixins and nestingComfortable using APIs (Twitter, Facebook, Google to name a few)Not afraid to work outside your comfort zone - some projects might require the use of new/emerging technologies you might not have used beforeProficiency with responsive/adaptive design techniquesAbility to write clean, efficient and well documented codeAbility to debug browser and platform inconsistenciesLove for problem solving, both technical and UI/UXHeard of srcset and will-change before","Work in a dynamic, creative environment.Learn first-hand about how to start, grow and market new startups and products.Build exciting Apps that thousands of people will use and love.Work on a broad range of projects and brandsTeam lunches, drinks and - dare we say it - parties.'Take what you need' holidays (we trust you to get the job done, so we don't track).OTE bonus scheme paid quarterly",0,1,1,Full-time,,,Internet,Engineering,0
435,Sr. ServiceNow Implementers,"US, FL, Pensacola",,,"Solutions3 is a woman-owned small business whose focus is IT Service Management using best of breed technology and implementing industry best practices following the ITIL® framework. We work extensively in the areas of planning, design, architecting, assessing, implementing and training on technology solutions.Solutions3 focus areas include: Enterprise Network &amp; Systems Management (architecture and implementation), IT Service Management including the Service Desk and associated process definitions (Incident &amp; Problem Management, Change &amp; Configuration Management, Service Level Management), the Configuration Management Database (CMDB), IT Asset Management, and Alert/Event Management. We focus on best of breed technology and follow industry best practices, including ITIL® and ISO20000.We have a strong focus on training and knowledge transfer associated with our solutions. Many of our resources are course developers and instructors for many of our vendor partners. Our practitioner experience comes into the training environment with us.We have a strong set of core values based on Biblical principles that include great integrity, high ethics and always striving for excellence.  We are always seeking those candidates who want to work for an amazing technology company, make a difference and be a part of something great for the long term.",Design/Implementation/Training/Ongoing Operations &amp; Maintenance on the ServiceNow Service Desk applications.,"MUST BE A US CITIZEN.Additional Tools:HP Service Manager and/or Remedy a plusSoft Skill Req's:Leadership, Strong Written &amp; Verbal CommunicationBeneficial:Knowledge and experience with other ITSM software tools a big plus.Additional ITIL and IS20K knowledge/experience very helpful",,0,1,1,Full-time,Associate,,Information Technology and Services,,0
4060,Junior Web Developer/ Data Analyst,"GR, B, ",,,"Bare-Square is an independent analytics consultancy, specializing in web, mobile and social media analytics and optimization. The BSQ team combines a strong technical background, experience in digital and online marketing &amp; web analytics, and a successful track record both in project management and in consulting.We believe in working hard, but mostly in working well: being creative, testing limits, finding solutions, and always moving forward.  ","Job description&nbsp;The company provides web analytics services to a large multinational online company. You will be involved in the implementation, maintenance and support of different levels of tagging, and the management of different web analytics technologies. You will be working on the automation of various complex reports and dashboards, using existing tools but also by developing applications for that purpose. Since we work with large volumes of data, you will be engaged in the setup and administration of databases. And you will be relied on to provide support and troubleshooting for various web analytics issues, from tagging and data gathering to reporting.","Essential skillsBachelor&rsquo;s degree in Informatics or Applied informaticsAt least 1 year experience in application developmentExperience in VB or VBA and JavaScript or Java programming.Basic knowledge on DB management (e.g. MySQL or SQL server)Ability to manipulate excel and text files using applicationsStrong analytical and organizational abilitiesGood communication skills; fluent in Greek and English (reading, writing, speaking)Sharp, fast learner with a technology-oriented curiosity and aptitudeAbility to work in a flexible, fast-paced environmentCompleted military serviceDesirable skillsMaster&rsquo;s (or other graduate) degree in Informatics or Applied informaticsStrong work ethic and commitment to punctual delivery of workAbility to effectively communicate technical conceptsHighly organized and experienced in multi-taskingDemonstrated ability to work in a global team environment","Full-time employment (incl. benefits)Friendly, youthful, supportive environmentThe opportunity to work on an international client accountGreat learning &amp; training opportunity for a junior developer&nbsp;",0,1,1,,,,,,0
9784,Area Sales Manager - Southern Greece,"GR, I, Athens",,,"We provide a fresh perspective to HR and career solutions. Building on solid expertise, international experience, and a strong portfolio of psychometric tools, we provide our customers with effective human resources and career management solutions. Our services include: Integrated recruitment and selection solutions, Assessment Centres, CV writing services, Interview preparation, Career planning.Please visit our website for more information.","The company The company holds a leading position in its field and a strong presence outside of Greece. It is distinguished for a wide range of services, as well as its innovative and quality products. The position The successful candidate will be reporting directly to the Board of Directors and he or she will be responsible for the implementation of the corporate sales policy and strategy within his or her area of responsibility. In particular, his or her duties will include:Key account managementLeading, monitoring and supporting the sales teamExpansion of the customer base / Business developmentDesign &amp; implementation of promotional activities, with a view to introduce new products to the market, and evaluation of their effectivenessReporting to the Board of DirectorsManage a team of direct reports, within his or her area of responsibility.","Your profile In order for you to be able to successfully carry out the duties of the Area Sales Manager, you should possess the following:Degree in Agricultural Sciences or similarPostgraduate diploma (desirable)A minimum experience of 5 years as an Area Sales ManagerExcellent command of English (both verbal and written), Greek and MS OfficeExcellent communication and negotiation skillsAnalytical mind and problem solving skillsCollaboration and teamwork skillsFlexibility and creativityAbility to plan &amp; organize self and othersAbility to manage a teamAbility to travel.","Our Client offers In case you are selected for the position of Area Sales Manager, you will have access to the following:Competitive remuneration packageCompany car, mobile phone and PCTraining and continuous development opportunitiesExcellent opportunities to advancementA dynamic work environment. Are you interested? Do you take pride in your strong negotiation skills? Are you looking to advance your already successful career and develop professionally in a well-established company?If yes, submit your application, using the ""Apply for this job"" button, #URL_d0c6c063e7b47dd4a8e249f5f188ce470a3f2218a3d9318f35cba26a03f51d9d# selection process Our company applies a demanding selection process, in order to ensure its fairness. Shortlisted candidates will be assessed with the use of psychometric tests (personality and ability test) and Competency Based Interviews.                                              Our company treats each application with strict confidence",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Farming,Sales,0
2524,SAP BO Solution Architect,"US, TX, Dallas",,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI &amp; Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&amp;D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall &amp; excellence in the field of Business Intelligence &amp; Analytics by driving BI adoption with our innovative analytical solutions and applications.","Execute BI Strategy by leveraging SAP BusinessObjects platform capabilitiesProvide solutions architecture oversight for new development projects in support of companys BI programAgree upon timelines and budget and ensure adoption of best-in-class practices and standards for development, support, quality control and documentationWork with stakeholders to analyze business requirements, and define target SAP BusinessObjects solution architecture and associated technical specifications &amp; implementation planLead large cross functional teams including client staff and implementation team to accomplish successful completion of one or more solution requirements, architecture, or implementation deliverablesEnsure timely proactive issue identification, escalation &amp; resolutionDefine solution performance objectives for deliverablesWork independently with minimal supervisionWill be required to commit to 75% travel or open to client site relocation","8  12 years of experience in SAP BusinessObjects, covering Universe Design (backend), dashboards and reportsExceptional ability in designing and developing complex BO 3.x/4.x Universes on top of data martsVersatility in building authored/ad-hoc reports and dashboards using tools such as SAP Dashboards (Xcelsius) / Web Intelligence / Crystal Reports / Analysis for Office &amp; Analysis for OLAP/ MOBIBO Server administration and security experience a strong plusSAP BW + BOBJ Integration experience a plusExperience in non-SAP visualization tools such as Qlikview, Tableau and Spotfire a plus","Excellent Medical, Dental, Vision, Life, AD&amp;D, Dependent Life, Short Term and Long Term Disability Benefits401(k) plan administered through employee fiduciaryGenerous communication allowance (mobile / internet / data charges)",0,1,1,Full-time,,,,Consulting,0
6481,Graduates: English Teacher Abroad (Conversational),"US, NY, New Paltz",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
12638,HOA Property Inspector,"US, NC, Davidson",,,"We Help Create Communities that Withstand the Test of TimeThe Kuester Companies professional management division specializes in the management of communities throughout the Carolinas. From new construction to established communities, our veteran team of professionals oversee the maintenance and operation of associations that range in size from 20 to 3,000 homeowners.We represent and work closely with association members to protect property values, and uphold the quality of their community. Our regional offices and our well-trained on-site staff ensure that issues are resolved quickly so that homeowners can enjoy the benefits of their community throughout the year.","Job Title: HOA Property Compliance InspectorGeneral FunctionThe Inspection Team is responsible for drive-through or walk-through inspections of client Association communities, and the subsequent citation and processing of noted violations of the Covenants, Conditions and Restrictions that govern the association. This team is also responsible for minor Maintenance repairs.Scope of JobConduct regularly scheduled inspections of client Association communities for the purpose of identifying violations of the Covenants, Conditions and Restrictions of the communityMajor Duties and ResponsibilitiesReview client Association Covenants, Conditions and Restrictions, as well as any subsequent amendments thereto, and duly adopted rules and regulationsProcess noted violations in accordance with established covenant violation policies of the community, said process to include the delivery of citations to the offending homeowner, and notice to the Community ManagerPrepare, collect and report to Manager any information necessary to insure proper enforcement of covenant violationsPromote the maintenance of the aesthetics of client Association communities such that property values are maintainedAccent and support the superior knowledge and experience of the Community ManagersSupport and promote a company culture that emphasizes quality, continuous improvement and high performanceAssist Maintenance Team with any minor Maintenance repairs","Skills / RequirementsAt least a high school diploma, some college preferredA minimum of two (2) years of customer service experienceStrong communication, problem solving and analytical skills requiredAbility to work independently and to adapt to a fast changing environment.Proficiency in Microsoft Office including Excel and WordMust have attention to detail with an eye for accuracy.Creative, self-disciplined and capable of identifying and completing critical tasks independently and with a sense of urgency.Maintenance knowledge preferred but no",,0,1,0,Full-time,Entry level,High School or equivalent,Real Estate,,0
9692,Job Fair ,"US, NJ, Secaucus",HR,,"The RealReal is changing the way people buy and sell luxury items. The leader in luxury resale, the company is a full service retailer offering customers authenticated, pre-owned, designer brands including Chanel, Hermes, Louis Vuitton , Gucci and Cartier, all at up to 90% off original retail. The RealReal takes possession of all items prior to sale in order for its merchandising team, authentication specialists and skilled gemologists to evaluate each item. The company also offers buyers merchandise returns, with an in-house customer service team available to answer specific product questions.","JOB FAIR AT THE REALREAL When: Wednesday, September 17, 2014             10:00 am-8:00 pm Where: The RealReal              35 Enterprise Avenue North             Secaucus, NJ 07094 Hiring dozens of people for multiple positions! Opportunities for advancement as the company grows! The RealReal needs to double our team by the end of 2014!!Get in on the ground floor of an increasingly successful luxury fashion startup At the fair, you can:Learn about The RealReal and the positions available—Warehouse Technician, Product Copywriter, Photographer, and Photography Production AssistantApply for a job on the spotInterview with the Director of Human ResourcesPotential for same day interview with hiring managers  What The RealReal can offer you!Good jobs with growth opportunitiesGreat place to work— rapidly growing business, free parking, shuttle to and from train station, weekly catered meals, company outingsSuper successful startup—hip, unique ecommerce company that deals solely with luxury goodsNuts and Bolts: competitive salary, stock options, 401k with company match, and a full menu of benefits ABOUT THE REALREAL     The RealReal is the leading full service online luxury resale marketplace in the United States.  Only The RealReal offers customers access to authenticated designer fashion and fine art in curated sales. Our designer brands include such leading names as Chanel, Hermes, Louis Vuitton, Gucci and Prada, all at up to 90% off original retail. Our fine art offerings include paintings, drawings, prints, photographs and sculpture from the Modern, Post-War and Contemporary eras.  The RealReal takes possession of all items prior to sale in order for its merchandising and curatorial teams, authentication specialists and skilled gemologists to evaluate each item. In addition to winning POSH and Fashpreneur Awards, The RealReal has been featured on The Today Show, USA Today, Fortune, Glamour, and Elle.  We have an award-winning leadership team with a proven track record of success and we are experiencing hyper-growth. This is a unique opportunity to be part of a VC funded start-up that is changing the marketplace.  Join our team and make some history! Find us: #URL_21260ee3ae8f5b50e570c025c5c70479c7e269db690671c2b754afbbc289094b# Follow us: #URL_07ff87d4f3e227140fbaff9ce59c904e9884bba1af27c4220bf2a3c52758cf3d# Like us: #URL_d6efe0bfcf0ce5500588f67e335a7f88f4607ccbe3373bfef826329aa2822886# Follow us: #URL_572917c7522fa686a8ee1e7fb2ff015f21284241410ac0978ede1254de831af0#  The RealReal in the newsUSA Today #URL_ce2502fe9604d131b3ab4c361ef19f0c8e3ac34aa4c4ce09b0b7cbca898d3e54#POSH Award #URL_96bf07fe204be29898f8b98b3ff632533ff8f99e4b8dd80f78cf4fb368020c0a#Glamour #URL_d8425e63f819f01e9bad2ca80763ba6842fbd485e26aa9700ab49483df1c7e43#Fashpreneur Award #URL_321c097b6fd031e55a7255bcd5f413c69347bc9600f74dadbf3f61544fcb4d57#",,,0,1,0,,,,,,0
8786,Business Developer - Netlog Arabic site,,,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"Are you passionate about online marketing and thrilled about social media?    Did you increase your pocket money by selling your course notes to your fellow school mates?    Are you a pit bull whenever you put your teeth in a project?    Which ever place you walk into, will you walk out with some new friends?    Are you a creative mindmeister?    Can you lead the dance and make everybody follow your moves and rhythm?    You don't have plane-o-phobia, right?    Can you look everybody straight in the eyes?    Do you speak a few languages, and perhaps also Arabic?Then you might be the next 'star of the Middle-East' for #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e#!Netlog became a major player in the Middle-East with currently almost 7 million members in the region. We are opening a sales office in Dubai and want you to run the show. You will be dealing with agencies and brands across the MENA region and help them leveraging on the power of a community site like Netlog. Sounds like your next success story? Then send in your cv today!",,,0,1,1,,,,,,0
12583,Red Prairie consultant,"US, VA, Richmond",,,,"Duration: 6 monthsRate: Open all inclusive Responsibilities: Uses skills with a full understanding of industry practices and company policies and procedures Works on problems of diverse scope where analysis of data requires evaluation of identifiable factors. Demonstrates good judgment in selecting methods, techniques and evaluation criteria for obtaining results. Assist in the design, development, and maintenance of Client Supply Chain Applications. To accomplish this, partner with operations and understand their business requirements Work with Sr. Analysts and teammates in the design/coding for one or more functional subsets of system software.Develop utilities, procedures, and documentation upon customer requirements Maintain application release control (version control). Assist in supporting application installation and upgrade, including third party integration and hardware configuration. Working with varying software development and deployment packages, Radio Frequency Terminals, Specialty Printers would be a #URL_772039f868a1ae9eb56e307fba3f18dd92f925ac7488e2815187d2b3c08a9050# receives little instruction on day-to-day work, general instructions on new assignments.",,,0,0,0,Contract,Mid-Senior level,,,,0
15042,Entry Level Sales and Marketing Rep - South Chicago and Indiana Area,"US, IL, Orland Park",Sales,50000-80000,"Advocate Construction is an up and coming leader in the disaster recovery industry, In early 2012, Advocate was founded by two individuals that decided that they wanted something better in life, today their idea, hard work and dedication has grown Advocate Construction into a team of over 25 sales professionals and 3 branches offices in 3 different states with more offices opening soon. Advocate believes in growth of its entire workforce and offers an outstanding management training program. Our Sales managers will provide the invaluable tools needed for you to be successful for many years to come. So if you have what it takes to be part of something great then we encourage you to apply today! The Advocate difference! We believe that hard work and dedication deserves to be rewarded, we provide the opportunity for every single team member to be successful by homing in on a working process and delivering a top notch product plus exceeding our commitment to service. This posture has taken us to the next level of sales and revenue.","Are you looking for an exciting new position and an opportunity to change your life for the better?About us:Advocate Construction is currently expanding our territory in the Chicago Area, due to this exciting growth we are now looking to offer an outstanding opportunity for the right person that is not intimidated by hard work and has what it takes to become part of a company that has increased sales year after year.As a Corporate Representative you will help Advocate Construction in our mission to help homeowners obtain much needed repairs to their homes after they have experienced damage due to a severe storm at little or no cost.Advocate Construction offers our team members the opportunity to enter our Management Trainee Program (MTP) which offers an outstanding focus on sales and business management education; this invaluable training will enable you to acquire an impressive skill set which will lead you into senior management and earn a sustainable income for many years to come.If you are seeking a long term career with unlimited potential and are interested in becoming a Corporate Representative, we invite you to contact us via email with your resume and introduction as to why you are the right person for this outstanding opportunity.","Basic Requirements:Ability to effectively communicate in English, in person as well as by phone and email.Ability to present, pitch and deliver brief customer orientations in person on consistent basis.Ability to work with minimal supervision after paid training has been completed.Must have a pleasant, energetic and empathic demeanor.Must be able to work, secure and canvasses an area on foot.Must be neat and have the ability to represent yourself and the company.Applicants with experience in the service and sales industry will be highly considered.No general experience required just enjoy helping and speaking to people.","What we offer for your hard work:An excellent compensation package, with secured and guaranteed high earnings after just your second week of work.Weekly compensation payout after the first two weeks of employment.Excellent new employee training and on boarding orientation.Truck rental assistance program.Performer of the month and many other incentive programs.Relaxed and comfortable work environment with casual business dress.Dedicated support team that ensures your homeowners paperwork is completed so you can focus on existing and new client interactions.",0,1,1,Full-time,Not Applicable,High School or equivalent,Construction,Sales,0
12811,Mechanical Supplier Quality Engineer - ASQ NDT ISO Six Sigma - FL,"US, FL, Pensacola",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Electrical Supplier Quality Engineer is required having responsibility to review and provide input for supplier selection activities including supplier evaluation and quality systems audits.D - 147Job Responsibilities: Will be responsible for developing program or project quality system processes and supporting product quality improvements. Lead the efforts to develop, maintain, and deploy quality plans and activities that translate customer requirements into planned activities. Review and provide input for supplier selection activities including supplier evaluation and quality systems audits.Will coordinate supplier process capability programs for new components, processes, assemblies or purchased finished goods.Will work with internal staff and outside suppliers, vendors and customers.Devises and implements methods and procedures for inspecting, testing, and evaluating the precision, reliability and accuracy of products and processes of suppliers.Coordinates with customers and suppliers relating to quality performance, trends, and corrective action.  Verifies compliance and effectiveness of the quality system by performing supplier audits and surveys.  Initiate programs to improve supplier performance.Lead supplier development activities geared towards increased implementation of Dock-to-Stock and replenishment programs.Works with suppliers to refine and enhance products and processes by applying continuous improvement and key lean manufacturing/production principles and techniques to assure quality of goods and services provided.Responsible for the specification and validation of inspection tools and gages for suppliers.Integral participant in all design reviews; reviews and approves all SupplierQuality requirement plans and develops follow-up plans after implementation.Will perform Quality Systems and Process Audits of current suppliers including reporting, follow-up and closure of non-conformances.Handle process improvements at supplier facilities.Support, communicate, reinforce and defend the mission, values and culture of the organization","Degreed Mechanical Engineer with 10+ years experience; 5+ in a supplier quality capacityMechanical experience in an electrical/electronic based industryASQ certification or Black Belt preferredKnowledge of and experience with precision machiningExpert in machining/metal removal/heat treatment/chemical treatment/metrology/NDTSignificant defense/aerospace experienceProficient with ISO Standards, 6 Sigma tools, StatisticsAbility to read blue printsExcellent interpersonal, negotiation, communication and writing skillsAbility to define problems and drive to root cause and effective corrective actionAbility to effectively communicate and present information to team members, top management and suppliers.Ability to travel up to 70%. Educational Qualifications:Degreed Mechanical Engineer with 10+ years experience; 5+ in a supplier quality capacityle=E<>-i<><69>' x3 '&gt;·         Responsible for the specification and validation of inspection tools and gages for suppliers.Integral participant in all design reviews; reviews and approves all SupplierQuality requirement plans and develops follow-up plans after implementation.Will perform Quality Systems and Process Audits of current suppliers including reporting, follow-up and closure of non-conformances.Handle process improvements at supplier facilities.Support, communicate, reinforce and defend the mission, values and culture of the organization",,0,0,0,Full-time,,,,,0
8409,Junior Developer,"NL, NH, Amsterdam",IT,,"About the CompanyWe are ticketscript - the European market leaders in digital self-ticketing. Whether its massive dance events, festivals, gigs, outdoor cinemas, fairs, theater shows, exhibitions, comedy nights, or award ceremonies - we do them all! We believe in empowering our customers. Their success is our success. So far over 50,000 events have worked with us - and this is only the beginning. We have offices in London, Amsterdam, Antwerp, Berlin and Barcelona and are rapidly expanding across Europe.","About the CompanyWe are ticketscript - the European market leaders in digital self-ticketing. Whether its massive dance events, festivals, gigs, outdoor cinemas, fairs, theater shows, exhibitions, comedy nights, or award ceremonies - we do them all! We believe in empowering our customers. Their success is our success. So far over 50,000 events have worked with us - and this is only the beginning. We have offices in London, Amsterdam, Antwerp, Berlin and Barcelona and are rapidly expanding across Europe.The roleAs a junior developer you are eager to learn, sink your teeth into issues and offer general support for the IT department. You will be part of our highly skilled development team where you will get all the opportunities to learn on the job. Your day to day work will mainly involve analysing and fixing bugs and participating in Scrum meetings (standups, planning, retrospective).","Responsibilities- Analyse and fix issues and bugs- Administrative tasks- Maintenance work- Write and maintain quality code- Write unit tests- Participate in the Scrum team and code reviewsYour profileThe basics- Knowledge of OOP/PHP5- Experience with Zend Framework (or similar framework) is an advantage- Experience with Javascript is an advantage- Experience with test driven development is an advantage- Experience with Scrum is an advantage- Experience with MySQL is an advantageSkills and competences- A methodical and well organized approach to work, ability to prioritize work and work very accurately- You have a positive attitude- Results driven attitude","Our offerA competitive salary within an international and growing technology company that offers you the possibility to deepen your international career. You will join an energetic and results driven team based in Amsterdam.Job detailsDepartment: ITJob type: Full-time (40 hrs)Job location(s): Amsterdam, The Netherlands",0,1,0,Full-time,,,Computer Software,Information Technology,0
10089,Service Delivery Manager 1 ,"US, TN, Franklin",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Service Delivery Manager will be based in Franklin, TN. The right candidate will be an integral part of the Novitex team, supporting our continued growth. As Service Delivery Manager, you will be responsible for successful delivery of all aspects of the contracted site services and the on-site Novitex team. In order to achieve these objectives, you will be expected to maintain a high level of client contact; develop site personnel, and maintain a cost effective and profitable site operation.Responsibilities include but, are not limited to:  Site management, production reprographics, print services, budgets, MDF and postal equipment maintenance, employee moves, perform building walk-thrus for maintenance and ascetics, mail sorting, mail delivery, meter outgoing USPS mail, interaction with the USPS for opening and closing P.O. Boxes and approval of customer artwork, courier services, hazardous material handling,  and S&amp;R duties, as well maintain break rooms,   conference room set-up and reception coverage.We are looking for a Manager willing to do “whatever it takes” to get the job doneIdeal candidate has a “can do” attitude with excellent communication skillsSelect, develop, motivate, and maintain a properly staffed team, so that all work is processed in accordance with service level agreementsCompile, generate, and publish reports to ensure internal and external accuracy and productivityConduct performance appraisals, and coach employees for performance and developmentProvide clients with updated documentation for all processesDevelop plans for improving production, quality, and efficiency by reviewing work flows, procedures, systems and performanceConstantly monitor the workflow and utilize manpower in the most effective manner to ensure satisfaction of all service level commitments and maximization of cost effectivenessResponsible for scheduling vacations, floating holidays, overtime hours, and backup coverage for employeesResponsible for maintaining equipment and performing software updates Meet critical client expectations and deliver results in a timely mannerIdentify and create the potential for add-on business by developing a deep understanding of the clients business strategy and needsMaintain constant  contact with the client for system changes to ensure that the department runs efficientlyMaintain efficient and accurate updates of customer's operation to ensure customer and client satisfaction. These goals are met through example and guidanceEnsure employees are cross trained and able to perform a variety of roles whenever necessaryManage weekly status meetings with clientManage daily team huddlesConduct quarterly business reviews with client and make required updates to SOPsProfessional attire","Required Qualifications:High school diploma or equivalent (GED) requiredMinimum of 7 years managerial experience managing a staff of (exempt/non-exempt) in a client facing environmentStaff management experience including; establishing performance expectations and performance monitoring, corrective action documentation, as well as staff development  and coachingDepartmental budget management experienceStrong computer proficiency in email environments, MS Office, OutlookStrong financial acumen requiredExcellent oral and written communication skillsAbility to excel in a fast-paced, multi-tasking, team environmentAbility to coordinate available resources to provide effective operationAbility to perceive and analyze client, employee, and technical problems.  Must be able to develop alternatives and make recommendationsProven ability to maintain strong relationship with employees at all levels of the organization Must be flexible and have the ability to handle many projects at one timeMust be self-motivated and able to perform with minimal supervisionAbility to complete and write concise reports, procedures, and documentation that are error freeCreative thinkerOutstanding work ethicTarget-drivenEnthusiastic and passionateSignificant walkingSitting for long periods of timeMay be required to lift items weighing up to 55 poundsMay be required to stand for long periods of timeValid driver's license and clean driving record requiredAbility to meet attendance policyAvailability to work additional hours if neededSuccessful completion of a pre-employment drug test, employment history check, and criminal background check ",,0,1,0,Full-time,Associate,High School or equivalent,Management Consulting,Management,0
16109,Community Manager,"US, IL, Chicago",,,"Synapse Games is exploding onto the mobile gaming market after over five years experience making social games.  We are a fun but fast-paced team, working on several deeply strategic multiplayer games.  We celebrate our progress every Friday with board games and team lunches, using the time to both research new game mechanics and relax after a successful week.Our hit game Tyrant Unleashed has climbed through the ranks and consistently appears in the top 100 grossing games on Android.  Our second game, Global Assault, has just launched and earned the #1 New Games slot on the Google Play store.  We have a proven track record of hit strategy games, and we seek highly skilled developers to help us expand on our success to grow this gaming empire while remaining an efficient and creative team.  ","We are looking for a game savvy individual who has experience in customer service and the ability to help monitor our brand and engage our online community. The ideal candidate will enjoy working with customers and answering any questions or account issues they may have. Any errors that are reported with our games need to be investigated, reproduced, and reported to our developers. Your day to day responsibilities will include: Helping customers with questions and account issues Monitoring our forums and answering user questions Gathering and summarizing player feedback and suggestionsReproducing and creating bug reports from errors submitted by users Updating our social media accounts",Excellent communication skillsExperience moderating or managing online communitiesStrong desire to stay engaged with the community,,0,1,0,,,,,,0
9177,Manchester Apprentice Office Administrator Under NAS 16-18 Year Olds Only,"GB, MAN, Manchester",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position.You will be working for a legal company and the role will involve:-Filing and faxing-Answering the phone-Responding to emails-Data inputting-Arranging appointmentsIdeal candidates will be computer literate and punctual.If you are motivated and career minded please apply now.,16-18 years old due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,Legal Services,Administrative,0
16199,Passport and Visa Specialist,"US, CA, San Francisco",Operations,,"VisaHQ hires a diverse and internationally minded staff of professional and reliable employees who are dedicated to breaking through the many bureaucratic barriers imposed on international travelers. They know their way past these hurdles not only from professional training programs and effective management, but through a wealth of personal and shared experience. In short, the company and its dependents hold themselves to the highest standards of professionalism, dedication, and respect.","We are looking for a full-time Passport and Visa Specialist to join our growing team in San Francisco, CA. There is a good potential for growth with and within the company. Work directly with the Department of State, Embassies and Consulates. Receive, prepare, and submit applications for travel visas to various countries. Provide wide range of support to our clients that include answering telephone calls, emailing, responding to inquires, and using a variety of online applications. The person in this position must be highly organized, detailed oriented, and punctual.","At least an Associate's DegreeExperience in the field of travel preparation is highly desiredExcellent verbal and written communication skillsKnowledge of foreign languages is preferred (Russian, Spanish, Chinese, French)","Competitive salaryMedical and dental insuranceVacation, sick daysPaid holidaysAbout VisaHQVisaHQ is undisputed leader of the passport and visa services industry in North America. We are privately owned, nimble, and technology savvy. We pioneered the shift to online visa application processing, and we have a sustainable competitive edge that is widening every day. We have 8 offices across the United States and Canada, as well as a number of international offices. We have been ranked as an Inc 5,000 fastest growing company three times in a row, including a top-20 ranking in the travel industry and a number 1 position in the D.C. metro area.We are on the move... Join us!",0,1,0,Full-time,Entry level,Associate Degree,"Leisure, Travel & Tourism",Administrative,0
4617,Associate Business Development,"NL, , Work from home",,,,"Want to build a career in IT? Free training in exchange for your time on revenue share basisWe are an IT startup providing Global IT services to well known organizations and are very busy due to overwhelming response. We are looking to expand and want to create a network of associates who can focus on innovative products, business development and client management areas. In exchange we will provide you assistance and training to improve your career prospects, experience working with us and a launch pad to help you succeed in your career long term. Some of the potential areas where we can help you are1. Learning a new programming language2. Learning new open source platforms e.g. Wordpress, Joomla3. Exposure to building ecommerce solutions, SEO etc.4. Interacting with senior decision makers in IT5. IT sales and marketingWe are searching for peoples based in Finland, Norway, Sweden, France, Germany, Luxemburg, Switzerland, Netherlands and Belgium.So, if you are living there and you are able to communicate also in English, please send your CVLooking forward hearing from you.",,,0,0,0,Full-time,Entry level,,Information Technology and Services,Business Development,0
8438,IT Project Manager,"US, OK, Oklahoma City",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","This is an amazing job opportunity with one of the fastest growing companies in the Energy Industry! Opportunities for advancement are extensive as the company is currently in the process of doubling in size. Strong compensation and benefits packages are available for qualified candidates who want to join the largest player in the Bakken Shale and leader in the Oklahoma Shale plays. Contact us today for an opportunity to join one of the Industrys leaders in the mission to achieve American energy independence!Essential Job FunctionsPlan and execute projects related to applications, IT and facilities.  Possess thorough knowledge of project management lifecycle (i.e., PMP, Agile) methodologies.  Coordinate all phases of projects end-to-end from initial scoping to project implementation and closure.  Be able to communicate with and provide updates to senior management, as well as coordinate the training of users and transition to post go-live support.  Produce accurate progress reports relating to project progress in addition to measuring the success of business outcomes following implementation. Perform full life-cycle project management for all size projects, (small, medium, large), responsible for all aspects of the project, including schedule, budget, and resource management.Coordinate across all areas of the business: IT management, IT staff, Business management, and Business users.Perform vendor and business coordination, issue management, and risk / issues management to resolution.Identify and negotiate schedules, milestones, and resources required to meet project objectives, and modify schedules as required.Provide appropriate and timely written and oral communications with all affected team members, department managers, vendors and IT management throughout the life of the project; must excel at tracking tasks / issues and staying on top of them daily.Evaluate and report progress in terms of quality and performance metrics.Guide team members in understanding their roles and responsibilities on the project and work collaboratively.Meet moderation and mediation skills with the ability to lead a group through a planning and decision process.Excellent organizational skills, meeting facilitation, self-administration, attention to detail, multi-tasking, prioritization, critical thinking, analysis and decision-making skills.Excellent verbal and written communications.Excellent interpersonal and leadership qualities (ability to foster a cooperative work environment).Adhere to established project methodologies, standards, tools, processes, policies, and procedures; ensure project management methods are used during initiation, phase-gating, and closure.Provide continuous improvement feedback from lessons learned activities to improve project methodologies.Functions independently with limited supervision.Matrix organization leadership responsibilities.Responsible for providing input into project resources goals &amp; objectives, coaching / training, and performance. Perform other duties as required.","Education:Bachelors degree from an accredited university required; MBA and PMP preferred.Knowledge and Skills: Thorough knowledge of project management life cycle methodologies and support tools.Thorough knowledge of project management concepts, practices, and techniques.Excellent oral and written communication skills.Proven ability to optimize and implement efficient processes.Able to manage projects from inception to successful implementation.Able to manage projects across a variety of business and IT functions.Customer Service oriented and experience in business-related interactions.Results oriented and can demonstrate a “can-do” attitude, adaptability, flexibility and resourcefulness.Good understanding of the Software Development Life Cycle (SDLC).Expertise in Excel, Word, MS Project, PowerPoint.Experience:5+ years Project Management experience.Experience with enterprise and network technology projects a plus.3 years in a leadership position or equivalent combination of education and work experience. Preference given to those with Oil &amp; Gas Industry experience.* Military experience is highly valued by the employer; candidates with military experience can expect some flexibility in terms of requirements, so long as fundamental skills and competencies are present.",Strong compensation packages available commensurate with experience.,0,1,1,Full-time,,,Oil & Energy,,0
2500,General Manager - San Diego,"US, CA, San Diego",Operations,,"Shyp is the easiest way to send anything, anywhere.Here at Shyp, were building the #1 brand consumers and small businesses trust for shipping their packages, and in the process fundamentally disrupting a 220 year old #URL_5e3cdedac8b912c62b6523c7af01360e03d0cb98631134035b2ddbaecdee14dd#re building a customer-centric organization that encourages customer service to permeate the decisions and attitudes of the entire company. Our culture is collaborative, focused and fast-paced. We have an incredible team and are well funded by some amazing investors. Come join us.","Shyp is looking for the best of the best to lead each city. General Managers run their city, as such, we rely on our GMs to make key decisions on the ground, foster Shyp's culture, and take direct ownership of growth and success. Our GMs are a blend of product manager, marketing guru, and logistics and supply chain expert with a past history of success as an entrepreneur and leader. General manager's lead the local ops team and are responsible for local strategy, onboarding the city team, building out facilities, maintaining the utmost quality of service, on the ground customer support and local PR/marketing.","5+ years experience with building, managing, and inspiring high performance teamsStrong sales and marketing experience, with the network and ability to lock down partnershipsExperience with developing products/running operationsEntrepreneurial experience, whether in a startup, small business or side projectDemonstrate strong analytical thinking and abstract problem solvingNot a quitter  able to work until the jobs doneManagement consulting, Invetment banking, General management, or MBA a plusExamplesConsulting or investment banking background with data driven decision instincts and the ability to leadMarket lead for multi-city business with direct responsibility for multiple functions Start-up manager that's built, led &amp; managed a 100+ person team",Opportunity to play a huge role in a unicorn startupWork with an incredible team that never settles in their pursuit of excellenceGreat perks you'll want to tell your friends aboutFull-time salary negotiable based on experience and equity compensation,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Logistics and Supply Chain,Management,0
7526,Web Visual Designer,,,,"Come be a part of one of the fastest growing, well-funded and exciting startups in Silicon Valley.  Peel makes the worlds leading smart remote app which turns your mobile device into a universal remote control. Our technology is preloaded on many of the worlds top-selling Android devices.  Peels audience is at 60 million and doubling every six months with 3 billion+ remote commands handled every month.   Help us revolutionize the TV viewing experience and achieve our goal of becoming the universal controller for the internet of things.Peel is driven by design and a passion for developing brilliant user experiences. We simplify complex problems, then surprise and delight. Prior to starting at Peel, members of the team helped create some of the best products available today, including iTunes, iPhoto, Netflix, Roku, Avid, and Final Cut Pro. We're a fun company that works hard, but understands the value of well balanced professional and personal lives. Away from work, we raise wonderful young families, race cars, sail boats, play instruments, and go on adventures in outer space… Well, maybe we all just dream about that last one… On the job, we come in charged and focussed on changing the industry, forever. We want to work with like-minded creative and talented people. If you want to change the world and the face of entertainment, we want to hear from you!","Improve our products to be useful, usable and desirable to millions of TV viewers worldwide.Take conceptual ideas and turn them into beautiful product for our usersDesign flows and experiences that are incredibly simple and elegantDevelop the design rationale and explain visual choices to cross-functional teams; express concepts verbally and visually.Create and iterate on assets that reflect the Peel brand and inject beauty and life into a product.Co-work with marketing team for efficient marketing strategy and marketing material design","Deep understanding of typography, colors, materials, textures, and finishes with an exceptional eye for detailAbility to use visual design to organize information and guide people through the tasks.Willingness to learn and take on new challengesMust have a portfolio featuring examples of product design workDemonstrable mastery of Photoshop, illustrator, Assister, CODA, Adobe Edge, and other design softwareExcellent verbal and written communication skillsIdeally fluent in CSS and HTMLBA/BS in Design or related field",,0,1,1,Full-time,,,,,0
6739,General Manager Fabrication Aerospace   2131,"US, UT, Ogden",,,,"2131Job Title: General Manager Fabrication AerospaceRole: ManagementRelocation Available: YesIndustry: AerospaceLocation: UtahTown / City: OgdenJob Type: Permanent full-timeJob description:Company has an exciting and challenging career opportunity available in its Aerospace Fabrications business.  They are seeking an individual who excels in operations, has a proven track record of delivering results, maximizing profits, and who is a strategic thinker.   The successful candidate should be passionate about driving lean, quality and productivity improvements within multi-site operations and have a proven track record of accomplishments.   He or she should understand the business implications of decisions and display an orientation toward profitability. Required SkillsProvide leadership and direction to the fabrication business to support the business in maintaining and improving it's competitive position and achieve short and long-term growth and profit goals.   Develop and execute plans to improve and maximize profits, optimize yield on invested capital for increased growth and profitability.Key leadership team member in the development and execution of the company strategy including the fabrication business.  Develops and implements short and long-range plans for strategic growth.Drives operational excellence and effectiveness efforts forward ensuring manufacturing capabilities, processes, and practices are properly aligned to serve its' customer base.Assess each site's systems, equipment and management team, seeking opportunities for improvement.  Rationalize and maximize the business' manufacturing capacity and performance through data driven approaches employing lean and continuous improvement methodologies.   Facilitate collaboration between the facilities throughout this process.Develop and maintain an effective organization through the selection, training and motivation of all personnel.  Develop management and supervisory talents necessary to obtain short and long-term goals through effective direction, coaching and training according to overall succession and manpower plan.Advise the company president on strategic business development and key corporate planning issues that relate and impact the operations of the sites in which this position oversees.  Lead managers to evaluate and take actions that are consistent with the company's overall strategy.The Aerospace Fabrication Manager sets performance goals tailored to fabrication business and to the site level.  Monitor division performance against performance goals to ensure progress is being made and corrective action, if necessary, is taken.Required ExperienceMinimum of ten to fifteen years of operations, manufacturing/engineering experience, preferably in the aerospace or similar industry.  At least eight years of experience of effectively leading and managing people. A proven track record of implementing and successfully executing on employee relations strategies and building a positive work environment.Demonstrated leadership effectiveness (EI).  Must be an effective change leader; balances consistency with flexibility and willing to change ideas in the face of new information.Strong business acumen and strategic thinking with demonstrated accomplishments. Proven performance of setting and exceeding customer service.Champion of safety.Impeccable integrity; Superior interpersonal skills; able to communicate and engage personnel at all levels of the organization.  Emotionally intelligent change agent who challenges the status quo and acts with a sense of urgency to drive results.Lean manufacturing, continuous improvement and/or Six Sigma training preferred. Prior new aerospace fabrication product introduction experience a plus.Education Requirements: Bachelor's degree required in engineering or related discipline.  MBA or advanced degree preferred.Bottom Line Requirements:1.  Bachelor's degree required in engineering or related discipline.  MBA or advanced degree preferred.2.  10 to 15 years of operations manufacturing engineering experience in aerospace or similar industry involving fabrication. 3.  8+ years of experience of effectively leading and managing people.4.  Lean manufacturing, continuous improvement and/or Six Sigma training preferred. 5.  Prior new product introduction experience a plus",,,0,0,0,Full-time,,,,,1
16331,Data Quality Analyst ,"GB, LND, London",,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Big Data EngineeringQubit is a disruptive technology start-up helping more than 100 enterprise clients deliver real-time retailing through bleeding-edge personalisations. We were founded in 2010 by 4 ex-Googlers who worked on the Google Analytics product; we're now more than 100 people in London, New York and Paris. Forbes wrote in June that our technology stack represents the future and WIRED magazine recently named us one of Europe's hottest start-ups.Qubits technology, built from scratch to capture first-person visitor data, is a game-changer in e-commerce. We track user behaviour and build powerful applications for our clients to deliver the most futuristic and responsive personalisations in the market. Qubit is looking for a Data Quality Analyst to join our UK client team. Youll be responsible for ensuring the high quality and integrity of our data, working closely with our Quality Assurance Manager.Youll be joining a team packed with talent who are more than happy to help with your development. Qubit is experiencing a very exciting period of growth, and this is a chance to join the team early. Currently in Soho, Qubit is expanding rapidly so expects to move within central London in 2015.What youll be doing:Working closely with the entire client team to assess and ensure data integrity and qualityValidating data in Qubit's visitor cloud against clients' data and other analyticsAssisting the Quality Manager with investigating and resolving data problemsDevising ways to automate processesWith training if needed, writing regular expressions (regexes) to categorise large volumes of dataDiversifying into new areas related to quality assurance as you develop and Qubit's products grow.",What you'll need:Technical skills required:MS ExcelSQL experience would be preferredData interrogation/mining experiencePersonal skills required:Strong numeracy and numerical skills - youll be working closely with data so will need a good grasp of analysisProven attention to detailYoure a natural problem solver with the ability to think originally and creativelyCommunication - youll be working between technical and non-technical stakeholders and will need to interact effectively with employees at all levels,"As well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Entry level,Bachelor's Degree,Internet,Data Analyst,0
318,Account Manager,"GB, WSM, London",Client Services,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Qubit: Cutting Edge Big Data EngineeringQubit are looking for an Account Manager to join our growing client project team. Youll get to build relationships with major clients like John Lewis, Asda and Topshop, helping their digital teams make sense of the Big Data era through testing, optimisation and personalisation solutions.The role is hugely important for us and one that combines project management and data analytics.This is an excellent and exciting opportunity for a new graduate looking for a junior consultant, strategist or account manager role. Youll be joining a team packed with talent who are more than happy to help with your development.What youll be doingSupporting our strategists around account delivery and working directly with our clients to ensure they are getting the best out of our productsManage the test build process through the various stages using Asana - the core of our delivery process which enables our clients to truly understand their customer purchasing habitsCreating beautiful presentations for our clients, with exceptional written copy to keep them engaged and updatedPull together test result documents and ROI calculations in order to add analytical depth to our strategists solutionsMaintain updated client one pagers to ensure they are updated on projects at all timesSupport the client pods with general admin (e.g. meeting notes, agendas, etc)","What you'll needExperienceMinimum of a BA/BS or higher from top universityProven track record of meeting and exceeding goalsBe a highly proactive individual, with a good ability in creative problem solving and a good level of intelligenceConfident verbal presentation skillsInterpersonal skills, with ability to work with both technical and business staffBonus PointsAnalytical skills with Excel or Google AnalyticsRelevant internship experience in management consulting, IT consulting, finance, marketing or media rolesComfortable with technical concepts and adept at learning new technology applications","Plenty of perksAs well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Entry level,Bachelor's Degree,Internet,Consulting,0
1499,"Producer, Somali Language","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The Producer shall have at least three years of current experience. The Producer shall conceptualize and design complex programs for radio, television and/or the internet, as required, with an innovative and creative approach. Programs are of various formats, such as live and pre-recorded programs, documentaries, specials on breaking news stories, and remote live feeds. The Producer shall determine how these programs and segments of the programs work across platforms and shall ensure that audio and video content migrate to the appropriate web sites and social media sites. The Producer shall perform relevant duties, including writing copy, editing copy, editing audio segments, booking guests and performing interviews when called upon to do so. The individual shall have extensive knowledge of the target region, its people, culture, institutions and political situation, and have the ability to adapt English material to the target language and translate the target language to English. The Producer shall keep up to date on broadcast and technical trends and shall incorporate appropriate production methods into programs. The Producer shall become familiar with the Agencys Best Practices Guide and shall adhere to its policies.",The Producer shall properly complete all daily tasks. These daily tasks include but are not limited to: properly creating line-ups and logs (fails not more than once per week); executing a program line-up (fails not more than twice per week); correcting production errors in news stories or features (fails not more than twice per week). Knowledge of Somali language required. ,,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Broadcast Media,,0
12186,Performance Improvement Director,"US, , ",,,,Oversees implementation and operation of Performance Improvement program throughout the consortium's primary care and Clinic network.Client providers are located in several states.  Patients are primarily ambulatory.Primary care or health clinic experience at a federally qualified heath center (FQHC) preferred.  Rural health center experience a plus.BSN required.Salary ranges $85K to upper $90Ks.,Quality-oriented consulting company with a focus on ACO patient-centered care.  Company serves client base of rural ambulatory clinics and primary care groups nationwide.,Full-time position.Quality benefits package offered.,0,0,0,Full-time,Director,Bachelor's Degree,Hospital & Health Care,Health Care Provider,0
17409,Manufacturing Engineer,"US, CA, San Francisco",Supply & Chain,,"Come on in.Welcome to August Smart Lock.The August Smart Lock is the secure, simple, and social way to manage your homes lock. Now you can control who can enter and who cant—without the need for keys or codes. And you can do it all from your smartphone or computer.August builds products that make life simpler by allowing physical environments to respond seamlessly to peoples behavior. We believe in building quality experiences for our customers through elegance and simplicity. We have a lot planned for the future, and are looking to build a team eager to join the ride. Who we are looking forAugust values multi-skilled engineers who are just as passionate about crafting secure, yet beautiful experiences for our customers as we are. We expect our software developers to be comfortable working in multiple programming languages and to be enthusiastic about learning new things. We do have high standards. Our product aesthetic extends to our code. We expect our hardware engineers to be obsessive about things like power consumption and reliability. If you look at everyday objects and believe they can be made more intelligently, we are looking for you.Things we are buildingA peerless security infrastructureiOS, Android and other mobile applicationsA compelling and intuitive web dashboardSensor-laden hardware devicesRobust customer intelligence toolsAn ecommerce system","OverviewAugust is seeking a Manufacturing Engineer who will monitor the quality and efficiency performance for suppliers and contract manufacturer. Drive continuous improvement through process development, root cause analysis and systematic problem solving. The Manufacturing Engineer will work directly with factories to produce fixtures and molds to support improving manufacturing, assembly, testing and QA processes.Please note - local San Francisco Bay Area candidates ONLY.  No relocation.  Principals only.ResponsibilitiesDesign and debug manufacturing processes and procedures.Continuous improvement of manufacturing methods.Work hand in hand with production technicians to enhance manufacturing processes and troubleshoot issues.Provide technical guidance to manufacturing personnel.Serve as the lead in determining the root cause of persistent problems.Provide analysis and assist with capital equipment justifications.Assist in the maintenance of material specifications, product drawings, and routing sheets.Assume other special activities and responsibilities from time to time as required.Work with new product team to influence design for ease of manufacturing.Continually strive to balance and optimize line throughput, including efforts to minimize any downtime.Work with vendors to drive improvements in efficiencies, process yields and cost reductions.Manage a variable workload to meet/exceed key Performance Indicators (KPIs), such as yield, on time delivery, throughput, process capability, OEE and productivity.Drive process and product improvement activities to promote product quality, efficiency and manufacturability using statistical techniques, lean manufacturing tools, Six Sigma, tool/fixture design, design experimentation for process and equipment. ","Bachelors Degree in Mechanical, Metallurgical, or Manufacturing EngineeringTen years Manufacturing Engineering experienceFive years experience in consumer electronics preferredMust have working knowledge of manufacturing processes and principlesExperience in product and process failure analysisKnowledge and understanding of world class manufacturing techniques such as lean manufacturing, JIT, SPC, TQM, etc.Demonstrated record of achievement in manufacturing and problem solving with production teamsExperience in high volume product manufacturingExperience with working with Global Supply Chain manufacturing ",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Consumer Electronics,Supply Chain,0
16710,Sales Representative,"PL, WP, Poznań",,8000-9000,,"Who are you?#URL_1af844d4888a6b55bd9eb932672bace105b0b6df7c4f08927d1bb194ef16eefa# looking for a salesman to join our team who will serve customers by selling products; meeting customer needs.Who are We and What do we do?We create apps. And were good at it. AppUnite is a software development agency that specializes in creating highly-usable mobile and web applications using iOS, Android &amp;RoR","Sales Representative Job Duties:Services existing accounts, obtains orders, and establishes new accounts by planning and organizing daily work schedule to call on existing or potential sales outlets and other trade factors.Adjusts content of sales presentations by studying the type of sales outlet or trade factor.Focuses sales efforts by studying existing and potential volume of dealers.Submits orders by referring to price lists and product literature.Keeps management informed by submitting activity and results reports, such as daily call reports, weekly work plans, and monthly and annual territory analyses.Monitors competition by gathering current marketplace information on pricing, products, new products, delivery schedules, merchandising techniques, etc.Recommends changes in products, service, and policy by evaluating results and competitive developments.Resolves customer complaints by investigating problems; developing solutions; preparing reports; making recommendations to management.Maintains professional and technical knowledge by attending educational workshops; reviewing professional publications; establishing personal networks; participating in professional societies.Provides historical records by maintaining records on area and customer sales.Contributes to team effort by accomplishing related results as needed.Skills/Qualifications: Customer Service, Meeting Sales Goals, Closing Skills, Territory Management, Prospecting Skills, Negotiation, Self-Confidence, Product Knowledge, Presentation Skills, Client Relationships, Motivation for Sales.",Competitive salary based on the effectsWorking in fast rapidly changing environment,1,0,0,Full-time,Associate,Master's Degree,Computer Software,Information Technology,0
10066,Driver - Light Duty (Santa Fe Springs),"US, CA, Santa Fe Springs",Operations,,"Our HistoryFounded in 1929 by Earl Bertrand Bradley, the company began selling products for Knape and Vogt Co. of Grand Rapids, Michigan.With the opening of the Los Angeles branch in 1929, the company became a wholesale distributor specializing in store fixture and specialty hardware such as drawer slides, hinges, brackets and standards. In 1943 branch offices were opened in San Francisco followed by Seattle in 1956.The companys market position and business began to grow during the late 1950s and 1960s after adding Wilsonarts high-pressure laminate line to its product mix.During the 1970s under the leadership of E.B. Bradleys son Robert E. Bradley, Sr., two new branches were opened; San Diego in 1972 and Portland in 1976. Significant product additions were the Blum line of European hinges and drawer slides and Accuride precision ball bearing drawer slides. A greater emphasis as a supplier to the Cabinet and Furniture industries was taking hold.Since the opening of the Anaheim branch in 1995, the company has been consistently growing.In 1998 the company entered the cold press lamination business by opening 3 locations of its West Coast Laminating subsidiary in the Los Angeles, San Francisco and Pacific Northwest marketplaces.Our OwnershipUp until January 4, 2009, the company operated as a 100% family owned business.On January 5, 2009, Industrial Opportunity Partners (“IOP”), a private equity firm based in Evanston, IL. partnered with Robert Bradley, Jr. in aquiring the stock of E.B. Bradley Co. and its subsidiaries from its current shareholders.","Position available is located in our Santa Fe Springs, CA location.Responsible for daily delivery of company products to customer locations in a safe and timely manner ensuring the reputation of being the First Choice in Quality and Service.","Essential Job FunctionsSelect, sort and verify orders for delivery;Plan daily delivery route and strategy for the day;Make changes to the delivery route as per customer needs and requests;Pre-inspect orders for damage and quality before delivery;Load and/or assist with loading the vehicle for deliveries;Ensure that the load is in compliance with weight and other load limits;Conduct a daily walk around safety inspection of the delivery vehicle;Make on-time deliveries to customer locations as per pre-determined route schedule:Unload customer orders at customer location;Provide excellent, professional and courteous services to customers during each stop;Complete and maintain paperwork as required by company policy, procedures and directions provided by supervisor;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Follow all Department of Motor Vehicle policies and procedures at all times;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Responsible to ensure that the delivery vehicle is clean, safe, maintained and in good operating condition;Operate a forklift;Perform housekeeping duties within your work area maintaining a clean and safe working environment. Non-Essential Job FunctionsShipping;Receiving;Counter Sales;Must assist others and perform additional tasks as directed by the Operations Manager. Skills/QualificationsAbility to operate a small to medium size truck;Must have and maintain a clean driving record in compliance with company set standards;A general knowledge of the surrounding delivery areas;Prioritization, Organization, and Execution — ability to multi-task in a stressful, fast paced working environment;Attention to Detail,;Must have the ability to prioritize and organize;Good customer service skills. QualificationsTo perform this job successfully, an individual must be able to perform each essential job function satisfactorily. The requirements listed in this job description are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with qualified disabilities to perform the essential functions of the job. RequirementsHigh School Diploma or GED;A current valid Class C Driver's License;Basic computer skills;Ability to be certified as a forklift operator;A minimum of five years of general driving experience;1-3 years of route delivery experience. Physical DemandsRequires the ability to walk, stand, carry materials, stoop, kneel, bend at the waist, reach overhead and climb ladders and/or on and off of equipment:May require sitting and/or standing for long periods of time;Will be required to lift up to 50 lbs on a regular basis; Working EnvironmentAll work is performed within a manufacturing and warehouse environment;Exposure to moving mechanical parts, machinery, fumes, airborne particles, dirt, dust and chemical fumes;The noise level in the work environment is usually moderate to loud and may require hearing protection.Safety shoes, back support and eye protection are also required.Temperature environment range is approximately 30°F to 110°F. SummaryThis position description identifies the key responsibilities, expectations of performance, qualifications and work environment as outlined in the contents.  It is neither intended nor possible to list all tasks and variables the employee may be required to perform or conditions the employee may be subject to.  Employees are expected to follow any and all job related duties and responsibilities, as may be reasonable assigned by management.As an Equal Opportunity Employer, E.B. Bradley, Co. is committed to a diverse workforce.",,0,1,1,,,,,Other,0
14672,DevOps Engg.,"US, CA, Sunnyvale",,,,"As an engineer focused on Platform9 infrastructure, you'll be critical to our development and release velocity. You'll have a wide range of responsibilities across build automation, continuous integration, release engineering, infrastructure monitoring and lifecycle management.","Must be proficient at scripting for build and release automation using make or ant; perl or python, and bash/shell scripting.Must have prior experience with build automation and supporting continuous integration.Prior experience with release automation and infrastructure monitoring is highly desired.Must have a positive attitude, and an ability to work with multiple competing demands on your time.Must be passionate about identifying ways to improving development, test and release activities.Prior experience in a DevOps role with Amazon EC2; and using tools such as vagrant, packer and docker are a big plus.",,0,1,0,Full-time,Mid-Senior level,,,,0
10112,Driver - Heavy Duty (North Hollywood),"US, CA, North Hollywood",Operations,,"Our HistoryFounded in 1929 by Earl Bertrand Bradley, the company began selling products for Knape and Vogt Co. of Grand Rapids, Michigan.With the opening of the Los Angeles branch in 1929, the company became a wholesale distributor specializing in store fixture and specialty hardware such as drawer slides, hinges, brackets and standards. In 1943 branch offices were opened in San Francisco followed by Seattle in 1956.The companys market position and business began to grow during the late 1950s and 1960s after adding Wilsonarts high-pressure laminate line to its product mix.During the 1970s under the leadership of E.B. Bradleys son Robert E. Bradley, Sr., two new branches were opened; San Diego in 1972 and Portland in 1976. Significant product additions were the Blum line of European hinges and drawer slides and Accuride precision ball bearing drawer slides. A greater emphasis as a supplier to the Cabinet and Furniture industries was taking hold.Since the opening of the Anaheim branch in 1995, the company has been consistently growing.In 1998 the company entered the cold press lamination business by opening 3 locations of its West Coast Laminating subsidiary in the Los Angeles, San Francisco and Pacific Northwest marketplaces.Our OwnershipUp until January 4, 2009, the company operated as a 100% family owned business.On January 5, 2009, Industrial Opportunity Partners (“IOP”), a private equity firm based in Evanston, IL. partnered with Robert Bradley, Jr. in aquiring the stock of E.B. Bradley Co. and its subsidiaries from its current shareholders.","Position available is located in our North Hollywood, CA location.Responsible for daily delivery of company products to customer locations in a safe and timely manner ensuring the reputation of being the First Choice in Quality and Service.","Essential Job FunctionsSelect, sort and verify orders for delivery;Plan daily delivery route and strategy for the day;Make changes to the delivery route as per customer needs and requests;Pre-inspect orders for damage and quality before delivery;Load and/or assist with loading the vehicle for deliveries;Ensure that the load is in compliance with weight and other load limits;Conduct a daily walk around safety inspection of the delivery vehicle;Make on-time deliveries to customer locations as per pre-determined route schedule:Unload customer orders at customer location;Provide excellent, professional and courteous services to customers during each stop;Complete and maintain paperwork as required by company policy, procedures and directions provided by supervisor;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Follow all Department of Motor Vehicle policies and procedures at all times;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Responsible to ensure that the delivery vehicle is clean, safe, maintained and in good operating condition;Operate a forklift;Perform housekeeping duties within your work area maintaining a clean and safe working environment. Non-Essential Job FunctionsShipping;Receiving;Counter Sales;Must assist others and perform additional tasks as directed by the Operations Manager. Skills/QualificationsAbility to operate a small to medium size truck;Must have and maintain a clean driving record in compliance with company set standards;A general knowledge of the surrounding delivery areas;Prioritization, Organization, and Execution — ability to multi-task in a stressful, fast paced working environment;Attention to Detail,;Must have the ability to prioritize and organize;Good customer service skills. QualificationsTo perform this job successfully, an individual must be able to perform each essential job function satisfactorily. The requirements listed in this job description are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with qualified disabilities to perform the essential functions of the job. RequirementsHigh School Diploma or GED;A current valid Class A Driver's License;A current DOT Medical Certification;Basic computer skills;Ability to be certified as a forklift operator;A minimum of five years of general driving experience;1-3 years of route delivery experience. Physical DemandsRequires the ability to walk, stand, carry materials, stoop, kneel, bend at the waist, reach overhead and climb ladders and/or on and off of equipment:May require sitting and/or standing for long periods of time;Will be required to lift up to 50 lbs on a regular basis; Working EnvironmentAll work is performed within a manufacturing and warehouse environment;Exposure to moving mechanical parts, machinery, fumes, airborne particles, dirt, dust and chemical fumes;The noise level in the work environment is usually moderate to loud and may require hearing protection.Safety shoes, back support and eye protection are also required.Temperature environment range is approximately 30°F to 110°F. SummaryThis position description identifies the key responsibilities, expectations of performance, qualifications and work environment as outlined in the contents.  It is neither intended nor possible to list all tasks and variables the employee may be required to perform or conditions the employee may be subject to.  Employees are expected to follow any and all job related duties and responsibilities, as may be reasonable assigned by management.As an Equal Opportunity Employer, E.B. Bradley, Co. is committed to a diverse workforce.",,0,1,1,,,,,Other,0
14032,Staffing Support Professional,"CA, ON, Mississauga",,,,"Armor People Link is currently seeking a Staffing Support professional. This position requires the qualified individual to have a great sense of customer service, working with our clients, and our associates.Principle Functions:- Answer phones, and direct to appropriate individuals- Update candidate files as they call in- Manage flow of drop in candidate registration; ensure all candidates are completing all required documentation and testing- Ensure all documentation is scanned and attached to associates files- Update forms, and make sure you have enough stock on hand- Understand candidate profiles and requirements through communication amongst the team to ensure proper placement- Perform interviews to understand candidates skills, and qualifications- Complete reference checking and criminal background checks, based on each client orders unique needs.- Maintain StaffTrak at 100% accuracy at all times to ensure superior communication- Document all communications with clients and associates in Quick notes to facilitate team communication- Participate in special projects as assignedSkills:- Must have good solid computer skills, Word, basic excel- Good phone and customer service manner, must be positive, patience, yet work in a fast paced environment, making sure to remain focused!- Solid organizational skillsRequirements:- Minimum secondary education, but post secondary education preferred; ideally in business or human resources- Must be flexible with their work schedule as required to assist with job orders past normal working hours as required- Ability to work Monday to Wednesday from 9am  5pm, Thursdays from 9:30am  6pm and Fridays from 9am  4:30pm- Must be flexible to assist on the odd Saturday as required; prior notice will be given.Core CompetenciesCustomer Service  provide utmost, professional assistance to all clients and candidates in meeting their requirementsTeamwork  work cooperatively with others throughout the organization to achieve organizational goals; contribute to the professional environment by encouraging sharing of responsibilities and tasksCommunication  effective verbal and written communication including the documentation of notes in StaffTrak is vital to the success of the team as a group; active listening and understanding are also important components; integrity is core to the businessTechnical/Professional Knowledge  good understanding of office environments and business terms and equipment is an asset; previous experience with recruitment, customer service and/or sales is beneficial; good basic understanding of numbers is an asset.Education  post secondary education an assetAdaptability  retain stability of performance and energy levels under pressure, uncertainty and changeProblem Solving  identify and analyze situations and information, discuss with available resources (i.e. other Talent Specialists, Director); make decisions and implement solutionsInitiative and Creativity  take initiative and accountability to improve work environment and methods; work with enthusiasm and creativity to attract new applicants and clientsPay rate: $12.50 per hourStart Date: August 6th 2013  December 2013 (with possibility to go longer)","Administrative skills, reception experience, recruitment experience, payroll experience, good math skills",,0,0,0,,,,,,0
3031,mobile apps for Android/iOS developer,"VN, 64, ",,,,#NAME?,"-  BA/BS degree or equivalent practical experience.-  Good English speaking and writing.- Good skills of OOP and Design Patterns.- Dynamic, flexible, active in learning new techs and enthusiastic about work.- Have knowledge about Android SDK, API and ADT programming tools.- Have good knowledge about XCode, Objective C, Cocoa and iOS SDK.- Problem solving and researching skills.- Ability to work independently or as a team.- Solid understanding of UI, user experience and device interaction.- Proven ability to build complex mobile applications.- Minimum 2-3 years experience with  Android/iOS application development.- Have at least one application published on Apple App Store and  Android's MarketPlace.","•  Salary: negotiate based on candidate's capabilities•  Working time: 9:00AM ~ 18:00PM, 5 days a week (Monday to Friday)•  Social Assurance base on Vietnam Labor Law.•  Annual company summer holiday trips•  Be trained to improve your skills in your expertise areas•  Join a young and dynamic team in a friendly and professional working environment",0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Engineering,0
10018,Shipper (North Hollywood),"US, CA, North Hollywood",Operations,,"Our HistoryFounded in 1929 by Earl Bertrand Bradley, the company began selling products for Knape and Vogt Co. of Grand Rapids, Michigan.With the opening of the Los Angeles branch in 1929, the company became a wholesale distributor specializing in store fixture and specialty hardware such as drawer slides, hinges, brackets and standards. In 1943 branch offices were opened in San Francisco followed by Seattle in 1956.The companys market position and business began to grow during the late 1950s and 1960s after adding Wilsonarts high-pressure laminate line to its product mix.During the 1970s under the leadership of E.B. Bradleys son Robert E. Bradley, Sr., two new branches were opened; San Diego in 1972 and Portland in 1976. Significant product additions were the Blum line of European hinges and drawer slides and Accuride precision ball bearing drawer slides. A greater emphasis as a supplier to the Cabinet and Furniture industries was taking hold.Since the opening of the Anaheim branch in 1995, the company has been consistently growing.In 1998 the company entered the cold press lamination business by opening 3 locations of its West Coast Laminating subsidiary in the Los Angeles, San Francisco and Pacific Northwest marketplaces.Our OwnershipUp until January 4, 2009, the company operated as a 100% family owned business.On January 5, 2009, Industrial Opportunity Partners (“IOP”), a private equity firm based in Evanston, IL. partnered with Robert Bradley, Jr. in aquiring the stock of E.B. Bradley Co. and its subsidiaries from its current shareholders.","Position available is located in our North Hollywood, CA location.Responsible for shipping responsibilities within the warehouse and distribution environment.","Essential Job FunctionsPicking;Packing;Stage orders for delivery;Shipping;Process UPS shipments;Cycle Counting;Operate forklift and pallet jack;Load trucks;Roll laminate;Perform housekeeping duties within your work area maintaining a clean and safe working environment. Non-Essential Job FunctionsBack-up Will Call and Laminate Departments as needed;Must assist others and perform additional tasks as directed by the Operations Manager. Skills/QualificationsBe familiar with basic warehouse and distribution processes;Knowledge of UPS shipping systems and Rate Postage machine, calculator;Must have the ability to safety operate a forklift and other related equipment;Be familiar with warehouse equipment and machinery;Knowledge of shipping, receiving, cycle counting and inventory management;Prioritization, Organization, and Execution — ability to multi-task in a stressful, fast paced working environment;Attention to Detail;Must have the ability to interpret and follow through on directions given by the OperationsManager. QualificationsTo perform this job successfully, an individual must be able to perform each essential job function satisfactorily. The requirements listed in this job description are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with qualified disabilities to perform the essential functions of the job. RequirementsBasic computer skills;Ability to be certified as a forklift operator;1-3 years of shipping and or general warehouse experience. Physical DemandsRequires the ability to walk, stand, carry materials, stoop, kneel, bend at the waist, reach overhead and climb stairs:May require sitting and/or standing for long periods of time;Will be required to lift up to 50 lbs occasionally; Working EnvironmentWork is performed within a diverse environment;Some work may be performed within a general office environment;Some work may be performed within a manufacturing and warehouse environment;Work will also include extensive operation of an automobile;Exposure to moving mechanical parts, machinery, fumes, airborne particles, dirt, dust and chemical fumes;The noise level in the work environment is usually moderate to loud and may require hearing protection.Safety shoes and eye protection may be required at times.Temperature environment range is approximately 30°F to 110°F. SummaryThis position description identifies the key responsibilities, expectations of performance, qualifications and work environment as outlined in the contents.  It is neither intended nor possible to list all tasks and variables the employee may be required to perform or conditions the employee may be subject to.  Employees are expected to follow any and all job related duties and responsibilities, as may be reasonable assigned by management.As an Equal Opportunity Employer, E.B. Bradley, Co. is committed to a diverse workforce.",,0,1,1,,,,,Other,0
371,Assistant Softball Coach,"US, CA, Atherton",Athletics,,,"Job SummaryAssistant Coach is responsible for assisting the head coach with organizing the Softball program at Menlo College. Position assists with conducting practices, motivating students, and instructing student athletes in game strategies and techniques.  Essential DutiesAssists the head coach with instructing players in the rules, regulations, equipment, and techniques of the sport.Organizes and directs individual and small group practice activities/exercises as directed by the head coach.Assesses players skills, monitors players during competition and practice, and keeps the head coach informed of the athletic performance of students.4. Assists with determining game strategy.5. Assists the head coach with supervising athletes during practices and competition.6. Follows established procedures in the event of an athletes injury.7. Models sports-like behavior and maintains appropriate conduct towards players, officials, and spectators.8. Maintains the equipment room in orderly condition and assumes responsibility for its security.9. Distributes equipment, supplies, and uniforms to students as directed by the head coach.10. Assists the head coach with submitting a list of award winners at the end of the season.11. Participates in special activities to include parents night, banquets, award nights, and pep assemblies.12. Performs support tasks such as distributing and maintaining eligibility forms, emergency data cards, and other related records.13. Models nondiscriminatory practices in all activities.14. Participate in any team fundraising, retreats, travel, and charity events. Other DutiesAttends staff development meetings, clinics and other professional activities to improve coaching performance.Performs any other related duties as assigned by the Head Softball Coach, Athletic Director, &amp; Principal or other appropriate administrator.3. Some office duties and recruiting activities may also be expected. ","QualificationsCollege Softball Coaching or playing experience Pitching Experience preferredMust be able to pass College background check and driving record check HoursAugust - November, 4-6 hours a weekJanuary - May,10-20 hours a week depending on travel",Flexible time commitmentFree lunch daily,0,0,1,,,,,,0
17835,Enterprise Account Executive US,"US, CA, San Francisco",Sales,,"Engagor is a venture backed startup founded in 2011, with offices in San Francisco and Belgium, Europe. Engagor is real-time customer engagement and insights platform for the social enterprise. We help brands like T-Mobile, CocaCola, Evernote and Volvo to have personal conversations with all of their customers on a global scale.","Engagor is looking for an Enterprise Account Executive to join our highly successful Sales Team at our US office in San Francisco, CA.Engagor Enterprise Account Executives are responsible for successfully selling the Engagor value proposition to Enterprise level clients. You work both inside and outside sales. You are responsible for following up on inbound leads and using your network to generate outbound leads.ResponsibilitiesClose new business consistently at or above quotaDeveloping and managing relationships with prospective Engagor clientsBecome an expert in social media marketing and customer engagementDemo our product and convert prospects that are on a free trialManage complex sales-cycles and present the value of the Engagor product suite to C-level executivesServe as a brand enthusiast for Engagors suite of products and solutionsFollow up on highly qualified inbound leads at medium and large-sized companiesGenerate and follow up on outbound enterprise level leadsAttend local and regional networking and tradeshow eventsWork together with marketing and technology departments to optimize the sales strategy when launching product updatesBring your thinking, strategies, and ideas to advance our companys values, unique culture and vision for the future","Experience within a sales team that produces and exceeds their goals consistentlyExperience in a startup environment is considered to be a plusTrack record of over achieving quota5+ years of experience selling web technologies (preferably related to CRM, SaaS, cloud services, marketing automation, social media)Experience working with enterprise executivesA sharp focus on your goalsA thirst for knowledge and always willing to learnTons of energy, passion, humor, compassion and enthusiasmSuperior communications skillsExceptional closing skillsBachelors Degree required (masters is a plus)","Competitive base salary + uncapped quarterly commissionsOpportunities for personal growth in sales and managementWork with a great team of passionate people in a startup environmentFull healthcare benefits plan and group insurancePhone &amp; laptopMeal vouchers, internet at home, etc.",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Sales,0
75,Call Attendant - Part Time ,"US, NH, Dover",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Dover, NH. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Some data entryCompile and update data relative to area of activityGood computer skillsClear telephone voiceAnswers internal and external calls and directs the caller appropriatelyConfident and polite demeanorAbility to work quickly and accurately under pressureExcellent customer care skillsAbility to handle difficult calls in a calm, professional mannerAwareness of confidentialityMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer calls in a high volume environmentConsistently adhere to business procedure guidelinesFamiliar with all standard office equipment such as computer, fax, multi-function devices, etc.Adhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-trainingPerform other tasks as assigned ","Requirements:High school diploma or equivalent (GED) requiredMinimum of 1 year customer service related experience requiredAbility to communicate both verbally and written with customers and company personnel requiredComputer proficiency in email environments, MS Word/Excel or similar programs preferredAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills preferredHandling up to a maximum of 50  pounds with or without accommodationsSort and deliver mail, packages, pouches both incoming and outgoingAbility to walk, sit, stand or sit for long periods (possibly entire shift)Ability to adhere to employee attendance policy",,0,1,0,Part-time,Entry level,High School or equivalent,Insurance,Administrative,0
2510,Teaching English,"KR, 26, Busan",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia2.0+ Mil WON monthly Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryNative English speakers only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
7721,Game Director,"HK, , Hong Kong",,,"Joy Aether is a leading provider of mobile business solutions, based on iPhone, Android, iPad, and other platforms. We focus in design and development of mobile and web application software for businesses. Our customer base includes multi- national corporations such as Pfizer, UBM, DBS Bank, Haemonetics, Galderma, PCCW, etc.","DESCRIPTIONJoy Aether is a leading provider of mobile business solutions, based on iPhone, Android, iPad, and otherplatforms. We focus in design and development of mobile and web application software for businesses.Our customer base includes multi-­national corporations such as Pfizer, UBM, Haemonetics, Galderma,PCCW, etc.Responsibilities:Responsible for the game design direction of an Educational Game for 6+ years old players on Mobile platformsLiaise with licensors on game design approvalUndertake detail works include game specification and content preparationCollaborate with art director and development teams in HK and overseasMonitor development schedule and quality of software design","Requirements:Master / Degree holder in Design / Multimedia / Education or related subjects with minimum 3 years relevant working experience in game designFamiliar with various types of games, with experience on educational game design and understanding on childs behavior is preferableExperience in Mobile application developmentLogical thinking, process focus and passionate for qualityStrong communication and presentation skillsProficiency in Office application (Word, Excel &amp; PowerPoint)Knowledge of graphic design, music and audio editing software would be an advantageExcellent command of written &amp; spoken EnglishImmediate availability is preferred",Benefits:Gain experience in a fast growing industry with huge potential5-­day work weekOpportunities to lead and contribute your opinions.Gym with Swimming PoolPlease apply via #EMAIL_be7db6125da67ddf5903c01b161d4f0cd3254d1e3f20782802026c14e5b00a8b# with (1) portfolio;; and (2) expected salary range.,0,1,1,Full-time,,,Information Technology and Services,,0
16457,Property Acquisition Specialist,"US, CA, San Francisco",,,Airenvys mission is to provide lucrative yet hassle free full service short term property management all around the world. We combine the charm of your home with the amenities of a boutique hotel.Currently the short-term rental property management companies are run inefficiently thus having to charge owners 40-50% of monthly revenues. By using our pricing algorithm cross-platform listing technology out goal is to increase your rental income by 20% or more while only charging you a 12%-15% commission for providing a turnkey experience.We like to think Airenvy is creating a new way for people to become excited again about property management and love their property managers.First use case for Airenvy clients are those who want to convert their long-term rental into short-term rental so they can 1) earn more income while having the flexibility of staying in your own place when you want.Airenvy is your friend next door! : ) Our customer video! #URL_e73543fde61f659ae4e25b87c34adb321c37207b4adc143cb6a99351c3ee1cb5#,"Who is Airenvy?Hey there! We are seasoned entrepreneurs in the heart of San Franciscos SOMA neighborhood. We are looking for someone who embodies an entrepreneurial spirit, pays strong attention to detail and wants to be a part of the next big thing. This business can feel like a circus at times, but we have an all-star team with a one of a kind culture.  Get a little taste of it here.Airenvy is the #1 technology driven property management company in a multi-billion dollar industry and is revolutionizing the vacation rental space! We are growing at record speed and expanding to new markets! Our platform allows owners to put their vacation rental on autopilot. We are a proven team of startup veterans and would love for you to join the family!    In 2014 we were named the #1 Airbnb property management company in San Francisco according to the SF Chronicle. We have 18 supportive and resourceful investors, many of whom are leaders in the technology and real estate industries.The PositionJoin a fast paced startup environment as one of the key members of our sales team as the Property Acquisition Specialist. This is a PAID 3 Month full time performance based contract. After the 3 month review very likely to turn into a full time job.Get in on the ground floor with tons of room for growth - we are looking for a star player who can sell ice to an eskimo, and doesn't give up until the deal is done!ResponsibilitiesProvide Value. Responsible for selling the product and vision to Property Owners across the US; with the opportunity of a global footprint.Owner Recruitment. Owns the demand by generating interest outbound. Overall responsibility is to grow the quantity, quality, and variety of Property Owners and corresponding listings within a defined market. Build Relationships. Initiate, on-board, and educate Property Owners on the benefit of the platform; creating a best-in-class experience.Define the Future. Identifies key strategies to grow the market and sets priorities to execute on opportunities.Communicate. Collaborate with leadership team internally to identify opportunities, create solutions, and execute portfolio growth.You?Were seeking an ambitious, bright, forward-thinking, entrepreneurial and high-spirited Property Acquisition Specialist to join our team in San Francisco, CA, who will take part in initiatives across the country. You are..Passionate. A love for the sharing economy and for Airenvy products.Communicator. Outstanding communication and presentation skills.Thinker. Proven ability to plan, identify, and develop strong prospects and convert them into profitable new business.Confident. Able to demonstrate initiative and confidence in client relations.Problem Solver. Phenomenal problem solving abilities.Ethical. Grounded in ethical business practices.Hungry. Anxious to make a big impact within a growing company in a huge space.","1+ year(s) sales, retail, or customer service experiencePrior experience making cold call sales a plus; or willingness to learnExcellent oral and written communication skillsProfessional in attitudeStrong interpersonal skillsAbility to multitask effectivelyFluent in Google Docs",Competitive Pay. You'll be able to eat steak everyday if you choose to.  Health Insurance. We have vitamins and we're all relatively healthy so hopefully you don't need this.Piñata Parties. Yes we dance around with blindfolds on swing sticks.  Why?  Because its awesome!Snacks on Snacks. All the cooool start-ups are doing it.  Karate Lessons. Really its just that in air ninja kicks are encouraged at all times.Free Massages. When we hit a few milestones we'll be buying a massage chair.Discounted Gym Membership. Get swole but not like our last employee.The Best Benefit of All...Being part of an amazing team/family!!!! Click here for some insight as to the Interview Process. ,0,1,1,Full-time,Entry level,Bachelor's Degree,Hospitality,Sales,0
11697,Account Manager,"GB, LND, ",,,"Axonix is a global mobile advertising technology company that's powered by a platform with a 4 year history of leading the market. Using technology acquired from Mobclix, the worlds first RTB-enabled mobile ad exchange, Axonix offers a fully transparent programmatic trading platform that enables publishers to sell their mobile advertising inventory in real-time to brands, agencies and ad networks globally.We serve billions of ads per month across more than 150+ countries, working with our 100+ demand partners globally to achieve industry-leading CPMs, CTRs and fill rates. With built-in fraud detection controls and data-driven targeting options, we help advertisers to secure the optimal ad inventory that will deliver the best campaign results for them.Axonix is headquartered in central London and backed by Telefonica and private equity group, Blackstone.OUR PHILOSOPHY TO HIRINGOur technology serves billions of mobile ads every month, across more than 150 countries. Our company is truly global in scale. We're growing so fast we need to hire the best people out there.People who thrive on fresh challenges. People who want to see their work make an impact, right away. People who demand a lot from themselves and others. We hire someone when were sure “Theres no better person for this job, and no better person wed rather work with.”We know people are our most important asset. If you're exceptional at what you do, we want to hear from you. The next stage in your career could start today.","The role and the teamTo strengthen its commercial team, Axonix is seeking an experienced Account Manager to maintain and grow its client base. This is a critical role within the business, reporting directly to the Head of Post Sales and working with clients globally.This is an exceptional opportunity for a talented and experienced Sales professional to play an instrumental role in the development of a world class sales operation. You will have proven account management experience, working with web and mobile publishers and/or app developers. You will have built strong relationships with customers across the EMEA region or beyond. You will be detail-orientated and proactive in identifying opportunities for the business, ensuring these are followed through with flawless execution.As with all small and entrepreneurial companies, the Account Manager may be expected to perform a multitude of tasks beyond the role's core responsibilities.","*BA/BS degree or equivalent*Media sales experience with online publishers or app developers  minimum 2 years at Account Manager level or above*Deep understanding of the programmatic / real-time buying advertising industry, ideally having previously worked for a competitor, ad network or agency trading desk  minimum 1 year in this industryNetwork of contacts across online publishers and/or mobile application developersResults-orientated sales person, with a proven track record of exceeding sales targets and a rigorous attitude to execution.Highly personable, with a proven ability to build and maintain strong personal relationships with senior level clients and able to work in a small, highly collaborative team environment.Entrepreneurial spirit, self-starter attitude &amp; highly adaptable  able to use own initiative to identify priorities and comfortable with the ambiguity and the rapid pace of change inherent in a small, start-up business.Proven high achiever in previous roles  e.g. participant of previous employers top talent programmesLanguages  Fluency in English essential; business-level Spanish or Portuguese an advantage but not essential",,0,1,1,Full-time,Associate,Bachelor's Degree,,,0
11357,English Teacher Abroad,"US, CA, Orange",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
1271,Human Resource Manager,"US, CA, Yorba Linda",,,"PROSULTING IS PEOPLE The ProSulting difference is easy to see. Our passion for delivering qualified pre-screened personnel who are ready to contribute means you can expect the right people for the job every time. We are committed to your total satisfaction and stand behind our word. While it would be easier for us to cut some corners in the recruiting and pre-qualification process of our candidates, we don't. In fact, our stringent screening process is the cornerstone of our reputation, and results in the highest qualified personnel in the industry. Simply put, better qualification process = better people. PROSULTING IS RESPONSIVE When your company needs staffing, time is money. ProSulting's dedicated team of highly motivated staffing experts is ready to help you meet the demand quickly and efficiently, minimizing downtime and maximizing productivity. Let our staffing agents work for you and experience the ProSulting difference. PROSULTING IS PRODUCTIVE Growth, fluctuating work loads, temporary, temp-to-hire needs; whatever staffing challenges your business is facing, our experts will find the solution for you...fast. ProSulting's pre-screened candidates are ready to go and keep your business productive when you need it most. We're flexible, too. We will team with your Human Resource staff and take on the day-to-day search functions, enabling your company to focus on its core business issues and grow the bottom line.","The primary purpose of this position is to focus on employee training, talent acquisition and retention. We want you to join our team if:You are someone who enjoys going to work each day because you take pride in what you do.You are someone who understands that bending over backwards to ensure stellar customer experience is the backbone of a service based company.You are looking to build your career with a company that values its employees in such a way as to make them want to in turn impress every customer, both external and internal.Manages recruitment process for all department personnel including group applicant presentations, interviews, documentation processing, etc.Coordinate the training of all employees including both field and office employees, including scheduling training and tracking training effectiveness.Completion of periodic reports related to payroll and human resources.Benefit administration including health, dental , 401(k) and profit sharing.Maintain and create related policies and procedures, and ensure the policies and procedures are in compliance with applicable State and Federal employment laws.Manage the employee performance program and procedures, including but not limited to performance appraisals and performance improvement plans.Process and maintain employee transactions and status changes, including hires, promotions, performance reviews and terminations.","Bachelors Degree is preferred.5+ years of experience is required in the human resource field.Senior Professional Human Resources (SPHR) is preferred.Must possess superior/excellent oral, written, interpersonal, and strong organizational skills with attention to detail.Ability to communicate clearly and professionally with all levels of the organization.Must possess excellent time management, phone manners and etiquette.Excellent people skills to help in relationship building, and problem solving.Proficiency with all MS Office applications must possess superior capabilities with Word, Power Point and Excel.ADP experience preferred, including report creation (ADP Reporting Enterprise).General knowledge of computer operation, emails, and proficiently uses a keyboard.",Medical/Dental/VisionVacation/Holiday Pay,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Human Resources,Human Resources,0
13937,DevOps Engineer,"US, CA, San Francisco",Product Eng,,"Through the Apache Spark platform, we are working to transform large-scale data analysis.","The state-of-the-art in Big Data is ""simple things complex, complex things impossible."" We think the future should be ""simple things easy, and complex things possible."" Join us and work with world's leading experts in distributed systems, databases, and networking to build a next-generation Big Data platform that users love.","The term ""DevOps"" can be used to refer to ""sys admins"" on one end of the spectrum to ""software engineers"" to the other end of the spectrum. We are looking for people leaning more towards the ""engineer"" end of the spectrum.Experience building cloud automation software and large scale infrastructureExperience developing &amp; troubleshooting JVM-based systemsExperience with Hadoop/Spark a bonus","Open source contribution recognition and visibility in the Big Data communityHealth, dental, and vision insuranceFree lunches, healthy snacks, and a fridge fully stocked with beveragesFree bubble tea from Asha (one of the best bubble tea shops in the Bay Area!)Office currently in downtown Berkeley, a minute away from BART for easy commuting; scheduled to move to San Francisco in December, 2014Competitive salary and equity packagesFlexible vacation and sick day policy. Need some time off? Take it!",0,1,0,,,,,Engineering,0
3476,Python engineer,"IN, KA, bangalore",,0-0,"Watchy Technology is a 3 year old Tech startup providing hardware technology solutions for video broadcasting and Internet. We were incubated with The Morpheus. Our clientele includes Redbus, LG, Firepro, Toshiba, Hindustan Unilever, TEDx.Our flagship product is Bond007- a hardware for network aggregation. Simply put , now our customer can connect 7 wireless dongles of 2G, 3G, 4G networks from any vendor to bond007 and get the sum of all the bandwidth as one super speed internet. 7 torches give a brighter light than one.Currently the product solves the pain point for media companies , video broadcasters and event managers who are looking for a reliable alternate for publishing live video feed without using expensive satellite based systems. Since the bond007 provide high speed download and upload using low speed networks, it also benefits NGOs and travellers who need access to high speed internet in the field.You can find out more about us from Rootconf by hasgeek and media coverage by Times of India, Sunday Times, CNBC TV18, Yourstory.","What you will do at WatchyAutomate provisioning &amp; scaling servers on our cloudTune and extend our monitoring infrastructure to track more metricsBuild and execute simulations that can pro-actively test the infrastructure under heavy load.Execute periodic security audits on server.Though this list is neither definitive nor exhaustive, it gives a fair idea what you can expect in this role.","Relevant experience2-4 years of hands on experience on provisioning cloud serversExperience in scaling cloud instancesCommandline proficiency in Linux Wild card EntryMore love would be given to your resume, if you add details about your activities in the following.FOSS &gt; Github, Source forge, …Q&amp;A forums &gt; Stack overflow, Quora, ubuntu forum, …Tech talks &gt; Barcamp, Hackathons, …Blog &gt; Personal, Professional, Third party, …Competition &gt; Topcoder, Hacker Rank, …","Exposure to startup EcosystemCompany sponsored Tech talksSupport and Encouragement to work on FOSS/Pet projectsMedical InsuranceKoramangala, BangaloreLunch, Snacks &amp; Fresh green tea",0,1,1,Full-time,,Bachelor's Degree,Computer Networking,Engineering,0
10893,LE10 3EN Business Admin Apprenticeship available under NAS 16-18 year olds only!,"GB, LEC, Hinckley",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",This is fantastic opportunity for someone wanting to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position. You will be working for a unique product provider company and the role will involve:-Assisting in managing the life cycle-Making sure the products are shipped off on time-Help the initial planning of the Critical Path of the product upon receipt of order.-Liaising with Go Creative's suppliers to ensure that the requested ship date is achievable  Ideal candidates will be computer literate and have excellent communication skills.If you are honest and hard working send your CV to #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee# ,Government funding is only available for 16-18 year olds as this job is an apprenticeship. ,Future Prospects,0,1,1,,Not Applicable,High School or equivalent,,,0
11765,Office Manager,"US, CA, San Mateo",Customer Service/Support,,"Aptitude Staffing Solutions has redesigned the recruiting wheel. Our innovative new platform cuts the recruiting time in half, yields scientifically-proven results and clients and candidates enjoy a pleasant experience through advanced, simple to use technology and a tenured, industry-experienced recruiting team. Join us in a fresh new experience of leveraging your career...the way it should be! All represented candidates enjoy the following perks:Expert negotiations, maximizing total compensation package Signing bonus by Aptitude Staffing in addition to client signing bonus (if applicable)1 Year access to AnyPerkRelocation Services for out of town candidatesContinued education in your area of profession, seminars, workshops and other skill development events Contract employees receive quarterly bonuses for the duration of their project Direct-Hire employees receive double bonues ($2,000) per referred/recruited candidate into their newly appointed companyAll candidates are encouraged to participate in our Referral Bonus Program &amp; earn $500 - $1,000 per hired referral            ","We are seeking a high energy and confident Office Manager for the San Mateo headquartered office.  In an Office Manager role, you are the lynchpin of the office, the glue that binds everyone together, and the first person who will be approached when a challenge arises, which can range from a serious situation to simply requesting a new stapler.  In order to be successful you will be a great all-rounder and an expert multitasker.Responsibilities:The role of Office Manager is demanding and bursting with variety.  Future office managers will love the diversity, as the role offers the following:Maintains office services by organizing office operations and procedures; controlling correspondence; designing filing systems; reviewing and approving supply requisitions.Plan quarterly off-site events and other in-house fun, to promote a positive company culture.Front desk reception:  answer calls and greet visitors.Manage Friday Company meeting calendar and catering for Friday, in-house, lunches as well as other in-office meals.Maintain inventory, order, and stock supplies; break room supplies and snacks, office supplies, and promotional products.Maintain organization and cleanliness of common areas, specifically break rooms.Manage incoming mail and packages. Assist with shipping, i.e., packing and printing labels, etc.Manage conference room reservations.Other administrative duties as needed.The Office Manager serves as the Facilities Manager and main point of contact to everyone: employees, visitors, and guests.  This is an exciting opportunity to help shape the company culture by making the office a fun and welcoming place to work.  As the Office Manager, your priority is to make sure the employees have what they need to feel happy and comfortable at work, be it snacks, maintenance requests, office supplies, or fun social events.    ",,,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Human Resources,1
11660,Sales Account Executive,"US, CA, San Francisco",Sales,150000-250000,"We live in an increasingly social and visual world. Text posts are being replaced by photos and videos which dominate the social and marketing landscape. Companies want and need to leverage this authentic and often brand-related content and Pixlee helps them do it.Pixlee is revolutionizing the relationship between people and brands, helping companies leverage these social photos/videos to market with the voice of their own customers. The Pixlee platform enables brands to collect, curate and repurpose consumer photos and videos to drive engagement and conversion. We sit at the intersection of social aggregation and big data, surfacing the best content and effectively deploying it to support their business goals.Pixlee is an Andreessen Horowitz and XSeed Capital portfolio company. Customers include major brands like Sony Playstation, UGGs, Coca-Cola, BMW, 1-800 Flowers, Jamba Juice; and ¼ of the NFL and NBA teams.At Pixlee we work hard, love to learn, and value teamwork and humility. Weve got a big vision and were looking for game changers.","At Pixlee, we look for talented, high-potential individuals who arent jerks. Our proposition is simple: Pixlee offers a unique opportunity to thrive and grow rapidly in a small-team startup environment operating at big-company scale. Our customers are some of the largest brands in the world and everything you do here affects millions of consumers.About The Position:Pixlee is seeking a hungry quota-carrying, solutions-oriented Account Executive. It will be your job to prospect, qualify, educate and close the right enterprise buyers of Pixlees platform. Youll also work with the team to improve our products with your valuable feedback and contribute to building the sales organization of a startup! This job is based out of our San Francisco headquarters.Responsibilities:Own the full sales cycle. Prospect new business, develop opportunities, demo the product, and close sales.Majority will be outbound salesBecome an expert in identifying the challenges our customers face when building a successful social marketing strategy; demonstrate how Pixlee can overcome those challenges and help achieve customers goals.Lead a consultative, solution-based sell to help marketers use Pixlee tools that will drive their social acquisition, engagement, and conversion goals.Manage existing client relationships and contract renewals.Build a deep understand of the Pixlee product, the market, and the competition.Provide critical product/process feedback to improve Pixlees platform and sales organizationMore About Pixlee:We live in an increasingly social and visual world. Text posts are being replaced by photos and videos which dominate the social and marketing landscape. Companies want and need to leverage this authentic and often brand-related content and Pixlee helps them do it.Pixlee is revolutionizing the relationship between people and brands, helping companies leverage these social photos/videos to market with the voice of their own customers. The Pixlee platform enables brands to collect, curate and repurpose consumer photos and videos to drive engagement and conversion. We sit at the intersection of social media and big data, surfacing the best content and effectively deploying it to support business goals.Pixlee is an Andreessen Horowitz and XSeed Capital portfolio company. Customers include major brands like Sony Playstation, Red Bull, Coca-Cola, BMW, 1-800 Flowers, Jamba Juice; and ¼ of the NFL and NBA.At Pixlee we work hard, love to learn, and value teamwork and humility. Weve got a big vision and were looking for game changers.","2+ years of direct sales experience with a proven track record of success selling to all levels of the organization including managers, executives, and CxOs at large brandsExperience in a Software-as-a-Service environment; selling a SaaS product. $100,000 average contract values with 2M+ annual quotasStrong consultative sales skills with an understanding of how to determine prospects needs and meet customer objectives and goals.A strong work ethic and superior organizational skills; you have the ability to fluidly manage the entire sales pipeline on your own and report via a CRM to the teamA passion for web technology and social media, and the capacity to understand how it works.BA or BS degree. Bonus:Experience selling a B2B marketing product to CMO/Marketing Suite customersSales experience in a fast-paced startup environmentA network of potential clients from your experience in salesAny technical skills/knowledge e.g. API, CSS, HTML, etc.",Competitive CompensationHealth InsurancePTO,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Sales,0
15135,Payroll Processor,"US, NY, New York",,,,"JOB DESCRIPTIONClassification: Payroll ProcessorCompensation:  $20.00 per hourOur multi-specialty medical group in New York is seeking an experienced payroll clerk to support their department, this position is a temp-hire If you are seeking a short-term or  long term  project and are determined and organized, please send your resumeResponsibilities include: •This Payroll Clerk will be supporting the Finance Department by entering in payroll for 80 employees;  •Must have good data entry skills, detailed oriented and accurate with entering in confidential payroll information; •This Payroll Clerk will work 5 hours per day and are flexible with the morning or afternoon hours; •Good communication skills are required and will be working with both Finance Department and Human Resources Software: good ten key by touch and data entry skills (other softwares will be provided)",,,0,0,0,Contract,Entry level,,Accounting,Accounting/Auditing,0
13705,Mortgage Loan Processor,"US, NY, New York",,,"One Zero Capital is an investment holding company focused on the convergence of technology, consumer finance, and credit investing.","AVEX Funding seeks a mortgage loan processor with over 3 years of experience to help grow the New York office for our Silicon Valley based mortgage bank. The ideal candidate will be responsible for reviewing mortgage loans from application submission to funding. The Mortgage Loan Processor will be responsible for confirming, checking, and sorting data across all documentation relevant to the mortgage loan application process.About AVEX FundingAVEX Funding is a mortgage lender founded in Silicon Valley with three generations of experience in serving customers financing needs. We have funded more than $7 billion in home loans while serving more than 20,000 customers. We are expanding nationally and looking for the best talent available.Your responsibilities will include:Work in a highly professional manner with our borrowers and third parties to collect critical documentationReliably turn over a large pipeline of loansEnsure loan data is accurate and consistent across loan applications, AU certifications, investor locks, and other documents generated in connection with the loansMaintain detailed daily log of all activity relating to outstanding and completed loans within our internal reporting systemPerform spot checks/basic underwriting of loan files prior to submission to underwritersProvide excellent customer service by responding quickly and professionally to customer inquiries","Prerequisites:Three or more years of experience processing mortgage loansExperience working at a reputable mortgage originator (direct lender preferred)Knowledge of and expertise with RESPA guidelines, TILA, MDIA, and other regulatory complianceExperience working with the Ellie Mae Encompass360 loan origination systemKnowledge of Conventional Agency underwriting procedures and productsAbility to troubleshoot and solve problems independentlyAbility to move quickly between customer files and handle oneself calmly in potentially stressful situationsCompensation is highly competitive, includes benefits, and will grow as the company does. AVEX Funding is on its way to being a household name. Become part of a winning brand.Directions:Please submit your cover letter and resume. In the first line of the cover letter, please indicate the website where you saw this posting.This posting is for a position in our New York office.",,0,1,0,Full-time,Mid-Senior level,Unspecified,Banking,Quality Assurance,0
12742,Front-end Developer,"UA, 71, Cherkasy",Development,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"Were looking for someone whos more at home making browsers dance than fiddling with DB queries. If you can build pixel-perfect UIs, know your way around JavaScript and speak JSON, click below to apply. Experience with modern JS frameworks gets us really excited, and you're pretty much hired if you love Angular and it loves you back.","We're looking for somebody to- Build elegant web pages using the holy trinity of HTML, CSS and JavaScript- Know their way around SEO and analytics- Lay the groundwork for solid, sustainable developmentIs this is you?First and foremost, an awesomely brilliant hackerYoure experienced building interfaces with HTML, CSS, JavaScript and JSONYou have a strong sense of good UX and the ability to make pixel perfect interfacesStrong knowledge of web standards, CSS-based design, cross-browser compatibilityUniversity degree in a technical subject, preferably computer scienceEnglish as a working languageAlways a plus:No fear of server-side programmingJava/Grails experienceStrong communication skills","We are offering you- Place in the fast growing company that is still young and flexible in decisions, yet old enough to be treated seriously- Decent salary, that's limited only by your professional skills- Business trips to Tallinn &amp; London- Awareness of modern trends, conferences participation- Interesting leisure activities",0,1,1,Full-time,,,,Engineering,0
1816,Paid Training for Direct Sales Representative with Management Opportunity,"US, TX, San Antonio",UV,,"Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.To setup an interview, call our recruiting department Monday-Friday from 9am-5pm @ (361) 400-2907","Paid Training, Weekly Pay, Top Commissions, Average $828/wk*To learn more about Argenta Field Solutions, visit our website @ #URL_1d9e75fb4100e4ecdc0d72a1205f398abb97bf07f3018745f8fbcab82dc07ef3#  We only have 4 positions left in the San Antonio area for the Direct Sales Representative.Position- Full time- $828/wk*- Paid training- Weekly Direct Deposit- $200 1st sale bonus- Top commissions in U.S.- Opportunity for advancement- Developed training, pitch and sales model",- Sales experience preferred or the right personality.- Positive attitude and determined demeanor.- Clean cut appearance. - Pass background and drug test (no felonies or theft). - Transportation a plus- Bilingual a plus,"Apply for this position by contacting the Recruiting Department at (361) 400-2907 Argenta Field Solutions 711 N Carancahua St. Suite 1750, Corpus Christi TX 7840115600 San Pedro Ave Suite 105, San Antonio TX 78232900 Congress Ave Suite L-115, Austin TX 787012500 E TC Jester Suite 272, Houston TX 770082201 N Collins St. Suite 252, DFW TX 76011",0,1,0,Full-time,Entry level,,Consumer Services,Sales,0
7027,Venue Representative,"GB, LND, London",Music,,"DICE gets fans the best tickets at face value with No Booking Fees. We're based in Shoreditch, London and 100% mobile so we're driven to transform ticketing globally. We're fully funded by successful entrepreneurs from music, consumer and technology - all very well known.DICE is currently only for Londoners but expanding to other cities soon. It's an exciting time to join and if can't see a role here right now for you email #EMAIL_f1ba6a31a1a8d33d113e24df320a8276792ed6ae6dd1dda3078b91d41c1164d3#.  You can download DICE at #URL_ec4eb3e790f2097c38259c96ef1a89331f5412f40c1f1dcd33772a0e2db7a014#","DICE is the smartest ticketing app on Earth and we're currently recruiting representatives to work directly with venues, artists and fans.You are the face of DICE and this isn't a 'hand out flyers' role.You're our evangalist and you always make an excellent first impression and are amazing with people. Youre the life of the party and people love hanging out with you but youve also got a cool head  when something goes wrong you keep calm and find the solution.You're tech-savvy and quick to learn. You have an eclectic taste in music, arts, theatre, food and comedy, and are always keen to discover something new. You have ideas and those ideas go into making DICE even better. The role is a mix of on-the-night customer support, client relationship building, and brand ambassador.This is a part-time role suitable for a student or recent graduate and is almost entirely in the evenings and weekends.Our office is in Shoreditch, London.","You live in London.Brilliant communication skills - both written and verbalAn existing involvement or history promoting and/or managing eventsA good understanding of London nightlife, including theatre, film, comedy, music and foodAbility to work both at the DICE office and outsideYou must be able to work during the evenings and weekendsExcellent decision-making skills whilst working under tight deadlines",You'll be working with smart people who have amazing ideas that often become reality. We have access to some of the biggest people in entertainment and it's a chance to shine.You will also receive a free unlimited Oyster Card.And to skip to the punchline - we pay well.,0,1,1,Part-time,Entry level,High School or equivalent,Music,,0
14613,PR AE/SAE,"US, NY, New York",,,We are a PR and social media agency that goes ALL IN to create campaigns people can't stop talking about for major consumer brands. ,"DBC PR+Social Media is an original PR agency that builds brands into leaders.  We are looking for a PR Account Executive or Senior Account Executive to join our NYC team and fits with our core values:All In  We chase big, bold ideas and we care passionately about our work.On It  We worry about every detail so our clients dont have to.Fair Play  We hold people accountable.  We promote from within.  Were nice.The AE/SAE will work on national consumers brands and implement current client initiatives such as:National and local media outreach campaigns.High profile events for media and consumers.Media-worthy partnerships.Media tours and desksides.Spokesperson collaboration.","The ideal candidate must demonstrate the following skills/experience:● 3-5 years demonstrated public relations experience● PR agency experience required● Demonstrated success in implementing large scale consumer PR campaigns● Excellent planning and project management skills, including delegation of tasks toappropriate staff● Expertise working on a variety of different projects on behalf of different clients whilemeeting all individual deadlines and deliverables● Have a proven track record of successful media outreach to national and local mediaoutlets● Excellent communication and client relation skills● Superior writing skills● Detail oriented, reliable and flexible  doesnt sweat the small stuff● Special event logistics and planning experience (media events, conferences &amp;meetings)● Motivated self-starter with the ability to work independently and on a team● Proficient computer skills including MS Word, Excel &amp; PowerPoint● Bachelors degree in Communications, Public Relations, Journalism, English, Marketing,or a related field",DBC PR + Social Media offers competitive salaries and benefits.,0,1,0,Full-time,Associate,Bachelor's Degree,Public Relations and Communications,Public Relations,0
12848,Director - Leader in e-sourcing,"US, IL, Chicago",,,"The MarketMaker4 leadership team has extensive experience in e-procurement, online auctions, market intelligence, strategic sourcing, and supply chain consulting. All team members have been involved in the e-enablement of supply chain negotiations since the inception of the industry.MarketMaker4 is an international company with offices in the US, UK, Australia, China and UAE.Our philosophy is to encourage and enable the use of online auction / negotiation techniques by developing proprietary software that has sophisticated functionality, but is also easy to use due to its intuitive design.Of course, software is useless if not used properly, and our support services are integral to our approach. We are the only eSourcing company to include the provision of MarketMaking™ services within its subscriptions.We are also focused on ensuring our clients have timely access to our advisory expertise, market intelligence, and business insights to achieve a positive and successful online auction / negotiation experience.MarketMaker4 is bid neutral, and provides confidential e-auction services to both the event host and the bidders to promote the success of every party involved in an electronic auction / negotiation event.","Act as global supply management leader for (insert detail for specific category). Initiates supplier identification, assessment, selection, and development processes. Develops and executes category sourcing strategies to meet customer and business unit objectives.Lead cross functional team and manage supply chain to goals for total cost, quality, service and working capitalLead all commercial negotiations with category supply partners.Proactively manage supplier base ensuring supply with no adverse impact on the business. Analyzes supply chain risks and develops contingency plans to mitigate risks.Utilize formal benchmarking methods to determine best in class processes and drive improvement. Remains on the forefront of emerging industry practicesMeasure and track supply performance within spend category. Resolves and/or escalates issues in a timely fashionEffectively communicate with appropriate management levels both internally and externally.Project scoping  Assesses the scope of cost reduction projects, the resources required, the savings potential, the risks and assumptions and the implementation plan.Project management  Leads, guides and coaches cross-functional team members through the implementation of global cost reduction projects. Prepares and communicates material for project gate review sign-off meetingsConduct business dealings with the highest level of integrity and regard for legal and environmental issues.Identifies opportunities for improvement and makes constructive suggestions for change.     ","Education:BA/BS Required.  MBA or Masters Degree in a related field preferred. Experience:7+ years minimum of Category / Commodity Management experience.  Competencies:Decision Making:Participates with senior managers to establish strategic plans and objectives.Select, evaluate, and develop category plan objectives in areas of cost, quality, flexibility, and service with guidance and assistance.Execute prioritized tasks consistent with achieving overall supply chain organizational goals.",,0,1,0,Full-time,,,Logistics and Supply Chain,,0
16519,Software Developer,"US, FL, Gainesville",,,"352 Inc. is a full-service digital agency creating websites, software and marketing campaigns. We partner with great clients to build smart solutions that drive results. To do that, we believe everything starts with inspired people, and that's where you come in. Digital experiences that define your business. Designed to delight. Built to grow.","We partner with great clients to build smart solutions that drive results. To do that, we believe everything starts with inspired people, and that's where you come in. We're looking for software developers to work with an amazing team in our Gainesville Florida office. If you're a #URL_c2a6d9f9e0ae4766af35d42ce444524e4696bd7d4ddecb5dd453f7ffd1278f11## developer we'd like to talk with you about our team and opportunity.","3-5 years of great C# workExperience in MVC or WebFormsDedicated to learning and adopting new technologyWorked on several complex projects from beginning to endPassionate for writing beautiful codeBonuses:Experience in working in agile software development teamsInterested in #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#Have experience with a Client Side MVC framework (#URL_9078e8368d89dcdbf1ed26064be556e5b47144133bf647a7deaa954f8ec0bdb4#, #URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399#, etc.)Have used MongoDB and GitHave experience with Objective C or JAVA Android developmentHave a Github account? Built something really cool? Wed love to see it.","What Youll GetFreedom: We trust you to do your thing and do it well.Education: We have a sizable conference budget for you to get out there and learn. Bonuses: We reward good work. Enough said.Benefits: Health and dental insurance provided, employer-match retirement program. See more of our benefits Our ValuesInspiration: Were fascinated by the industry and what we can do with it.Teamwork: The best results come from collaboration.Relationships: We care  a lot  about our teammates and our clients.Smart Solutions: We do things the right way, not necessarily the easy way.Celebration: We celebrate your wins, whether its exceeding clients KPI or winning H-O-R-S-E.",0,1,0,Full-time,Mid-Senior level,,Computer Software,Information Technology,0
9706,Beauty & Fragrance consultants needed,"GB, , Oxford",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury beauty &amp; fragrance consultants needed! Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary &amp; permanent beauty, fragrance, fashion &amp; retail staff. We are recruiting now for beauty &amp; fragrance consultants to work within busy department stores across the country promoting luxury products such as make up, skin care &amp; perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to #EMAIL_11046aef5219327c0db0b1c912c1ba032e7a569ddfc256050c7a98cd16ae9e57#",,,0,1,0,,,,,,0
17283,QA Engineer (Contract),"US, CA, ",,,,"Bletchley is a design-focused engineering-led company building software for the web and mobile. We're a dozen person team of product designers and developers spanning the United States, with a few outliers in Oaxaca, Chiang Mai and Pakistan. Remote collaboration is built into our company DNA.We have a low-bullshit, minimal-meetings environment where you're judged by the quality of your work and not by how well you play office politics. You'd be building software for clients like Samsung, CA and the Center for Public Broadcasting with smart, nice people, most of whom have worked together for years.We're looking for a QA Engineer - someone to become an expert in the projects we are building, work in a close planning loop with our project managers, and collaborate as an equal with our software engineers. This position would initially be 20-30 hours a week contract, with a possibility to grow quickly to full-time work.","Experience with both web and mobile testingUX testing experience - working with project management and the designer to ensure that the intention of a design is fulfilled by its implementation, beyond simply checking off a bullet list of functional requirementsStrong technical background - running applications locally, checking branches out with Git, exploring Javascript errors in the developer consoleAbility to design functional, regression and load tests as well as scripting and performing manual testing and cross-browser QAExperience working in a variety of agile methodologies (traditional scrum, kanban, etc), with QA integrated as part of a cross-functional team, helping define user stories and clarify acceptance criteriaComfortable in a flexible role on a small, remote team",,1,0,1,Part-time,Mid-Senior level,,Internet,Quality Assurance,0
9530,Birmingham Business Admin Apprenticeship Under NAS 16-18 Year Olds Only,,,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then you will kept on in a permanent position.You will be working for a recruitment company and the role will involve:-Candidate resourcing-Data inputting-CV searching-Building relationships-Answering the phoneIdeal candidates will be confident with an excellent phone manner.If you are confident and career motivated please apply now.,16-18 year olds only due to government fundingFull time availability,Career prospects,0,1,1,Full-time,Not Applicable,High School or equivalent,Human Resources,Administrative,0
6967,Sr Information Architect,"CA, ON, Toronto",,,,"The Sr IA will collaborat with Art Directors, developers, copywriters, account strategis &amp; a number of other agency stakeholders to conceive &amp; refine ideas across the digial channel and beyond.  The Sr IA will also work with clients to undersand their business models and goals and help define strategy, content and features for design of their web site.  Sr IA will define site architecture and navigation that serves as a blueprint of the site upon which all other aspeccts are built.","Computer Skills:  Omnigraffe, Visio, Prototyping software for mobile and desktop5+ eyars of experience internet application development (multimedia/interactive advertising company experience)Knowledge of programming and scripting languages, CSS, JavaScriptJava is an assetFamiliarity with database technologies such as MS SQL, Oracle specifically Database schema design, table creation and queriesWriting Technical specification (documentation examples will be requested)Implementing and deploying HTML &amp; text emailsOutstanding PortfolioA thorough understanding of Internet Technologies and implementation processesAbility to assess 3rd party technical vendorsMust be in Canada",Contract,0,0,1,Contract,Mid-Senior level,Unspecified,Marketing and Advertising,Information Technology,0
12662,AB12 3LE Driving Apprenticeships Under NAS 18-24 Year Olds Only,"GB, ABE, Aberdeen",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",You must be 18 years old to apply for this position due to the government funding and the vehicle you will be driving.Through this apprenticeship you will gain a Class 2 license.This is a fantastic opportunity for those looking to start their careers as a driver. During the first 12 months you will work towards a Level 2 Driving Goods Vehicle NVQ and then be kept on in a permanent position.You will be working for a nationwide delivery company and the role will involve:-Collecting and delivering parcels-Reporting to traffic manager-Loading and uploadingYou must have a clean UK driving license to apply for this position.If you have a desire to work in this industry and are punctual and trustworthy please apply now.,18 year olds only due to government funding and the vehicle you will be driving.Full time availability.,Career prospects.You will gain a Class 1 license through this apprenticeship.,0,1,1,Full-time,Not Applicable,High School or equivalent,Logistics and Supply Chain,,0
7075,Construction Analyst (Safety) ,"US, TX, Houston",Oil and Gas,150000-160000,"Middle East Recruitment is a specialized recruitment and consulting agency. Established since 1997 founded by professionals that understand the need for exceptional people in the corporate world, with headquarters in London. Middle East Recruitment has become successful in providing the best talent and consulting services to an array of industry sectors.","Major Oil &amp; Gas Company in the Kingdom of Saudi Arabia is seeking highly skilled Construction Analyst / (Safety) to work in their company, throughout KSA. UP to $156000 USD Tax Free. Looking for experienced Construction Analyst / (Safety)The assignment will initially be on a year contract basis, with a view to being extended thereafter.Interview workshops will take place in:     USA (HOUSTON)               8 JUN, 2014Please Note:Interviews can only be conducted face to face NO phone or Skype Interview.If an applicant is selected to attend to the interview will be reimbursed for the travel and hotel cost for up to $1000 on the day of the interview regardless if you get the job or not.Deadline of submission 8 MAY, 2014This is a Face to Face interview onlyPlease apply only if you can attend to the interview on the above location. As there will be No phone or Skype interviews. Many thanks and wish you all the best.","BS degree in engineering with minimum 6 years experience ORB. Tech engineering with 8 +years experience ORHigh School Diploma with 10+ years experience.Good working knowledge of Aramco construction programs and procedures in areas of Cost Control,  Estimating Methods &amp; Procedures, Resources Planning &amp; Coordination, Computer literate, excellent command in English both oral and written.Must have 30-hour OSHA Construction Safety Class Certification or equivalent within the last 5 years.Electrical Safety and Lock-out/Tag-out regulations and procedures.Knowledge of NFPA 70E and NFPA 101, Life Safety Code requirements;  Knowledge in the fields of safety compliance and auditing.Knowledge of governmental regulations and applicable standards such as EPA, OSHA.NIOSH, APCD and NFPA 70E that affect employee and environmental health and safety.Technical expertise in analyzing numerical and claims data and industry performance measures such as Loss Time Injury Rates, Frequency Rates, and OSHA 300 Recordable Rates.Effective written and oral communication skills as well as effective leadership skills.Ability to prepare, compose and present reports to all levels of management.Construction experience and/or preferredPetrochemical experience and/orOil and gas experience preferred","Salary up to total $156k, inclusive of allowances Tax Free - Accommodation- 3 leave a year with free air-tickets- Free medical care/medical insurance- 1 year contract renewable with merit increase- Long term employment opportunity- Car provided- Tax free",0,1,1,Full-time,Mid-Senior level,High School or equivalent,Oil & Energy,Engineering,0
13473,Investment Banking Associate,"US, VA, charlottesville",Finance,60-85,,"Minimum 2yrs of Experience of Investment Banking / 1+ yr of private equity experience with strong academic background- Exposure to a significant number of mergers and acquisitions and/or leveraged finance transactions- Financial modeling and analysis of a variety of capital structures - Managing external due diligence advisers such as accountants, tax experts and lawyers - Presenting and participating in investment committee meetings - Managing and executing the financing and closing processes - Good Communication skills (Written &amp; Verbal)- Strong Analytical skills- Extensive LBO/financial-modeling experience- Self motivated and a team player.A relocation bonus would be provided to the right candidates in different cities.For: U.S.Citizen/ EAD/Green Card only.",,,0,0,0,Full-time,Associate,Bachelor's Degree,Banking,Finance,0
6426,Graduate application - HW Design ,"NZ, N, Auckland",Engineering,50000-55000,"PowerbyProxi and its customers are leading a revolution to deliver unplugged power. We have changed the way electricity is delivered in hostile industrial environments. We are now also developing wireless charging technology for consumer electronics and have an impressive portfolio of clients consisting of electronics heavyweights from around the world. PowerbyProxi was spun out of The University of Auckland in 2007 to commercialize cutting edge research undertaken by its internationally acclaimed scientists and engineers. As a pioneer in the design and development of turnkey wireless power solutions, PowerbyProxi eliminates the need for a physical or frictional power connection. We provide our customers with unplugged solutions that solve continuity of delivery and maintenance pain points, create unique competitive advantages, deliver significant cost savings and secure product design wins.","PowerbyProxi Graduate Applications now open!!-       Graduate Hardware Design EngineerPowerbyProxi and its customers are leading a revolution to deliver unplugged power.  PowerbyProxi was spun out of The University of Auckland in 2007 to commercialize cutting edge wireless power research undertaken by its internationally acclaimed scientists and engineers. We have changed the way electricity is delivered in industrial environments. We are now also developing wireless charging technology for consumer electronics and have an impressive portfolio of clients consisting of electronics heavyweights from around the world. As a pioneer in the design and development of turnkey wireless power solutions, PowerbyProxi eliminates the need for a physical or frictional power connection. We provide our customers with unplugged solutions that solve continuity of delivery and maintenance pain points, create unique competitive advantages, deliver significant cost savings and secure product design wins. ","The company is in an exciting stage of exponential growth and global expansion. We are now inviting students graduating in 2014 in related disciplines to join us in our Graduate Program. You should ideally be in your final year pursuing a Bachelors Degree or Masters in Electrical or Electronics Engineering or equivalent. You have an excellent academic track record and a keen interest in R&amp;D and product development work. You are an ambitious, highly driven and self-motivated individual who would like your career to be challenging, engaging and rewarding. You thrive in a fast-paced environment, enjoy pushing your limits and working in a team environment. ","PowerbyProxi has a culture of collaborative teamwork and celebrating success and milestones. In the fast-paced R&amp;D environment we are in, we work hard to break technology boundaries to produce cutting edge power solutions that will change daily lives of people. We have a lot of fun doing so along the way, and certainly reward ourselves for big and small successes alike. Due to our exponential growth across global offices, our staff have exposure to unlimited career progression opportunities including overseas work opportunities.To apply, please submit your resume along with your academic transcripts on our careers page #URL_978b01779d84d2987165e0707121763d0b8ff655bb94b213e3a2dfd9d055f794#. Please include information about projects you have done within your curriculum or extra-curricular that are related to your field of study. ",0,1,0,Full-time,Entry level,Bachelor's Degree,Electrical/Electronic Manufacturing,Engineering,0
15223,Delivery Drivers,"US, TX, Austin",,,,"Eat Out In is a well established, fast paced, unique restaurant delivery service looking to hire waiters on wheels to deliver food for Austins best restaurants. Transport Food and beverages in the comfort of your own vehicle. Flexible schedules. Delivery Drivers average $12-$15 an hour between delivery pay and tips. We deliver food from 40 different restaurants. Lots of different locations. Drivers can pick which side of town to deliver food in. We are looking for friendly people who understand good customer service. We are locally owned and been in business for over 28 years. Call Jennifer for details.Must be 21 yrs oldHave your own vehicle with insurancePlease submit your resume - thanks!",,,0,0,0,,,,Restaurants,,0
12653,Agent-Inbound Customer Service,"US, TX, New Braunfels",Operations,,"OUR EMPLOYEES DRIVE OUR BUSINESSA challenging career awaits you at IBEX Global. A market-oriented approach to work, stimulating assignments, and a high-performance culture make our work environment demanding but exceptionally fulfilling. We offer flexible work schedules and an opportunity for associates to be as independent as their ambition and personal commitments allow them to be. Compensation and professional rewards are competitive and commensurate with performance and work ethic.Our work culture is characterized by employee satisfaction initiatives because great customer interactions are only possible with motivated individuals. We leverage the diversity and tenacity of our employees to foster a competitive but team-oriented environment. Group activities, community outreach and business strategy retreats are integral parts of our culture.","Are you ready to start your sales career with a growing organization in a call center sales and customer service role, then consider joining our growing inbound team. IBEX Global is rapidly growing and were looking to quickly ramp up entry level Call Center Customer Service Representatives that will be responsible for taking inbound calls from clients, selling telecommunications products and services. At IBEX Global weve been delivering superior customer services for over 10 years, as a business process outsourcer offering an integrated suite of services that supports an expansive array of client facing solutions. Job Responsibilities:• Handles customer questions, complaints, and billing inquiries with the highest degree of courtesy and professionalism• Offers alternative solutions where appropriate with the objective of retaining customers business• Assist new customers as they complete the card activation process and provide a positive first impression of the account.• Gather all required data necessary to sell the Account products/ services• Explain product feature and benefits, thoroughly answering questions and setting proper expectations for the product and enrollment process• Accurately process information in Account's CRM system• Identify opportunities for process improvements in customer service that lead to higher standard of service for Account customers• Identifies and escalates emerging product or customer dissatisfaction issues• Meet required metrics or service level required by clients• Adherence to the company policies and work standardsInterested applicants must include a full resume on the online application for consideration.Thank you for your interest in employment with IBEX Global.","In this role you should have strong interpersonal communication skills with a confident and outgoing personality. RequirementsAdditional requirements of the position include:• High School Diploma or equivalent• 6 months experience in a sales or service related role• Basic computer skills• Excellent verbal and written communication skills• Ability to utilize basic math computation skills, preferred• Required to work a flexible schedule that provides needed coverage for customer service levels.• Required to read and follow all company policies and procedures.Able to maintain a regular work schedule to meet the needs of the business. Support and model the company values.• Background and Drug Screening is required.","Benefits :We offer our employees the following comprehensive benefits plan• $10.50/hr during training• $11.00/hr + commission in production upon the successful completion of training• Medical, Dental, Vision Insurance• 401(k) with company match• Paid Time Off• Paid professional training",0,1,0,Full-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
15203,Injection Molding Supervisor,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Injection Molding Supervisor - PLC -An Operations Manager_Injection Molding is required to have Worked in a supervisory or operations management capacity in a small to medium size manufacturing or distribution companyQualifications Required:Materials/ inventory control experienceFamiliarity with Maintenance programsExposure to Quality control and related documentationBi-lingual English/Spanish is a big plus, but not requiredJob Responsibilities:Have a direct impact on the continued success of a company which has doubled its production twice in the last 2 years(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)(Click “Apply Now” to know more about Salary, Job description and Location)Visit: #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,,,,Management Consulting,,0
8756,Service Ambassadors,"MU, QB, Ebene",SECNEC,,Hiring made easy for Digital Jobs,We are currently recruiting for our ON-CALL program for Service Agents.You will join a team of young talents where you will benefit from:Formal Training in Customer Service and Technology ProcessPaid program when called to replace existing team members.You will more importantly be first in line when we request for full-time Service Agent position,You will need to haveGreat customer service attitudeTeam playerSchool Certificate and aboveClean Morality CerticiateExcellent IT Skills,"You will be remunerated as follows:Rs. 8,500 per monthRefund of Bus transport",0,1,1,Contract,Entry level,High School or equivalent,Information Technology and Services,Customer Service,0
13945,Network Operations Center Technician,"US, TX, San Antonio",R&D,,"WellAware is an oil field communications and software-as-a-service (SaaS) company based in San Antonio, TX that provides a seamless, complete monitoring and production management solution for its customers. Founded on the premise that well, pipeline, and safety data is often unreliable and difficult to obtain, WellAware has assembled a group of industry experts, automation specialists, and world-class software and network engineers to transform oil field communications and software.By strategically deploying the most advanced machine-to-machine network in the world over U.S. shale plays and creating a mobile production software to manage it, WellAware drastically increases the reliability and security of measurement data, while reducing cost and making data meaningful across your entire business.",Wellaware Holdings Inc. is seeking a Network Operations Technician to provide operational support of WellAware advanced services and network. Network Operations Tecnican scope of responsibilities includes but is not limited to the following functions:,"Problem troubleshooting and resolution, including interaction with site contacts, carriers, vendors, and WellAwares engineering groupProactively monitoring the WellAwaresnetworks to detect and resolve problems and ensure uninterrupted operations of the networksPlanning, evaluating and executing network maintenance activitiesParticipation in updating and revising policies, procedures, technical reports, documentation, and internal training materialWorks closely with the network engineering staffMinimum Experience:2 years in a network operations environment in support of customer networks-OR-2 years in a technical support role interacting with contacts via phone and ticketing system AND  Hands-on experience with router configuration, management, and operationsRequired Skills:Administering and configuring routers/switches, which includes implementing firmware changes, OS releases and other software upgradesTroubleshooting router/network performance problemsPreparing announcements for maintenance activities or network outagesCommunicating effectively via phone and e-mail with network contacts and vendorsPrioritizing of multiple demanding events along with daily tasksPreference will be given to candidates with the following:Willingness to work any shift (including weekends), as assigned, and to rotate shifts and schedules as assignedExperience with optical transmission systems such as WDMExperience supporting H.323 video over IP networksCisco CCNP certification",,0,1,0,Full-time,Mid-Senior level,Associate Degree,Oil & Energy,Information Technology,0
4248,Graduates: English Teacher Abroad (Conversational),"US, MA, Springfield",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Excellent for student loans/credit cardsHousing provided (Furnished/Private)Airfare ReimbursedRemind us when you wanted to start when applying :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
5010,Customer Service Associate - On Call ,"US, WA, Seattle",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Seattle, WA. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities include, but are not limited to:Prepare materials for mailing including: applying postage, preparing items for shipment or collection, distributing items as needed, ensuring items are properly labeled and documenting data according to management guidelines.Perform start-up and operations of postage equipment.Ensure that all mail and packages are properly labeled for mailing, with correct postage utilizing automatic postage meter machine.Sort, deliver and pick-up mail and packages utilizing mail cart according to established time frames and service standards.Assist with loading and unloading of deliveries as needed.Maintain shipping and receiving area as required.Sort and process inbound intercompany mail.Scan and input inbound accountable boxes and packages including certified, registered, return receipt, Federal Express and UPS for delivery to customers.Verify and correct mail using available tools.Ability to lift heavy boxes and operate electronic dolly if required at site.Maintain all logs and reporting documentation as required.Keep manager advised of associate or employee moves or requests, and other related matters.Coordinate special messenger services, air freight, etc.Assist with security and monthly measurement reports as required.Cross-Train in other service areas.Perform other tasks as assigned.","QualificationsThe successful job seeker must be able to demonstrate the following qualifications:Minimum of 1 year customer service related experience requiredExperience in Mail Services preferredLifting up to 70 pounds with or without accommodationsStanding for long periods of time &amp; significant walking, with or without accommodationsWillingness to travel to multiple sites within the Seattle areaAbility to work flexible schedule to meet changing needs of the accountExcellent communication skills both verbal and writtenAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyMust have the ability to bend, reach, squat, push/pull a mail cart with up to a 400 lbs capacityMust possess solid PC skills in navigating Microsoft Outlook, WORD, EXCEL, and ACCESSWillingness to submit to a pre-employment drug screening and criminal background check      High school diploma or equivalent (GED) required",,0,1,0,Part-time,Entry level,High School or equivalent,Hospital & Health Care,Administrative,0
10731,Field Sales Representative,"US, FL, St. Louis",,35000-50000,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#","Title: Field Sales RepresentativeLocation: St. Louis, MOTerritory: Greater St. Louis AreaCompensation: Base Salary + Commission/Bonus*Full Benefits Offered.  Veterans Home Care, #URL_5d4f37febf1875b6f624f67a5f859a46dc98ef8f26bfbab9e31ed#PHONE_f709f5c5d679b0722df63a17d00b2c23733b0b0ca00280a7889fd815e40da83f##, is a growing company that provides and manages at-home personal care for veterans or their surviving spouses. We are currently accepting resumes for an Account Executive position located in St. Louis, Missouri.The ideal candidate must enjoy interacting with seniors and have a patient and professional demeanor. A qualified candidate is someone looking to build on their inside sales and account management skills in a position that requires attention to detail, strong time management, and excellent communication skills. Honesty, compassion and self-motivation are required for this opportunity. Proficiency in Microsoft Word and Excel is required, as well as the ability to multi-task in a fast paced and deadline oriented environment.Responsibilities of this role include, but are not limited to:- Contacting and networking with Veterans and various home health care referral sources via telephone and in-person.- Complete screening calls for all potential clients in a timely and professional manner.- Educate prospective clients on the availability of pension benefits and our VetAssist program.- Assist potential clients with the application for government benefits directly related to home health care and medical expenses. “Aid and Attendance” Benefit.- Conduct in-services semiannually for all home care agencies/referral sources.- Attending weekly, monthly, quarterly meetings/conferences to introduce the VetAssist Program and network with local professionals.- Leveraging existing CRM (Maximizer/ProspectPro) to manage sales contacts and potential referral sources.- Creating basic Excel reports and other administrative duties to ensure a fully “involved” application is complete.  ","Looking for:- Strong Communication and Phone Skills- Organized, and Detail Oriented- Interest in Sales/Marketing and Customer Service- Industry knowledge in Healthcare is preferred, but not required.- Ability to learn quickly.",Full Benefits Offered. ,0,1,1,Full-time,Associate,Unspecified,Hospital & Health Care,Sales,0
4749,Software Engineer -  Client Applications                      ,"US, FL, Tampa",Digital Pathology,,Innovative technology for digital pathology and cancer diagnostics,Key Responsibilities: Develop  upload utility and other client-side softwareSupport slide formats from various vendors,"Programming SkillsJava SE - 5C | C++ | Delphi - 5SSoftware Development Tools:IntelliJ IDEA, GitHub, Maven, Youtrack -2 Required Experience: 5+ years of developing desktop applications in Java and C++  programming languagesBeneficial Experience:Server-based Java application development;  Software Applications for Pathology, Digital Pathology; Biomedical Imaging, In-vitro Diagnostic, US Software Startup experience.Education:Bachelor Computer Science, Computer Engineering, Applied Mathematics or related.Acceptance Process:ResumeSamples of written code (reviewed by Alexei Vylegzhanin)Proficiency Tests  (reviewed by Alexei Vylegzhanin)Interviews ",,0,1,0,,,,,,0
15296,English Teacher Abroad ,"US, IA, Iowa city",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
7686,Actionscript Developer,"GR, , Athens",,,"OgilvyOne worldwide, Athens was established in 1987. The company provides Digital, CRM and Promotional services to Greek and International clients.OgilvyOne Athens has been named both Digital and Direct Agency of the Year in Greece for 2012 and for 2013. During the last 6 years, it has been the most awarded agency for each year, at Greece's annual advertising awards, winning more than 200 ""Ermis Awards"", including 14 Grand Ermis.The agency's work, has also won awards for its results, including 4 Effie Awards Hellas.OgilvyOne Athens is the most awarded Greek agency abroad, winning international awards at Cannes Lions, CLIO Awards,One Show Entertainment, the London International Awards, the New York Festivals and IAB's MIXX Awards.","OgilvyOne Worldwide, Athens seeks to recruit an Actionscript Developer to join its award winning team in producing cutting edge digital campaigns, involving microsites, multiplayer games, dynamic video solutions and interactive ads.","Solid Actionscript 3.0 programming.Experience working with JSON, Facebook API, Flash Streaming Server.Experience with digital video.Knowledge of Adobe Photoshop, HTML, JavaScript, CSS.Great sense of aesthetics and design, love for social media.Added Bonus skillsetHTML5.Mobile Applications.Starling Framework",A competitive package matching the candidate's skills and experience.Great work environment with the best local talent.Gain access to the best local and global knowledge resources &amp; training.,0,1,1,,,,,,0
11449,OUD 4: SummaView zoekt een Senior Developer,"NL, UT, Amersfoort",,,"SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert ophet snijvlak van controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over eengereedschapskist vol met kennis, extra technische ondersteuning van developers ensoftware op het gebied van business intelligence. Oplossingen die SummaView biedt zijninventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun businessintelligence-activiteiten op een hoger plan te tillen.","Bedrijfsprofiel Vi SummaVi Summa is een jong en innovatief bedrijf uit Amersfoort dat zich bezig houdt met het ontwikkelen van concepten op het gebied van verandermanagement, systeemontwikkeling en planning &amp; control. In 2012 hebben wij SummaView geïntroduceerd in de markt. Een concept voor business controllers die een passie op het gebied van Business Intelligence hebben. Vi Summa breidt uit en zoekt een Senior Developer. FunctieomschrijvingAls Senior Developer ontwikkel je nieuwe software, help je mee aan het versterken van de IT-infrastructuur van Vi Summa en adviseer je onze klanten en specialisten bij het implementeren van SummaView. Wij hechten bij het zoeken naar een oplossing voor onze klanten veel waarde aan de kracht van MS Excel en Access. Kennis en affiniteit van deze applicaties (ook VBA) is dan ook zeer gewenst. Je geeft leiding aan een junior developer.Taken en verantwoordelijkheden:Ontwikkelen van nieuwe tools;2e lijnshelpdesk-medewerker;Systeembeheer Vi SummaTesten van nieuwe applicaties;Ondersteuning bij uitvoeren projecten bij klanten;Ondersteuning bij trainingen en andere evenementen.Belangrijke pre's:Uitgebreide MS Excel- en SQL Server-kennisErvaring met werken in accountancy- of controlling-omgevingErvaring met het geven van IT-trainingenVertaalslag kunnen maken van complexe IT-vraagstukken naar pragmatische oplossingen  Jouw profiel:HBO Informatica;30-45 jaar;Passie voor het development-vak;Pro-actief, inventief en oplossingsgerichtZelfstandig en planmatig werken.Heb je vragen over de vacature of sollicitatieprocedure, neem dan contact met ons op #PHONE_6364b5f672299ec5137cb59198e0c2ec64fe3fb6ba29c2afddd2d45a1c410cf0#","MS Excel (minimaal 2010, 2013 is een pré)VBA, ADODB, VB6Database-kennis: MS Access en SQL server (SSAS, SSIS en SSRS);MS SharePoint 2013 development;Microsoft Power BI (PowerPivot en Power View)VB.NET, C# #URL_de16367b05c5ad8d662bcb494e7f33613767a6a8881ee57a6328b09d250602b9#, API#URL_ac03378093a39c1eab34caac117b06afcd907f6f8d88db40f794f3542964c10f# &amp; Web services","Wij bieden:Standplaats Amersfoort;Een aansprekende en zelfstandige functie met veel ruimte voor eigen inbreng;Marktconforme primaire en secundaire arbeidsvoorwaarden;Werken in een jong gemotiveerd, professioneel en klantgericht team;Een prettige, inspirerende werksfeer met vakmensen.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
4319,Entry Level Sales,"US, AZ, Phoenix",,55000-75000,,"General Summary: Achieves maximum sales profitability, growth and account penetration within an assigned territory and/or market segment by effectively selling the companys products and/or related services. Personally contacts and secures new business accounts/customers.CORE FUNCTIONS:•Promotes/sells/secures orders from existing and prospective customers through a relationship-based approach.•Demonstrates products and services to existing/potential customers and assists themin selecting those best suited to their needs.DETAILS OF FUNCTION:•Establishes, develops and maintains business relationships withcurrent customers and prospective customers in the assigned territory/market segment to generate new business for the organizations products/services.•Makes telephone calls and in-person visits and presentations to existing and prospective customers.•Researches sources for developing prospective customers and for information to determine their potential.•Develops clear and effective written proposals/quotations for current and prospective customers.•Expedites the resolution of customer problems and complaints.•Coordinates sales effort with marketing, sales management, accounting, logistics and technical servicegroups.•Analyzes the territory/markets potential and determines the value of existing and prospective customers value to the organization.•Creates and manages a customer value plan for existing customers highlighting profile, share and value opportunities.•Identifies advantages and compares organizations products/services.•Plans and organizes personal sales strategy by maximizing the Return on Time Investment for the territory/segment.•Supplies management with oral and written reports on customer needs, problems, interests, competitive activities, and potential for new products and services.•Keeps abreast of product applications, technical services, market conditions, competitive activities, advertising and promotional trends through the reading of pertinent literature and consulting with marketing and technical service areas.QUALIFICATIONS:Demonstrated aptitude for problem-solving; ability to determine solutions for customers (consultative sales approach). Must be results-orientated and able to work both independently and within a team environment. Must possess excellent verbal and written communication skills. Proficiency in using Microsoft Office Suite applications and contact management software. Valid drivers license.",,Great Health and DentalFast Advancement OpportunitiesGreat Income PotentialCompetitive Bonus ProgramCar Allowance,0,0,0,Full-time,Entry level,High School or equivalent,Financial Services,Sales,0
10078,Chief Development Officer,"US, CA, Los Angeles",AGENCY CONFIDENTIAL,105000-125000,"Envision Consulting conducts retained searches for nonprofit clients seeking to expand and enhance their mission through talent acquisitions. We specialize in providing solutions to not-for-profit organizations, offering coaching, planning and staffing tailored specifically for growth and impact.  Because we understand the nonprofit arena, Envision Consulting is uniquely positioned to attract and engage passionate and experienced #URL_135acbfca77647cdc1c6411a737326862613a94ee0e7607ca7fbf82ed3de56e1# can learn more about Envision Consulting at #URL_89817e06eaa567855f835d96bceed4bd74a3ce6bf209bc589b2a9b2da5422608#","ABOUT AGENCY:AGENCY is the Los Angeles chapter of a nationally prolific organization fostering leadership, citizenship and excellence in youth.RESPONSIBILITIES:The Chief Development Officer reports directly to the CEO. This position is responsible for the creation and implementation of a new development plan, as well as managing the development staff. With the support of the Board of Directors, CEO and other fundraising volunteers, the Chief Development Officer will cultivate new board leadership, build relationships with board members, and develop and identify new top-level donors in the following areas:  Development PlanCreate and implement a comprehensive fundraising plan to include annual giving, major gifts, foundation and corporation solicitation, special events, direct mail, planned giving and endowment creation.Set annual funding goals and monitor progress toward these goals. Develop and present periodic reports.Lead a small development team working with volunteers, alumni, events and foundation grants.Work closely with the CEO on leadership development, board relations and expansion, major donor solicitations and recognition, community relations, and strategic planning.Develop and implement strategies to expand support from corporate sponsors, foundation and government funding sources.Oversee the design and implementation of annual dinner and other special fundraising events.Major Gifts SolicitationDevelop, implement and oversee donor cultivation and stewardship activities.Maintain productive and respectful relationships with current donors to foster major, endowment and planned gifts.Identify, solicit and effectively steward major individual, corporate and foundation prospects.Provide timely reports on fundraising efforts to the Senior Leadership and Board of Directors.In collaboration with the nominating committee, create, cultivate and grow relationships with new and prospective Board members. Create and implement a planned giving campaign.Additional Duties/Administration: Lead Development Department to ensure continuous revenue growth while creating work plans and conducting regular staff performance evaluations.Develop deep familiarity with program specifics, including challenges and successes, andEffectively create verbal and written communication.Represent agency at local events as well as meet with key business, clergy and civic leaders to grow community engagement.Oversee staff in the area of donor data record-keeping and tracking systems to ensure institutional support, including donor contact information and gift records, research, activities and donor communications.Oversee and direct external communications and public relations.","POSITION QUALIFICATIONS:Bachelors degree required.Minimum 5 years experience in development, including at least three years managing and supervising other development staff and programs.Proven track record creating a major gifts program, including working with volunteers on the cultivation and solicitation of gifts.Demonstrated experience in personally soliciting major gifts.Candidate must be focused, strategic, energetic, and possess a dynamic leadership style to inspire and motivate volunteers, donors and staff.Ability to work well independently and collaboratively; have a high level of visual, verbal and written communication knowledge and skills, and support fellow team members in fulfilling the department's overall goals.Highly motivated, dynamic, results-oriented individual with demonstrated leadership and management skills.Knowledgeable with changing tax laws as applied to philanthropy.Flexibility to work weekends and evenings if necessary. Experience with successful social media campaigns.","Highly competitive compensation package includes salary (range $105,000 - $125,000 commensurate with experience), paid vacation and sick time, retirement contributions, medical, dental and vision coverages and additional benefits. ",0,1,1,Full-time,Executive,Bachelor's Degree,Nonprofit Organization Management,Business Development,0
9122,Graduates: English Teacher Abroad (Conversational),"US, NC, Durham",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Excellent for student loans/credit cardsHousing provided (Furnished/Private)Airfare ReimbursedRemind us when you wanted to start when applying :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
11661,"Full-Time Caregiver (Position B) for a Shy, Sweet Young Woman","US, OR, Lake Oswego",,,"United Cerebral Palsy of Oregon &amp; SW Washington (UCP) supports adults with all kinds of developmental disabilities (not just cerebral palsy), so that they can live the life of their dreams.We're growing, so you will see lots of exciting job openings in the next few months!WHY WORK FOR UCP?As an employee of UCP, you'll be proud of the work you do, every day! Our employees assist the people we support to live independently in their own homes and apartments, find and keep their dream jobs, make community connections, serve as their own advocates, and make their own choices. You'll get the chance to work for one of the 100 Best Non-Profit Employers in Oregon. We're fun and flexible, and there's plenty of room for advancement and growth.","Are you looking for something a little different? Would you like a full-time job you can be proud of? Would you like lots of time off each week, to pursue school or your other interests?Then United Cerebral Palsy might have the perfect job for you!UCP is currently seeking a full-time caregivers (Personal Assistant) for a young woman who experiences autism. She lives with her mother in a beautiful apartment in Lake Oswego, and is shy, intuitive and sweet. She does most tasks independently, but needs occasional prompts or assistance.  She is looking forward to hiring someone who is attentive, calm and who will enjoy spending quiet time with her.  Female applicants only, please.This is the first time she has received services like this, so its an exciting opportunity for you to join a new team and help design creative and empowering supports.Note that there are two other Personal Assistant positions working with her during different hours; you can view all three positions here: http://united-cerebral-palsy-of-oregon-sw-#URL_c600e7b2890ebf7ac205616ddd59bff5d980dd3b371b760823ef68299add7351# TASKS:Plan daily outings into the community, such as eating out or shopping at the local mall.Build a relationship as you do fun activities at home, such as doing puzzles or playing Mahjong, beading, and watching Jimmy Fallon on TV.Assist her to shower.Assist her to communicate, since she doesnt use words.Keep her part of the apartment tidy and neat. HOURS FOR POSITION B (38.5 HOURS PER WEEK):Thursday, 7:30am to 10pm.Friday, 7:30am to 7:30am on Saturday.Your regular hours on agency holidays; if you arent needed on a particular holiday, you will be paid anyway! TO APPLY:To apply to Position B: Send a resume and cover letter via this webpage. During the process, you will be able to specify if you wish to apply for the other opening(s) with her as well.No calls, please. ABOUT UCP:United Cerebral Palsy of Oregon &amp; SW Washington (UCP) supports adults with all kinds of developmental disabilities, so that they can live and work independently, as well as engage in the meaningful activities of their choice.We were recently selected as one of the 100 Best Non-Profit Employers in Oregon.UCP is an equal opportunity employer, and actively pursues applicants from diverse backgrounds.Find out more about us at #URL_2b35257099321dacb52af707d4a6bdf5dd187d81d6bfd24398f0e5b68a6727a7#.","Be able to drive on the job—this means that you are least 21 years old (a requirement of our auto insurance program), and have a reliable car, a valid drivers license, at least 3 years of driving experience, and a good driving record.High school diploma or GED.Must pass a physical with a lifting test of 75lbs, drug screen, reference check, and criminal history background check.Experience in developmental disability field preferred but not required.","$9.47/hour, with 5% increase to $9.95/hour after successful 90-day Trial Service Period.Excellent and reasonably priced medical benefits for employee, spouse/domestic partner and dependents. Includes medical, vision and alternative coverage.Generous paid time off.Holiday pay (double pay for up to 10 hours per holiday shift worked).401k plan with up to 3% company match.Paid mileage.On-going and fully paid training.",0,1,1,Full-time,Entry level,High School or equivalent,Civic & Social Organization,Health Care Provider,0
13429,Mobile Applications Engineer,"GR, I, Athens",,,"Threenitas S.A. is a digital media engineering company based in Athens, Greece.We work directly with brands and their agencies to plan, design, and implement complete digital media solutions. We build on top of our knowledge of digital media and our solid engineering background, to realize our clients' vision and meet their practical goals.Our strength lies in our ability to apply what we know and our eagerness to learn what we havent yet practiced. We love technology, gadgets and services, and we rejoice in putting them to the best of use for our clients projects. We move across the digital divide boundary. Our technical expertise supplements our creative thinking, and acts as an amplifying factor, that supports successful delivery of our client's message. Our goal is to build projects that provide the ultimate digital experience for our audiences.","We're looking for people interested in building amazing native iOS, Android and Windows Phone smartphone and tablet applications, and implement features that change the way customers interact with their mobile device.As a Mobile Engineer at Threenitas S.A., you will join an engineering team dedicated in designing, implementing, and delivering amazing products directly to thousands of customers. You will be involved in making important decisions about our products and infrastructure, that directly impacts how our business grows. We are committed to delivering a superb user experience and are just scratching the surface!ResponsibilitiesDepending on the mobile platform on which you will work, you will have the following responsibilitiesDeliver exceptional mobile user experiences by touching code at every level  from views and animations to networking operations and unit testProvide thought leadership and set architectural direction for the iOS, Android or Windows Phone platformWork cross-functionally to review product requirement documents and produce technical specificationsDesign, implement, document, test, and tune application code in Objective-C, Java or C#, targeted at the iOS, Android or Windows Phone mobile platform respectivelyThe EnvironmentAll of our team are passionate about technology, we love exploring new technology and staying on the cutting edge. The successful candidate should be interested in technology and demonstrate a keen interest in programming.You will be encouraged to expand on ideas, play around with new technologies, develop yourself as a programmer and develop fun applications that will be used by many. ","Essential SkillsAny successful candidate should meet the following requirements:BS/MS/PhD degree in Computer Science or equivalentFull lifecycle mobile development experienceExpert level understanding of the Objective-C, Java or .NET framework development stackFamiliarity with Object-Oriented design patterns and how they are used in software designPassion for developing great mobile products end-to-endThe successful candidate will communicate well, be committed to delivering quality work on an aggressive schedule, and must be able to function independently as well as part of a team. He must document code faithfully and take good coding practices seriously. Desired SkillsThe following skills are not a pre-requisite for the position, but they will be greatly appreciated: Strong foundation in computer scienceStrong competencies in data structures, algorithms, and software design optimized for embedded systemsKnowledge of Mobile (iOS/Android or Windows Phone) UI frameworks ",Competitive salary and percs dependent on skills,0,1,1,Full-time,Associate,Professional,Computer Software,Engineering,0
16811,Inside Telesales Specialist,"US, WA, Spokane",,20000-21000,,"Inside Telesales SpecialistNow Hiring Inside Telesales Specialist for one of our client in Washington.Job Role: Inside Telesales SpecialistJob Function: TelesalesJob Type: Full TimeLocation: WashingtonSkills and Experience Required/Preferred:Minimum one to three years of sales and customer service experience.Experience with Multi-TaskingMust be an Optimist, Enthusiastic and Possess ProfessionalismVery Strong Communication Skills and Willingness to learnShould have basic PC skills such as proficiency with Microsoft Office Suite, with an emphasis on Excel and WordHave an understanding of office supplies industryFluent in English both written and verbal. Secondary languages, Spanish and French are nice to haveB2B, outside, or supply sales experience a plusThe CompensationExcellent Base Salary + Full BenefitsNote: For U.S. Citizens / Green Card / EAD (Requires no Sponsorship) Only.Interested Sales Professionals please apply with your updated profile.",,,0,0,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
7090,Material Engineers  Specialists,"US, TX, Houston",Oil and Gas,140000-150000,"Middle East Recruitment is a specialized recruitment and consulting agency. Established since 1997 founded by professionals that understand the need for exceptional people in the corporate world, with headquarters in London. Middle East Recruitment has become successful in providing the best talent and consulting services to an array of industry sectors.","Major Oil &amp; Gas Company in the Kingdom of Saudi Arabia is seeking highly skilled Material Engineers  Specialists, to work in their company, throughout KSA. UP to $156000 USD Tax Free. Looking for experienced Material Engineers  SpecialistsThe assignment will initially be on a year contract basis, with a view to being extended thereafter.Interview workshops will take place in:      USA (HOUSTON)               8 JUN, 2014Please Note: Interviews can only be conducted face to face NO phone or Skype Interview.If an applicant is selected to attend to the interview will be reimbursed for the travel and hotel cost for up to $1000 on the day of the interview regardless if you get the job or not.Deadline of submission 8 MAY, 2014 This is a Face to Face interview onlyPlease apply only if you can attend to the interview on the above location. As there will be No phone or Skype interviews. Many thanks and wish you all the best.","BS degree in engineering with minimum 6 years experience ORB. Tech engineering with minimum 8 +years experience ORHigh School Diploma with minimum 10+ years experience.Experience in one of the following:  construction design or project planning, materials procurement, materials control or materials scheduling/forecasting.Construction experience and/or preferredPetrochemical experience and/orOil and gas experience preferred","Salary up to total $156k, inclusive of allowances Tax Free - Accommodation- 3 leave a year with free air-tickets- Free medical care/medical insurance- 1 year contract renewable with merit increase- Long term employment opportunity- Car provided- Tax free",0,1,1,Full-time,Mid-Senior level,High School or equivalent,Oil & Energy,Engineering,0
5193,HGV Class 1 - Trunk Driver,"GB, , ",,,"With our head office in Radstock the Unique Group cover Recruitment, Security, Training, Facilities Management &amp; Franchising.","We urgently require both Day and Night Trunkers running out of Avonmouth. Regular ongoing work with weekday and weekend shifts available.To be concidered for these positions you must:Have less than 6 points on your license for minor offences.No DD, DR or IN penalties.Your own ppe.Be available to start in the next 2 weeks.In return we can offer good rates of pay, regular work and immediate starts.Contact Jamie or Stephen now on #PHONE_17dcb01fc4eddf397af681463f97caff8a66d98b446bcf0bbb15a67556a2b23f#.",HGV/LGV Class 1 license.Digi Tacho.,Regular ongoing work.Various start times.Good rates of pay.,0,1,1,,,,,,0
17445,Structural CAD Designer - 3D modeling,"GR, I, Kalivia Thorikou",design,,,"Structural CAD Designer - 3D modeling, CAD Drawing, rendering, We are in need of a CAD Designer. Structural Designer needed to assist the cnc operator with CAD drawings &amp; production specs. The qualified candidate will provide original CAD drawings, Modify Existing CAD drawings and create CAD drawings from standards using ArtiosCAD. Provide CAD drawings, die-lines, specs and sketches/layouts for estimating and pre-production for Visual Merchandising, Retail Signage, POP Displays and Packaging."," -Minimum of 1 year experience in structural design -Minimum of 1 year experience Using rhino (3D modeling)-Good knowledge and understanding of graphic, printing techniques-Team player with a positive attitude, strong verbal and written communication skills, and excellent interpersonal skills-Willing to seek help and ask questions when needed and must be able to communicate professionally during stressful situations, resolving problems effectively and positively-Able to follow directions, manage multiple tasks, meet tight deadlines, and respond to urgent requests in a positive manner while maintaining high standards-Good organizational skills, accuracy, and attention to detail-Capable of following instruction and taking constructive design feedbackPreferred skills: -College degree in Structural Design, Package Engineering/Packaging Science or Industrial Design from an accredited institutionExperience using any of the following 3D Programs: rhinoceros 3D, Cinema 4D,  and understanding of 3D Modeling/Rendering in the Visual Marketing, POP, POS Markets",#NAME?,0,1,1,Full-time,Not Applicable,Unspecified,Furniture,,0
13078,Senior Backend API/ Web Services Engineer,"US, CA, San Francisco",Engineering,,,"At Shyp we're using technology to change the way everyone sends things around the world.Our platform is fantastically unique in that it supports a thoughtful consumer product, at the same time that it manages our fleet in realtime and serves as the backbone for our high-paced package processing pipeline. When you put it all together the experience is something that the New York Times calls ""magical."" Now, we're taking it to the next level as we begin city expansion as well as extend our product offerings.The ideal person is a hands-on leader with phenomenal technical skills, passion for micro-service architecture, RESTful APIs, continuos improvement and most importantly, quality of developer culture. Having a proven track record contributing to a product that has seen significant utilization is a must. In addition, real experience guiding a team successfully to simple and elegant solutions for systems and processes that have complex moving parts is key.We are still a small team and the opportunity to take on a big role and have huge impact is very real. Our culture is collaborative, focused and fast-paced. We have seen run away success through with an absolutely incredible team that makes it look easy. The truth on the ground is that progress has come from relentless passion, unparalleled skill and unwavering thoughtfulness about the customers experience. If you're the best at what you do and resonate with our mission, we'd love to have you join us","5+ years of experience working large scale applicationsWorked on collaborative software projectsProficient in several programing languagesStrong experience building RESTful web servicesDeep knowlege of several datastore technologies and paradigmsLots of experience writing asynchronous codeAble to utilize version control while sleepingReligious about writing unit tests and testable codeExcellent problem solving, critical thinking and communication skills","Whichever computer setup you want  macbook air or pro/ with cinema displayThe best medical, dental and vision you can get  Shyp pays 95%Standing desk  If that's what you're intoBreakfast, lunch and dinner anytime you are working  7 days a week$400 flight credit a year  we think people should get awayNew iPhone/Android  we'll cover the phone billAwesome team building activities 75% of a Gym membership  up to $100",0,0,1,Full-time,Mid-Senior level,Bachelor's Degree,Logistics and Supply Chain,Engineering,0
7733,QA Intern,"US, CA, San Francisco",,,"AGOGO creates a personalized audio channel by bringing together your favorite programming -- news, entertainment, sports, and more -- with your premium music services, personalized traffic, audio books, video programming, and much more. Curated from the freshest, most trusted sources, AGOGO helps you make the most out of every waking moment.AGOGO is looking for people who are media aware, know technology inside and out, who are ambitious, a team player, and looking to grow with a company.","About AGOGOAGOGO is a personalized audio service that brings together your favorite programming -- news, entertainment, sports, and more -- with your premium music services, personalized traffic, audio books, video programming, and much more. Curated from the freshest, most trusted sources, AGOGO helps you make the most out of every moment, by channeling your world.You AreWe are looking for an organized and detail oriented person who is technically savvy to be our Quality Assurance Intern. You will be helping the team ensure the quality of all public facing products and any internal tools. The ideal candidate is eager to learn and grow with the position.What You'll Be DoingWorking 20-30 hours per weekConstantly scheming on the best ways to test our iPhone app, web page and internal toolsBeta testing top secret projectsCompatibility testing our app on different iPhone and iPad modelsUsing our website across various browsers to verify that all functionality and display is consistentTesting various internal toolsThe Ideal CandidateTony Robbins with a dash of Hemmingway - Communicates well both in writing and in person.#URL_7fdec7ecba401bb547342d133a6f556a36dd14329e88ed18be9555bdf69e9388# - super organizedVelma Dinkley - detail orientedr/gadgets - familiar with web and mobile technologiesHas some Quality Assurance experienceIf you are interested, click 'Apply for this job' below!",,,0,1,0,Part-time,Entry level,,,,0
361,Freelance writer,"US, DC, Washington",,,"Founded in 1999, SmartBrief is the leader in customized e-mail news services and reaches top-flight executives in a variety of markets, including advertising, retail, hospitality, telecom, health care and consumer packaged goods. We're expanding rapidly and looking for exceptional, motivated individuals to join our team. At SmartBrief, employees enjoy an entrepreneurial work environment with accelerated advancement opportunities. SmartBrief is proud to be an equal opportunity employer. ","SmartBrief seeks freelance writers for morning shiftsCan you condense a complex article in two sentences? Can you meet multiple daily deadlines? SmartBrief is seeking freelance writers to help create daily e-mail newsletters across multiple industries, including media, health care, education, energy and food &amp; beverage. If you're available overnight or earlier than 5 a.m., we view that as a bonus and may have even more opportunities for you. These positions are for about 2-4 hours of freelance writing each weekday. What we're looking for: someone who thrives in a fast-paced, deadline-intensive, newsroom-style work environment. The ideal candidate has worked as a writer or editor for a newspaper, trade journal or industry publication with multiple daily deadlines. Our briefs summarize the top stories of the day for dozens of industry associations, and our freelancers work with our editors to shape that content. You will not be reporting or writing long-form articles in this position! Applicants will be asked to complete a timed writing test. This position is a telecommuting position. Job Duties:·       Search the Web to locate news articles and other information sources of interest to senior executives. ·       Select and summarize online articles, studies and other information for use in e-mail publications. ·       Use online publishing tools to create e-mail newsletters and other content.",Required Skills &amp; Experience:·       Proven writing skills. (A timed test is given) ·       Ability to write quickly and accurately on deadline. ·       Sophisticated understanding of how to find news and information on the Web. ·       Knowledge of Associated Press style. ·       Facility for using online publishing tools. ·       Strong interpersonal and communications skills. ·       Proven record of sharing responsibilities and managing multiple projects/deadlines simultaneously. ,,1,0,0,Part-time,,,,,0
13381,Medical Recruitment Consultant X 2,"AU, NSW, Sydney",,75000-100000,"HealthcareLink is fast growing; an Australian online company founded to address the strategic recruiting needs and challenges of the healthcare industryOur unique online solution is a platform, was created to eliminate the challenges faced by many  Australian healthcare employers  and employees in both sourcing quality candidates and finding suitable job opportunity.HealthcareLink is fast growing Australian online company and is the country's only job board &amp; matching platform exclusively dedicated to medical &amp; healthcare staffing provides cost-effective and productive sourcing solutions for healthcare employers.   We are becoming the leading online recruiting resource for healthcare professionals by delivering exceptional service through an intuitive online recruitment platform specialised and built explicitly for the healthcare industry.","Medical recruiters are valuable partners for understaffed medical / allied health practitioners and hospitals who don't have time to find much-needed health care professionals qualified to fill the empty positions on their staff. HealthcareLink currently has exciting new opportunities for motivated medical recruitment specialists.We are growing our business and seeking only the most motivated individuals, plus we offer a great environment to enjoy your work.Trusted brandPremium support systems and servicesFlexibility of working from anywhere / cloud based systemsUncapped earnings - think big, earn big!Access to great Healthcare Recruitment NetworkWe're working to connect healthcare employers and job seekers in a unique way and we want you to be a participant in this exciting opportunity. Our culture is entrepreneurial - we recognize that everyone is an individual with their own great ideas. We'll work to help you leverage those ideas and maximize your earning potential.The RoleIn this role, you will be responsible for building and developing relationships within your specialist healthcare market. You will manage the business development, recruitment, placement, and retention activity to meet the workforce needs of healthcare businesses with locum, contract, and permanent capabilities.As a healthcare recruiter for HealthcareLink, you will work with businesses like medical practices, emergency care facilities, and hospitals to:Identify new business opportunities and areas of growth in the healthcare sector in your specialist healthcare marketManage end-to-end recruitment including meeting with clients - taking briefs, creating and managing their candidate needs, and managing their online company profilesDevelop and implement initiatives to find healthcare professionals that serve to grow their businessAbout Us#URL_d0d0eab6da3c6c699c804ca369a4150957781643473e4b020c815ffc50f63f16# is a company founded on the belief that the recruiting needs of healthcare is underserved. #URL_0a7858cf886a3434289a83e491e09982bb5eb75c79801b8a3baef9fab98842b0# is a specialise in the field of health care recruitment. We offer a unique solution for healthcare employers and job seekers and our team is 100% focused on ensuring high-quality customer satisfaction.","Skills and ExperienceWe want to work with committed, self-motivated, and energized people who deliver value in everything they do because they know that's what works. The ideal candidate will have:At least 2 years recruitment consulting experience in the healthcare industryor 2 years or more on business-to-business sales experiencesGood understanding of how the healthcare industry worksA strong desire to create deals and close salesAn excellent of decision-makers in the healthcare industry","Benefits and Pay$75-100K with additional high commission rates. Future Company Partnership option also available.If you are looking for a position with an industry-leading company and no commission limits, this is the position for you. This is a great opportunity for those who want to work on their own schedule, connecting with healthcare providers, and helping them solve their job recruiting needs.",0,1,0,Full-time,Mid-Senior level,Unspecified,Human Resources,Human Resources,0
14783,Hiring Fire Safety Directors for Midtown Hotels,"US, NY, New York",,,,"IPS is a rapidly growing security firm based in the NY-metro area currently seeking fire safety directors to join our team and grow with us. With IPS, you will have the opportunity to put your security expertise to work in a stimulating environment and interact with team members of all levels and with people of all backgrounds. Get noticed for your efforts; there is potential for growth and advancement within our organization.Because we are selective with all our candidates, expect to work with a great team of qualified individuals. We seek candidates with exceptional customer service skills that demonstrate a professional demeanor. These qualities make for a pleasurable environment for both clients and fellow team members.For more information about our organization, visit: #URL_db634a9e863112268d3980baba7347ee5175efef15e311b5b3ee784d13d2f5b6#.All applicants must meet the requirements listed below to be considered for this position. Hospitality security training will be provided for hired candidates. There are absolutelyNO FEES associated with this job opportunity.THOSE WITHOUT QUALIFICATIONS SHOULD NOT APPLY.COMPENSATION: $17/hr for F-58 / $15/hr for Z-50____________________________________________________________________________________________________________After your resume is submitted, it will be reviewed to ensure that the requirements stated above are met, and you will be contacted via phone and/or email. Please provide valid contact information and the best time to reach you. Scheduled interviews will be held in midtown.Thank you!",Must be 21 or overHigh school diploma or G.E.D.F-58 FSD LicenseOR Z-50 Certificate w/ 5 years security experienceValid New York Security Guard LicenseExcellent customer service skillsProfessional demeanorMaintain company's professional standards,Paid days off for all employees working full time for 1 year.,0,0,0,Full-time,Not Applicable,High School or equivalent,Security and Investigations,,0
8219,Project / Program Manager,"NZ, N, Auckland",PMO002,,"PowerbyProxi and its customers are leading a revolution to deliver unplugged power. We have changed the way electricity is delivered in hostile industrial environments. We are now also developing wireless charging technology for consumer electronics and have an impressive portfolio of clients consisting of electronics heavyweights from around the world. PowerbyProxi was spun out of The University of Auckland in 2007 to commercialize cutting edge research undertaken by its internationally acclaimed scientists and engineers. As a pioneer in the design and development of turnkey wireless power solutions, PowerbyProxi eliminates the need for a physical or frictional power connection. We provide our customers with unplugged solutions that solve continuity of delivery and maintenance pain points, create unique competitive advantages, deliver significant cost savings and secure product design wins.","PowerbyProxi and its customers are leading a revolution to deliver unplugged power.  PowerbyProxi was spun out of The University of Auckland in 2007 to commercialize cutting edge research undertaken by its internationally acclaimed scientists and engineers. We have changed the way electricity is delivered in hostile industrial environments. These hostile conditions are the result of wet and dirty environments and in moving applications where power cables and physical connectors are problematic, expensive and unreliable. We are now also developing wireless charging technology for consumer electronics and have an impressive portfolio of clients consisting of electronics heavyweights from around the world.  As a pioneer in the design and development of turnkey wireless power solutions, PowerbyProxi eliminates the need for a physical or frictional power connection. We provide our customers with unplugged solutions that solve continuity of delivery and maintenance pain points, create unique competitive advantages, deliver significant cost savings and secure product design wins.",,"This is an opportunity to work with one of the most exciting high tech companies globally that is turning science fiction into an accessible technology. We hire the best in the wireless power technology field globally. If you are someone highly motivated in developing your career in the power electronics industry and wish to become a subject matter expert in this field, this is a great opportunity for you to advance your career. Our work culture is collegial, collaborative and we truly celebrate success. Our growing Production Operations team has access to some of the most advance tools required to carry out the work  To apply, please submit your application with a copy of your resume by clicking on ""Apply"" below.",0,1,0,,,,,,0
4525,Senior Developer at Growth Intelligence,"GB, LND, London",,,"Growth Intelligence is a pioneer of nowcasting: tracking the finances of millions of companies at the same time using real-time signals and advanced machine-learning. In the past, companies had to rely on old legal filings or previous credit ratings for intelligence. With Growth Intelligence, our clients can get up-to-the-minute information on the sales and performance of millions of companies. Our clients use this to find new clients, monitor a portfolio and track market changes in real-time.Growth Intelligence is a fast-growing startup based in the prestigious Level 42 Technology Accelerator in Canary Wharf ","What we doGrowth Intelligence is a pioneer of nowcasting: tracking the performance and activity of millions of companies at the same time using real-time signals and advanced machine-learning. In the past, companies had to rely on old legal filings or previous credit ratings for intelligence. With Growth Intelligence, our clients can get up-to-the-minute information on the sales and performance of millions of companies to drive their business development and marketing activities.Were looking for an experienced developer to help us build our products, process and classify our increasingly extensive collections of data, and scale up our systems. This is a full time position based in London.What youll doCollect and process data from a lot of sources (public and private)Munge, analyse, model and extract useful business intelligence from all this data to present in a useful format to our customersHelp us scale our product to work with more data and handle more users","What you'll need2 years commercial experience in a development team delivering to clients working in Python (ideally) or one of either Java, C++, C#Experience working with MySQLExperience working on LinuxFluent or native English speaker with great communication skills We'd also likeExperience with JavaScriptFamiliarity with Agile development methodologiesA little understanding of machine learning, statistical analysis or natural language processing We are not blind to qualifications, but communication and attitude are equally important.","What we offerWorking in a motivated, fast moving team: we have plenty of interesting challenges.Regular salary reviewsOpportunity to participate at relevant conferences/events (e.g.. Pydata) Team dinners and activities, frequent team events/beer and birthday cakes!",0,1,1,,Mid-Senior level,,,,0
13135,Branch Manager,"US, CA, Los Angeles",,,,"Branch Manager / Sales and Operations GMAuto-Chlor Systems - Los Angeles, CAAuto-Chlor System Is Searching for a Branch Sales and Service Management Leader- Branch ManagerIn this General Manager position you will be a driving force of our future success!We are a fast paced and growing service and sales company in the exciting restaurant and food service industry. Our success is attributed to our ability to hire and retain top caliber management and service talent. Branch sales and service managers lead a team that provides the highest quality service and products for our clients. Our management teams and service staff achieve the highest levels of growth in our industry each year by creating a competitive winning environment.We hire motivated individuals who are looking for a long term management careers where promotion, reward and recognition are achieved through competitive initiative, leadership and individual contribution within a team.Auto-Chlor has been in business for over 75 years increasing revenue every single year. The company is founded and run on best practices creating an environment that allows Managers to lead winning teams every day and every year.As a Sales and Service Manager you will lead a team of Outside Sales people who hunt down new business, a team of Sales and Service Technicians who manage your customer accounts driving new revenue in your existing client base and will manage the operations that produce the products you sell. Auto-Chlor Branches work together regionally to dominate their markets. Each branch is fully self-contained to sell, deliver and execute the services they provide creating a dynamic environment for Branch Managers.Branch Manager compensation includes a base salary $65-80k, generous uncapped bonus structure and a company vehicle. You do need to have a valid driver's license with good driving record.Branch Manager benefits package includes medical, dental, profit sharing, 401k, savings plan and much more.If you are interested in moving your management career forward as a Branch sales and Service Manager keep reading or apply by responding to this post.1. The Branch Manager is responsible for all branch activities. This includes PL responsibility, personnel decisions, training, executing of business operations and sales initiatives. All business decisions for this business unit are made by the Branch Manager.2. As a Branch manager you will play an essential role in providing exceptional service, great work environment for our employees, environmental leadership and positive partnership for our customers working hands-on in the field with our customers and employees.3. As a Branch manager you will lead other sales and service professionals to achieve growth in number of customer's served, increased sales and profitability of existing account base and development and training of staff to reach these goals.4. All of our Sales and Operations managers have the unique opportunity to go through a highly interactive, in field and classroom manager training program focused on improving your skills in dealing with all aspects of business operations leadership including hiring and firing, customer issues, equipment repair and service, new sales and collections.5. Our Sales and Operations managers move quick, think fast and hone your skills in negotiation, objection resolution, problem solving in selling and servicing customers in a highly demanding, diverse and exciting market place.6. As an Operations manager attention to detail, sense of urgency and entrepreneurial spirit are crucial to your success as you work to improve the strongest, most professional cutting edge service and sales team in the industry.Successful Sales managers demonstrate the ability to build sales, attract, develop and retain top talent, build employee loyalty, set expectations, hold team members accountable and motivate their team to succeed. Just as important though, is your commitment and drive to be the best manager, motivation to excel and desire to never stop learning and servicing the customer. We highly value attributes and qualities that result in long term success.Auto-Chlor is an Equal Opportunity Employer committed to a diverse workforce. M/F/D/V ",,,0,0,1,Full-time,Mid-Senior level,Unspecified,Business Supplies and Equipment,Management,0
5864,Director of Engineering (Remote),"US, , ",Engineering,,"InVision is a venture-backed startup working to create the world's best design communication and collaboration platform.We're passionate about finding ways to improve how companies think about their design process. That's why designers at so many of the worlds most beloved startups, agencies and corporations use InVision every day.","InVision is the worlds leading design collaboration platform.We enable companies of all sizes to discover the power of design-driven product development.  Thats why designers, product managers, marketers and other stakeholders at so many of the world's most loved designers, agencies, &amp; corporations use InVision every day, including Zappos, Evernote, AirBnB, and Yammer.Built to foster collaboration and iteration, InVision helps our clients design, review and user-test a product before writing a single line of code, with tools for design prototyping, feedback, task management and version control.Were well-funded and venture-backed by prominent investors including FirstMark Capital and Tiger Global Management.We're looking for a Director of Engineering who can drive our engineering team and scale in step with our fast-growing user-base while responding efficiently and effectively to their needs.Your responsibilities will include...Managing the Engineering Team (70%)Owning and optimizing our development processRunning weekly sprint planning and daily stand-up meetingsPrioritizing development projects with an eye towards business objectivesTracking developer progress and teaming with developers to unblock bottlenecksCode reviewsManaging Quality Assurance (10%)Implementing more rigorous Q/A processesMaking sure that code is thoroughly tested before stakeholder review and launchHelping Out With Human Resources (10%)Finding engineering talentInterviewing applicantsHelping new developers get setup for remote workTechnical Operations (10%)Keeping our servers running wellHelping scale servers in response to growthLiaising with our hosting provider and outside consultants If you'd take pride in creating a well-oiled machine that fuels the creative process of some of the world's most trusted brands, let us know.",Experience leading development teams of 5 to 10 developersExperience with Scrum or similar agile development methodologiesStrong business judgment and the ability to understand our product objectives quickly and deeplyObsessively thorough eye for detailWorking knowledge of ColdFusion &amp; JavaScript a plus,Highly competitive salaryStock optionsPremium health coverage  Macbook AirMembership at health club of your choiceUnlimited books from AmazonUnlimited Starbucks cardHalf-day Fridays!,1,1,1,Full-time,Director,Bachelor's Degree,Internet,Engineering,0
14824,Buyer,"US, OH, Cleveland",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Buyer :Job Description:-Market focus and strategyUnderstand the customer aspirations, customer profile and emerging needsUnderstand the linkage between the customer requirements and the designs in terms of fabric, style, patterns and colorsAnalyze the trends prevailing in the international market and forecast for the season to develop brandsTranslate the customer requirements into actual products by briefing the designers and motivating the designers to come with the right outputPerform gap analysis post launch and make appropriate correctionsProduct PlanningIdentify and quantify the available market opportunityPlan and finalize the sales, margin and inventory for the ConceptWork with the Marketing team for product placements to ensure the next season trends and style are well captured and presentedWork with retail team on new product launches, additional space requirements and additional fixturesBrief VM on trends &amp; review the Plano gram to present the right look for the productConstantly improve the standards of presentationPeople ManagementBuild, coach, develop and motivate the team to meet and exceed performance expectationsGroom the next level on product related issues, attention to detail and product designMinimum experience:Between five and eight yearsEducation:Compulsory Education",,,0,0,0,Full-time,,,Marketing and Advertising,,0
14474,Photonic Integrated Circuits,"US, MA, Cambridge",EC,,"MERL's internship program gives students excellent opportunities to work in an industrial research lab environment side-by-side with world-class researchers.A primary intent of the program is to provide interns with experience that could help them enhance and accelerate their professional career, while also contributing to new or ongoing initiatives at MERL. Interns will be exposed to relevant industrial problems ranging from speculative and exploratory research to more practical engineering tasks. We hope that all interns have a chance to become familiar with our organization and the open research culture at MERL, produce publishable work, and develop an appreciation for how breakthrough research makes an impact on future products.MERL considers graduate students from all over the world. As many of our projects benefit from specialized knowledge in a given field, graduate students pursuing a Ph.D. typically fill the majority of internship openings.The duration of a typical internship varies from 3 months to 1 year, with the majority of interns being employed during the summer months. As the summer is a very busy time, we encourage applications for non-summer internships and also prefer early applications for summer internships. Hiring decisions for the summer are typically made around February/March to allow enough time for any necessary paperwork (such as visa applications or other work eligibility forms) to be completed.","MERL is seeking a highly motivated, qualified individual to join our internship program and conduct research in the area of optical devices for communications. The ideal candidate should have a strong background in the simulation and design of active and passive devices for optical communication, as well as simulation code programming. Experience in Matlab, C++, FEM, BPM, FDTD, FIMMWAVE, PIC simulator, VPI, JePPIX, ePIXfab, optimization algorithms, and optical device fabrication/measurements would be considered an asset. Candidates who hold a Ph.D. or in their senior years of a Ph.D. program are encouraged to apply.Research Area: Electronics &amp; CommunicationsContact: Keisuke Kojima",,,0,1,1,,,,,,0
8260,"Materials Manager - SAP data analysis - Greenwood, MS","US, AZ, Phoenix",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Managing the Materials Department including purchasing, receiving, material forecasting, material inventory and inventory storage;","Materials Manager - SAP data analysis - Greenwood, MS:Managing the Materials Department including purchasing, receiving, material forecasting, material inventory and inventory storage;EDUCATION: 4-year degree required; Business, Management or Technical disciplines preferred.REQUIRED SKILLS:5+ years of materials management experience;ORStrong systems experience as Buyer, Purchasing, Planner, etc., with willingness to be trained in the Materials Manager position;SAP experience is a plus.Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Management Consulting,Management,0
7544,Customer Success Manager,"DE, BE, Berlin",Customer Success,,,"Contentful (#URL_0252efddcbc4b8f51969fca7b054595976ea4678fd880b3653151e618d447b33#) is an API-first content management platform for web and mobile applications and the first cloud-based service to allow content to be distributed across any device, application or platform, with one single input.Content is managed in a completely presentation-independent format and can be served to all current and future platforms, including iOS, Android, Google Glass or interactive JavaScript apps.We are still early stage but showed significant traction and have paying customers. We are funded by the worlds leading VCs, Benchmarks European sister Balderton (MySQL, Redhat, Twitter, New Relic, Dropbox) and SaaS expert Point Nine Capital (ZenDesk, Unbounce, Server Density). Our advisory board includes key personalities from the BBC, Apple, Rovio, Symantec as well as thought leaders in the Web and Content Management space.As the Customer Success Manager you will be responsible for proactively engaging with customers during on-boarding and spur usage in early stages to get the customer to first value as well as a successful implementation. You will then work with these accounts post-sales to drive adoption and ensure a high retention.You will be the voice of the customer within Contentful, identify shortcomings in our on-boarding process as well as the product and communicate opportunities for enhancement with all relevant stakeholders in sales, marketing, product and engineering to remove them.","You are a digital native, with a technical understanding of Web technologies (HTML, CSS, JS, APIs) to understand both Contentfuls product and our target customers, to then help our customers to successfully use our product.You love working with people, enjoy building relationships and understand the value of nurturing them.Excellent English communication skills, verbally and written (German not required)You are an analytical thinker. You dominate Excel and analytics tools to measure how customers behave and where we can improve our product and process.You get stuff done. We are looking for someone we can trust to do a good job without much handholding - you should enjoy responsibility and have your own ideas on how to mitigate churn and drive user adoption.Familiarity with Totango, Zendesk and Salesforce is a plus","The Web is changing and becoming more interactive, be part of this revolution and work with cutting-edge technologies on the future of the Web. Team up with our international group of experts. Work with our customers, some of the hottest international startups and global enterprises. Learn from our amazing investors and advisors.Be in a fast-paced environment in a company thats growing quickly. Garner responsibility and make a significant impact, instead of being just another cog in a machine.Pick your own equipment to get the best out of your working days.A fun workplace right in the heart of Berlin.Competitive salary and stock options.",0,1,1,Full-time,,,Internet,,0
2092,Attorney,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company, an industry leader in indirect consumer financing is actively seeking an Attorney to join our growing team! Tidewater Finance Company was established for the initial purpose of purchasing and servicing retail installment contracts. The company currently provides financing for two lines of business: Retail Consumer Goods and Vehicles. This position requires an individual with an in depth knowledge of legal issues, laws and regulations impacting the consumer finance industry. Responsibilities include:Negotiate, write and execute agreements and contractsAdvise executives on contract status, legal risks and business terms of various dealsEnsure Tidewaters responsibilities are integrated into its policies, procedures, systems and business processes; review operations to ensure the responsibilities are carried out; ensure that appropriate corrective action is taken with respect to deficiencies or violationsProvide support to General Counsel Office with research and advice concerning the formulation, interpretation and administration of corporate policies and proceduresAssist with regulatory compliance issues including representing entity before government agencies including assistance with audit responsesProvide proactive services, including researching and organizing relevant statutes and case materials, and reviewing relevant articles and cases cited in industry publicationsResearch unique legal issues impacting the organization by identifying applicable statutes, judicial decisions and codes Required Education, Experience and SkillsBachelors Degree in business, finance, accounting, law, related field or equivalent experience; Juris DoctorateMust be a member in good standing of the bar of any stateAt least 5 years experience in compliance, regulatory, and/or legal functions; preferably, at least 2 years of in-house experience advising financial institutionsKnowledgeable of FDCPA, FCRA, GBLA, Dodd Frank and various bankruptcy laws and regulationsSupervisory and/or management experience with direct and indirect reportsExceptional negotiation skillsStrong interpersonal skills and a team playerExcellent written and verbal communication skillsDetail oriented, with strong “follow-up” skillsDemonstrated ability to work in a fast-paced environment, and manage multiple competing tasks and demands We offer a competitive salary based on experience and a comprehensive benefits package. If you are interested in working for a dynamic and collaborative financial services company, then Tidewater Finance Company is the place for you! Please submit your resume and salary requirements to Tidewater Finance Company, 6520 Indian River Road, Virginia Beach, VA 23464, Attn: Human Resources Department. If you choose to fax or email your resume, our fax number is (757) 424-9651 and our email address is #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117##Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.","Required Education, Experience and SkillsBachelors Degree in business, finance, accounting, law, related field or equivalent experience; Juris DoctorateMust be a member in good standing of the bar of any stateAt least 5 years experience in compliance, regulatory, and/or legal functions; preferably, at least 2 years of in-house experience advising financial institutionsKnowledgeable of FDCPA, FCRA, GBLA, Dodd Frank and various bankruptcy laws and regulationsSupervisory and/or management experience with direct and indirect reportsExceptional negotiation skillsStrong interpersonal skills and a team playerExcellent written and verbal communication skillsDetail oriented, with strong “follow-up” skillsDemonstrated ability to work in a fast-paced environment, and manage multiple competing tasks and demands ","Our company offers a competitive salary plus BONUSES as well as a comprehensive benefits package to our full-time employees including:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,Legal,0
17085,Title Closer / Settlement Agent,"US, VA, Richmond",,,"Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Your satisfaction, as our client, is important.  We are here to assist you and ensure a efficient, cohesive transaction.  Our experienced settlement officers and attorneys will travel anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.  For resume review, email to #EMAIL_bbbab0052922a3a922939af2180ff4d9f034ba04634bd573870818da62a0d151# or call Office: #PHONE_113b1bd5d3e5e087c3480c458e2ef299fd3a272612224463899e65ab2fe73995# x7141 Cell: #PHONE_c3853c0e034b798989b048d3a55a28d03177bfde5db40af1c435f6fa5a867868#","Looking for a change?  Not happy where you are?  Then give us a call!!Network Closing Services, Inc., a full service Title Company is seeking Title/Escrow Closers with a book of business.  We are growing nationwide, come join a winning team!Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Our Client satisfaction is very important.  We provide experienced settlement officers and attorneys anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.Close residential purchase/sale transactions, in accordance with closing instructions from lender and sellerPrepare and package all documents to ensure recordingsPrepare all closing documents to ensure Title issues are resolved and Title Insurance is issued at closingConduct closing with customer, realtor, lenders, and attorneysCollect and disburse funds in accordance with escrow instructionsPrepare settlement statements including HUD and GFESend HUD's to appropriate parties and wire transfer informationMaintain and establish new client relationships - MarketWe operate in a paperless environment  Faster ServiceGrowth opportunityEmmanuel Sanchez#PHONE_dc5cff94cbcc4ac18e1234a2e6521946ae7d722bdf66cfc1af3aeca9255aee0d##URL_1bdaa45fd1ec77ea7879abfceafd610ca4fa9b4eb30eb6fa5f39b9ffac8a8c5a#                                            *Seeking candidates in Virginia and Nationwide                                             *Mortgage Loan Closers NOT being considered","Are you a top Closer in your market? Are you a go-getter and commited to growht?  Are you a dedicated customer service oriented Title Professional? If you answered yes to these questions then we want you!Experienced Title Settlement CloserMust have a current Realtor, Broker, Lender Book of Business  and ability to marketKnowledge of RamQuest a plusNotary public or be bondableProfessional under pressure, honest and goal oriented","We provide a fun and rewarding atmosphere, our employees are supported at all levels to achieve a common goal.  We also have the traditional benefits including:Vacation PaySick PayHoliday Pay401k PlanHealth, Dental, and Vision Insurance",0,1,1,Full-time,Mid-Senior level,,Real Estate,,0
15801,Senior Interaction Designer ,"US, CA, Palo Alto",Products & User Experience,,"Declara is focused on bringing data to life. Our technology platform uses machine learning, search, algorithms and recommendations to develop learning paths for individuals, making learning a constant discovery.Learning isn't just our product, it's what we do everyday. Work with a small focused team. You'll push and be pushed. Tackle the toughest technical challenges as you create products that can transform organizations across the globe. Do something meaningful. We're changing how the world nurtures and develops talent. We are located in Palo Alto, Boise and Mexico.","Declara, founded in 2012, operates on the premise that learning does not only happen in schools but continues for a lifetime in which people need to constantly master skills. Its technology platform uses semantic search and recommendations to surface the right content at the right time for individuals, making learning more personalized and discovery oriented. This enables individuals as well as entire communities to learn, making everyone smarter. Senior Interaction Designers lead product research, strategy, information architecture development, and interaction design to create Declara products that bring a smile to the lips and a flutter to the heart. Regardless of whether its web based or device native our software application is meant to enrich peoples lives and foster lifelong learning. As a Senior Interaction Designer you must discover, understand, and communicate the Declara business requirements, the emotional desires of our users, and the context in which these two come together.","Someone who wants to influence your own development. You believe in lifelong learning and are constantly seeking knowledge in your professional and personal life. Youre looking for a company where you have the opportunity to pursue your interests across functions and geographies, and where a job title is not considered the final definition of who you are, but the starting point. You bring to the organization the following competencies;At least five years of user interface design experience.Strong knowledge of user interface design processes and methodology, particularly as applied to Mobile and Web-based applications Strong project and people management skills. Must be able to function as a project leader as well as an individual contributor.Proficiency with design and prototyping tools such as PhotoShop, Illustrator, Visio, and Dreamweaver.Knowledge of capabilities and limitations of Web technologies such as HTML, JavaScript, Flash, and CSS.Knowledge and capabilities of native mobile device environments including but not limited to iOS and Android. Excellent communication and organization skills.Degree in Human-Computer Interaction or a related design or behavioral science discipline.A passion for creating products that resonate emotionally with people.","Declara is an equal opportunity employer.  Declara offers competitive salary, equity package and benefits. Declara does not accept unsolicited resumes from recruitment agencies and/or individual recruiters without a signed agreement.  Declara will not be responsible for any fees related to unsolicited resumes submitted in any capacity other through invitation by Declara's portal.   ",0,1,0,Full-time,Mid-Senior level,,,,0
6685,Product Manager,"US, PA, Philadelphia",,,,"Curalate is looking for a passionate and experienced product manager to join our team.  Over the past 24 months, we've established a reputation as thought leaders around the visual web and innovators around socially-powered business.  We've done a lot with virtually no formal product management.  The opportunity in front of us is massive -- and to take full advantage of it, we'll need to build a world-class product management organization.As a product management leader, youll be both managing the day-to-day product direction of the organization and laying the groundwork for a scalable and robust product management organization.","Responsibilities:Work with founders to document the long-term vision into a coherent roadmap.Translate long-term vision into actionable short-term and medium-term roadmaps.Write goldilocks specs -- enough to get the feature built, no more.Work with design and engineering teams toresearch feature feasibility, costidentify staffing needsprioritize backlog and lead sprint planning meetingsdesign and execute QA/signoff processes.Work with product marketing team aroundMarket researchCompetitive AnalysisProduct positioningRequirements:4+ years in a software product management (or similar) role.A track record of shipping successful products on time.Experience with the full software development lifecycle.Passion for enabling socially-powered businesses.Preferred:Technical chops.  (Were not hiring an engineer here, but an ability to grok and explain technical concepts will come in handy).Some statistics/math background.Experience w/ usability testing.People management experience.","Curalate is the world's leading marketing and analytics suite for the visual web, starting with Pinterest, Instagram, Tumblr, and Facebook. We help brands tell their story through imagery in order to drive consumer engagement, build brand awareness, and form stronger, more meaningful relationships with consumers.  We already count a few hundred of the biggest and best-known brands in the world among our clients.  Curalate is backed by top-tier investors including NEA, First Round Capital, and SV Angel.We're startup veterans, social media savants, pixel pushers, and big-data bosses. And we're building something really great.  We are currently hiring for positions in both Philadelphia (24th &amp; Walnut) and New York City. ",0,0,1,,,,,,0
521,Product Manager - NZ,"NZ, N, Auckland",,,"EROAD was established to modernise New Zealands paper-based RUC regime and in 2009 launched the worlds first GPS/cellular-based road charging system. Our solution can be readily and rapidly scaled and deployed across entire jurisdictions because it requires no roadside architecture, and uses a SaaS-based web service.Were now a world leader in our field and expanding rapidly as we enter new markets.Joining EROAD is a great career move. We look at potential when were hiring, and your ability to grow with the role. We employ only the best, and ensure that our staff have the skills, training and technology to do their best work.How youll fit in at EROAD is important as well. Were really proud of our company culture and finding people with the right attitude is just as essential as a great CV.Working at EROAD means learning from people who are experts in their field. It also means working hard  we have to, to be able to grow as fast as we need to! But we encourage a healthy work/life balance and our low staff turnover tells us weve probably got the balance right.We have staff from all over the globe  25 countries at last count  and we may just have the highest rate of boat/surfboard/windsurfer ownership of any technology company, anywhere.","Are you a degree-qualified marketing or technology professional? Do you want to work as a product owner within our Agile software development teams? Do you want to apply your skills to bring to market the worlds most advanced SaaS-based transportation compliance, health and safety and performance management products?Were looking for product managers to lead the implementation of our product roadmap while maintaining EROADs reputation for accuracy, security and reliability.You will work closely with the sales, engineering, customer service and finance teams to build and launch products. You will provide full visibility of your product sets performance to the rest of the organisation and be accountable for its commercial outcomes.ResponsibilitiesDevelop customer insight-based product requirementsManage products throughout their life cyleManage g-to-market planning and briefing of communications messages and sales scriptsDevelop and track key product performance indicators","RequirementsExperience in launching new products and managing product lifecycleCommercial acumen and experience in business case developmentTertiary qualifications in marketing and/or technologyExcellent presentation, written and verbal communication skills.You should have a talent for inspiring and leading teams, and excel at getting things done in a constantly changing environment.","In return, we offer a competitive salary, career development opportunities, and a fun, fast-paced work environment that encourages excellence and innovation.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Product Management,0
298,Mobile Applications Developer,"US, TX, Austin",Information Technology Group,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","The Mobile Applications Developer at CSD will design and deliver application interfaces, business functionality, and web services required to enable a rich mobile user experience for CSDs next generation products. This postion will design and develop mobile applications for integration into CSD's existing and new web products. This job is a key collaborating mobile applications expert among our small, creative and driven Agile software engineering and QA teams, focused on enabling and delivering innovative products and technology solutions to serve the Deaf and hard of hearing community. This Opportunity Features...Flexible work schedules, including Friday work-from-home each week 20% ""Innovation Time"" can be approved for research and development innovations (your ideas!) that benefit our business and your personal development Top of the line technology equipment  Free on-site snacks / coffee cafe Professional development opportunities Chance to learn or enhance American Sign Language (ASL) skills via free on-site classesFree employee parking at our new state-of-the-art dowtown office facilitiesComprehensive paid time off and group health, life and disability benefits, retirement plan and much more","BS in computer science or a related field, or significant equivalent experience.At least 2 years experience in mobile application development in IOS and/or AndroidProven record of delivering scalable, high-quality mobile applications, including a portfolio of recent applications that can be reviewed during the interview process.  Experience with the implementation and use of API interfaces in the development of mobile solutions; REST experience preferredAbility to prototype ideas and work with to translate them into actionable feature setsProven experience working with cross-device developmentProficiency in Objective-C and/or JavaSuccessful experience working in an agile/scrum team environmentKnowledge of free/open source software technologies, practices, and principles.Experience creating mobile applications from conception to productionKnowledge of current coding techniques and best practices.Experience with Ruby on Rails preferredAbility to effectively communicate in American Sign Language (preferred, but not required).","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career's page. Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace.",0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
3395,European Sales Executive,"GB, LND, London",Sales,,"import•io was founded in 2012 by David White (CEO), Andrew Fogg (CDO) and Matt Painter (CTO) with a unique vision: to make the power of data available to everyone. Headquartered in both London and San Francisco, we employ a diverse team of individuals who are excited about bringing structure to the web and making web data more accessible.","import•io is on the hunt for a bi-lingual sales executive to join our London based start-up team. Were looking for someone with 3-5 years solid international sales experience, ideally in the technology sector. The position is responsible for selling our data product as a solution to the data acquisition needs of business in a number of growing sectors. Based from London, with some EU travel, the sales executive will be selling primarily to high-level decision makers within organizations and will also be responsible for lead generation activities. Full product training is provided. Must be fluent in both English and French - proficiency in other European languages a bonus.","Duties/ResponsibilitiesNegotiating with director level clients in-person and over the phoneManaging sales pipeline from qualifying leads through to bring new clients on boardAccurately communicating the unique import·io value propositionCold calling prospects and responding to all leads within a timely fashionDelivering live demonstrations to clients both in person and onlinePlanning and organizing efforts to meet agreed goals.  These goals include, but are not limited to, quota achievement, quarterly revenue attainment, new customer acquisition and customer satisfactionThe role will require knowledge of software contract negotiation, revenue recognition regulations, market differentiation and pricing pressuresReport to Management on the success and market challenges faced in achieving sales objectivesDesired ExperienceFluent in both English and French - other European languages a bonusExcellent communication, professional presentation and process/organizational skills, as well as strong creative orientation and the ability to craft innovative solutions.A positive, self-starter attitude and desire to exceed expectations at every opportunityAttention to detail, strong organizational skills and an absolute focus on producing quality workStrong research, telephone and online networking skillsExperience using CRM tools (Sales Force) for tracking contacts and sales activitiesAbility to identify and translate client based needs into compelling solutions which drive tangible and significant client value (ROI).Ability to work independently while simultaneously managing the end-to-end delivery of multiple sales engagements from pipeline development through contract negotiations.Bachelor's degree in a relevant discipline such as business, marketing, economics, etc.","About Usimport·io is a hugely exciting startup that is shaking up the world of data. Founded in June 2012, import·io is rapidly making a name for itself as a new way to access data from the web. We have a great user base and were most recently the winners of the Beta PITCH competition at Web Summit in Dublin and one of the top 4 finalists of the Startup Battlefield at TechCrunch Disrupt Berlin. Backed by top European VCs and Valley-based Angel investors, we are well placed to make a big impact in the world of data.",0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Sales,0
3433,Senior Director of Advertising Sales,"US, CA, San Bruno",,,,"Job Purpose:SupersonicAds is looking for an experienced and accomplished senior sales director to manage and lead all North American advertising sales efforts. This role will include growing and managing the US ad sales team, leading a sales operation that continuously generates new business with advertisers and media agencies, as well as grows business with existing clients. This role will be based in the companys SF office but will grow and manage the local sales teams in our LA and NYC branches as well.Essential duties and responsibilities may include the following:Provide strategic leadership to identify and develop a strong understanding of core objectives and challengesForecast revenue growth; achieve revenue goals as defined by managementHire and train a team of sales professionals to achieve company growth plansActively engaging with clients, including opening doors for the sales team and leading by example.Identify and close new sales opportunities; meet or exceed target sales goalsPlan, prepare, and execute high impact meetings with prospects and partnersManage direct advertiser relationships to increase business, initiate special promotions and new opportunitiesMaximize revenue growth within existing accountsRepresent SupersonicAds at industry events and conferencesExecute in a fast-paced, team environment"," Minimum 7 years of experience in goal oriented sales positionsMinimum 5 years of demonstrable track record driving mobile and/or online advertising salesMinimum 3 years of management/leadership experience including hiring and training staffMinimum 3 years of experience with performance-based / direct-response online advertisingExperience with video and brand advertising  advantageA strong network of active relationships within the target community, both leading ad agencies and direct advertisersA history of meeting and exceeding sales quotasNatural leadership skillsAbility to cope under pressure and prioritizeProficiency with #URL_43a68868b5b6e2a51677a4fb4c6fbbb676f678d92202751257e2f7616aeed3cb# and MS Office desired  About SupersonicAds:SupersonicAds is a global leader in advertising monetization for social entertainment applications on web and mobile.The company powers value exchange advertising for hundreds of social media publishers, offering their users to engage with brands in exchange for in-app rewards or premium content.Ultra, powered by SupersonicAds, is a Supply-Side Platform - an ad server and analytics tool used by the leading social entertainment publishers to optimize value exchange advertising, run their house campaigns and manage multiple ad network partners.Our network has a global cross-platform reach of over 250m consumers, providing thousands of directly sourced, localized offers in 25 languages.The company is backed by Greylock Partners, growing fast with offices in San Francisco, NYC, L.A., London, and Tel Aviv.Life is short  work somewhere awesome!","Base Salary and CommissionHealth coverage, including Dental and Vision401K",0,0,1,Full-time,Director,,Marketing and Advertising,,0
9849,English Teacher Abroad,"US, AL, Montgomery",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
2268,Search Marketing Manager,"GB, LND, London",E-Commerce,35000-45000,"Wedo is a collection of niched e-commerce stores which aim to be the first destination for online shoppers buying in the various niches that we operate in. Due to our continued commitment to the best, we are now looking for more people to join our lively team at a very exciting time. As we continue to grow we're looking for incredibly passionate people who want to be part of something amazing. Were looking for outgoing, positive and driven individuals who want to make a difference where they work. We can teach you a lot of what you need to know about the job. What we cant teach you is aptitude and attitude, two of the most important aspects to succeeding at Wedo. If youd like to work in an environment that feels friendly and welcoming, professional, highly interactive and ready to hear your ideas, then read on.","Here at Wedo we are one of the fastest growing ecommerce companies in the UK. We are currently developing a portfolio of furniture retail sites and will also be moving into other niches in the future. We strive to use and develop new technology alongside more traditional but equally important disciplines to provide customers with a smooth, enjoyable and simple online shopping experience. The way we have reached this point is by recruiting people with the right attitude, who will fit into our culture. We pride ourselves on our flat hierarchy as this is one of the reasons ideas are able to percolate and come to the fore from each and every member of our team.This is an exciting opportunity for an ecommerce enthusiast to join one of the UKs fastest growing online retailers. Working as part of the ecommerce team, you'll be responsible for reaching and exceeding our PPC revenue targets through the management and optimisation of our campaigns. As Search Marketing Manager your duties will be:Primary Responsibilities:Implementation &amp; Management of PPC campaigns using Google Doubleclick software across Google and BingContinue rollout of Product Listing Ad (PLA) campaigns across niche sitesAnalyse and interpret Analytics data to produce optimisation action plansUtilise cross-team indicators to give context to campaign changesDevelop and maintain weekly &amp; monthly PPC performance reports as well as written performance summariesResponsible for developing tests including testing parameters, analysing tests and presenting strategic recommendations","Requirements:3+ years marketing experience running PPC Adwords campaigns (£100k+ budgets)Proven track record of planning, building and optimising large scale, complex and successful PPC campaignsExcellent Excel skills and exceptional level of numeracyQualified to degree level (preferably maths or marketing based)Ability to establish measurement criteria and processes to evaluate campaign ROI is essentialHigh attention to detail, ability to effectively manage multiple projects, along with a strong desire to learnStrong communication skills both written and verbal (comfortable over the phone)Willingness to work in a team environment",- fantastic company culture- opportunity to grow in a forward thinking work environment- 24 days of holidays,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Marketing,0
1518,Electrical Controls Engineer,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Potential Candidates should have: • Experience programming servo drives and motion controllers.• Experience programming touch screen human machine interfaces.• Experience programming vision systems.• BSEE or equivalent, 2-12 years experience• Understanding of safety circuit design along with safety category requirements.• Must work well with group, possess good communication and be comfortable working in a fast-paced, diverse environment. Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,,,0
13723,Mechanical Engineer,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Mechanical Engineer:SKILLS* Basic Concepts of MECHANICAL1. BASICS OF PRODUCTION2. ANALYTICAL SKILLS3. ABLE TO HANDLE THE WORK PRESSUREADVANTAGES:-1. OPPORTUNITY TO JOIN THE FASTEST GROWING ORGANIZATION.2. OPPORTUNITY TO LEARN WHILE YOU EARN.3. LUCRATIVE PACKAGESQualification:DIPLOMA/BE/BTECH (MECHANICAL)Age Limits :Between the Ages of 18 to 35Visit: #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#,,,0,0,0,Full-time,,,Mechanical or Industrial Engineering,,0
6660,Executive Assistant,"US, NY, New York",,,"We're Food52, and we've created a groundbreaking and award-winning cooking site. We support, connect, and celebrate home cooks, and give them everything they need in one place.We have a top editorial, business, and engineering team. We're focused on using technology to find new and better ways to connect people around their specific food interests, and to offer them superb, highly curated information about food and cooking. We attract the most talented home cooks and contributors in the country; we also publish well-known professionals like Mario Batali, Gwyneth Paltrow, and Danny Meyer. And we have partnerships with Whole Foods Market and Random House.Food52 has been named the best food website by the James Beard Foundation and IACP, and has been featured in the New York Times, NPR, Pando Daily, TechCrunch, and on the Today Show.We're located in Chelsea, in New York City.","Food52, the James Beard Award-winning online food community, recipe hub, and home and kitchen shop is seeking an executive assistant to support its two founders, Amanda Hesser and Merrill Stubbs.Work on something you care about: We're a mission-driven company with a goal of inspiring and supporting home cooks. Youd be helping to build the best collaborative and comprehensive cooking experience online.Responsibilities:Provide full-time administrative support for Amanda Hesser and Merrill Stubbs, the company's founders. We're used to doing things on our own and have never had an assistant, so we'll need your help navigating these unfamiliar waters! In return, you'll get to observe -- and have a hand in -- how we run a growing business.Maintain Amanda and Merrill's calendars, schedule meetings and travel, and oversee all related communications.Coordinate founder meetings in the office -- collect background information, prepare presentation materials, greet visitors, provide refreshments, etc.Collaborate with other team members to coordinate internal meetings and events.Run errands (usually somewhere fun like Eataly or Paper Presentation).Manage paperwork/document requests (anything that needs to be signed, approved, etc.) and maintain files.Work closely with our Office Manager and provide support where needed.Spearhead special projects.","You're deeply organized -- arguably to a fault. We run a lifestyle business that hinges on small but important details like making sure the right thank-you gift gets chosen, wrapped beautifully and sent promptly.You have superior communication skills. You'll be interacting with all sorts of people -- including investors, partners, other entrepreneurs, real estate brokers trying to win our business. It is crucial that you know how to be charming and firm at the same time as you are on the front lines, representing the brand as well as its founders.You're a creative thinker. We're looking for someone who will not only pursue excellence in performing the job responsibilities listed here, but will always strive    to improve and streamline what we do, and how we do it.You're cheerful under pressure.You are an A+ multi-tasker and juggler of responsibilities big and small.You have a hunger for problem-solving and collaboration, all with the goal of driving Food52 forward.You're comfortable with the realities of working for a startup: being on call on evenings and weekends, and working long hours.You have a great sense of humor (i.e. think we're funny).",,0,1,0,Full-time,,,Online Media,,0
9456,Customer Service Associate - Records ,"US, CA, San Jose",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking a full time Records Clerk/Customer Service Associate to be located on-site at one of our client facilities in San Jose, CA.The right candidate will be an integral part of our talented team, supporting our continued growth.Schedule is Monday through Friday, between 8:30am to 7:00pm (37.5 hours/week) Responsibilities include: Create, process and maintain file records as per customer specificationsIndex and image documentsRetrieve files as outlined in contract performance standardsPick up and deliver files to designated locations (internally) at customer requestRe-file documents as per customer specificationsCoordinate off-site storage as neededUtilize various computer applications (data entry and word processing functions) as appropriateHandle time-sensitive material like confidential, urgent packagesLift, move and shelve storage boxes containing exhibits and filesMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailAdhere to all safety procedures ","Required Qualifications:High School Diploma or equivalent (GED) requiredRecords/file maintenance experienceExcellent communication skills both verbal and writtenAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyComputer skills: Microsoft Outlook or similar applicationsAbility to lift, move and shelve exhibits and files storage boxes weighing up to 50 pounds, with or without accommodationSignificant walking and standing for long periods of time, with or without accommodationWillingness to work scheduled full time hours (Monday-Friday, 37.5 hours/week, between 8:30am-7pm)Preferred Qualifications:Records management system experience (i.e.: Accutrac) preferredPrior experience working in a legal environment a plusCustomer service experience preferred",,0,1,0,Full-time,Entry level,High School or equivalent,Legal Services,Customer Service,0
11104,English Teacher Overseas,"GB, EDH, Edinburg",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryUK passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
11852,Sales Director,"US, NY, NEW YORK",,80000-150000,GetIntent: a fast growing global DSP powered by machine learning algorithms. GetIntent was founded by two talented Russian mathematicians and operates worldwide with offices in New York and Moscow. GetIntent empowers marketers to manage the most sophisticated display campaigns via a user friendly platform. ,"GetIntent is looking for a senior sales professional who will be responsible for generating new sales within the eastern region.  This is a unique opportunity to be one of the founding sales team members at a well-funded start up in our New York City office.  GetIntent helps companies like ebay, Unilever and Groupon leverage big data to cost effectively reach their target audience.  Our cutting edge media buying platform significantly reduces the time it takes to launch targeted campaigns while making measuring ROI simple. ","Responsibilities:Drive revenue by prospecting and building pipeline while building strong consultative relationships with potential C-level marketing clientsCreate customized solutions based on thorough client discovery and deep understanding of advertisers marketing goalsClose new business consistently at or above quota levelDevelop and execute on a strategic plan for the territory and create reliable forecastsWork with the management team and other members of the sales team to develop a set of best practices that will be the foundation of this growing teamBe a key part of forming a collaborative, team oriented and fun culture in our New York City officeRequirements: BA/BS degree5+ years of quota carrying Digital Marketing and/or SaaS sales experienceExperience managing both transactional and long sales cycles from digital marketing lead to the CMOAn established reputation as a high integrity top performer.Track record of over-achieving quota (top 10-15% of company) in past positionsStrong written, verbal and presentation skillsDemonstrated ability to find, manage and close high level business in a data driven, analytical and consultative sales environment.Strong negotiating skillsSocial selling experiencePrevious Sales Methodology training is preferredStrong computer skills including familiarity with CRM solutions, MS applications and data driven analytics tools.  ",Very competitive base salaryStock options planGenerous commission plan,0,1,1,Full-time,Mid-Senior level,,,Sales,0
16856,Senior Sysadmin,"GR, , Athens",NOC,,"GRNET provides high-quality international and national networking and computational services to the Greek academic &amp; research institutions. It currently runs a 9000 km owned dark fiber network with several 10 Gbps connections to 50 Institutions. An active member of the consortium operating GÉANT, the pan-European communications infrastructure, GRNET is currently connected to it with 4x10Gbps connections.  GRNET operates large datacenters (400 servers, 30000 VMs, several Petabytes storage) providing a variety of novel e-services for the whole academic and research community (from infrastructure as a service and software as a service, to textbook management, Academic IDs, ePresence, eVoting etc.).","GRNET's Network Operations Centre (NOC) administrates the Greek research and academic network. The servers' and applications' team&nbsp;operates GRNET's servers and all the Layer 4 - &nbsp;Layer 7 services that are deployed. The aforementioned services can be further categorized as following: (i) basic infrastructure services that are necessary for the operation of the network, (e.g. DNS, monitoring tools, e.t.c.) (ii) services addressed to the customers, and (iii) services offered to the end users.GRNET/NOC is recruiting for a System Administrator to join our team.",Technical skills:Debian GNU/Linux knowledgeVirtualisationPuppetDNS/e-mailIPv6LDAPScripting languages&nbsp;,,0,1,1,,,,,,0
17355,Inside Sales Account Executive,"US, CA, San Francisco",Sales,50000-95000,"We live in an increasingly social and visual world. Text posts are being replaced by photos and videos which dominate the social and marketing landscape. Companies want and need to leverage this authentic and often brand-related content and Pixlee helps them do it.Pixlee is revolutionizing the relationship between people and brands, helping companies leverage these social photos/videos to market with the voice of their own customers. The Pixlee platform enables brands to collect, curate and repurpose consumer photos and videos to drive engagement and conversion. We sit at the intersection of social aggregation and big data, surfacing the best content and effectively deploying it to support their business goals.Pixlee is an Andreessen Horowitz and XSeed Capital portfolio company. Customers include major brands like Sony Playstation, UGGs, Coca-Cola, BMW, 1-800 Flowers, Jamba Juice; and ¼ of the NFL and NBA teams.At Pixlee we work hard, love to learn, and value teamwork and humility. Weve got a big vision and were looking for game changers.","At Pixlee, we look for talented, high-potential individuals who arent jerks. Our proposition is simple: Pixlee offers a unique opportunity to thrive and grow rapidly in a small-team startup environment operating at big-company scale. Our customers are some of the largest brands in the world and everything you do here affects millions of consumers.About The Position:Pixlee is seeking a hungry quota-carrying, Inside Sales Account Executive. It will be your job to prospect, qualify, educate and close the right buyers of Pixlees platform. Youll also work with the team to make our product better and contribute to the overall sales process. This job is based in our San Francisco headquarters.Responsibilities:Own the full sales cycle. Prospect new business (cold-calling), develop opportunities, demo the product, and close sales.Become an expert in identifying the challenges our customers face when building a successful social marketing strategy; demonstrate how Pixlee can overcome those challenges and help achieve customers goals.Lead a consultative, solution-based sell to help marketers use Pixlee tools that will drive their social acquisition, engagement, and conversion goals.Manage existing client relationships and contract renewals.Build a deep understanding of the Pixlee product, the market, and the competition.Provide critical product/process feedback to improve Pixlees platform and sales organizationMore About Pixlee:We live in an increasingly social and visual world. Text posts are being replaced by photos and videos which dominate the social and marketing landscape. Companies want and need to leverage this authentic and often brand-related content and Pixlee helps them do it.Pixlee is revolutionizing the relationship between people and brands, helping companies leverage these social photos/videos to market with the voice of their own customers. The Pixlee platform enables brands to collect, curate and repurpose consumer photos and videos to drive engagement and conversion. We sit at the intersection of social media and big data, surfacing the best content and effectively deploying it to support business goals.Pixlee is an Andreessen Horowitz and XSeed Capital portfolio company. Customers include major brands like Sony Playstation, UGGs, Coca-Cola, BMW, 1-800 Flowers, Jamba Juice; and ¼ of the NFL and NBA teams.At Pixlee we work hard, love to learn, and value teamwork and humility. Weve got a big vision and were looking for game changers.","BA or BS degree.You arent afraid to make dozens of calls a dayStrong consultative sales skills with an understanding of how to determine prospects needs and meet customer objectives and goals.A strong work ethic and superior organizational skills; you have the ability to fluidly manage the entire sales pipeline on your own and report via a CRM to the teamA passion for web technology and social media, and the capacity to understand how it works. Bonus:1+ years of direct sales experience with a proven track record of success selling to several levels of an organizationExperience in a Software-as-a-Service environment; selling a SaaS product. Experience selling a B2B marketing productStrong understanding or network in a particular B2C verticalSales experience in a fast-paced startup environmentA network of potential clients from your experience in salesAny technical skills/knowledge e.g. API, CSS, HTML, etc.",Competitive CompensationHealth InsurancePTO,0,1,1,Full-time,,Bachelor's Degree,Marketing and Advertising,Sales,0
7583,OF | LEAD DESIGNER @JY+SH,"US, NY, New York",,,"Kettle is an independent digital agency based in New York City and the Bay Area. Were committed to making digital do more — for both people and brands — because we believe the digital world offers more than meets the eye. Every online experience has the potential to change a life, a brand, or even change the world. And we carry this philosophy into each and every product we build.","Kettle is a team of passionate, fearless creatives focused on delivering great products, redefining best-practices, and providing new opportunities for our clients and own #URL_03af6f8cf003de21c3baeeafa8f55c2708fc067174b4339a850004f288b6e2f7# our team is continuously growing, we are looking for a Senior Product Designer with a portfolio that demonstrates examples of engaging, intuitive UIs in web and mobile applications, to work on a project for a Fortune 100 company with a startup-influenced approach.  Its a truly unique opportunity to make a difference in the Entrepreneurial world, but we cant get more specific until we meet in person.You will collaborate with UX Designers, Product Strategists and Developers to design new features, enhance existing ones while establishing and reinforcing consistent visual patterns across platforms.More detail job description at #URL_f2f92bbbece7c732583967fc947debbae510b54583ee705450fc1981dbeba894#This role has been posted on two job boards:Authentic Jobs - #URL_a8e6b5b8eb8718955b79335b65f5fcb9fc9f227387d570ded4106d28f06f1984#Coroflot - #URL_e73d49499f96a92c45f9e63abac7e55961a443980e8aa9cb2218735231d3b1fa#?job_id=54433Note: This role will take over Gregs position on an OF squad (or might cross over between squads). Jena is looking for someone with a lot of energy and talent, someone who can “breath life into the product.” Ideally this person can also prototype and has to have an understanding of the development / engineering process. ","The ideal candidate has 3-5+ years of agency/in-house work under their belt. Designing clean, modern, inspiring pixel-perfect interfaces across platforms showcasing impeccable layout and typography skills. He/she also has a strong understanding of the development process.Prototyping experience is a plus.Must have experience with responsive design.","Competitive Health Insurance, Dental Insurance, and Retirement Plan with matchingGenerous vacation policyFree snacks, sodas and beersTeam Outings and PartiesAnnual Kettle Royale (in-house hackathon)Gorgeous new office",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Design,Design,0
12669,Senior Coldfusion Developer ,"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Senior Coldfusion Developer, fluent in English, to offer his/her services as an expert who will be based in Brussels. The work will be carried out either in the companys premises or on site at the customer premises. In the context of the first assignment, the successful candidate will be integrated in the Development team of the company that will closely cooperate with a major clients IT team on site.Your tasks:Requirements analysis, prototyping, development and maintenance of software components for web-based applications;Integration of software components;Provision of assistance with the evaluation and testing of the components to ensure conformity with the clients standards;Production of technical documentation in English.","Your skills:Minimum 10 years of combined University Studies and experience in IT;Minimum 6  years of professional experience in object-oriented development using ColdFusion, Oracle and SQL;Extensive experience with HTML, JavaScript and PL/SQL;Knowledge of Adobe Flex, XML, UML, RUP and case tools will be an asset;Excellent command of English both written and oral.   ","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (SCD/08/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
4383,Assistant Product Manager Internship,"GB, , London",,,London's Going Out App! Explovia simply and brilliantly combines Group Chat with Event Booking.We're looking for people whose eyes widen and mouths open when they see the opportunity of local commerce powered by mobile and social.,"A great opportunity for an entrepreneurial candidate to get some hands on experience with an early stage company on its way to becoming the talk of the town!                   This role will report to the Product Manager. You will work on product management, development and analysis. Youll have a serious impact as an early member of the team as we expand, grow our user base and launch new products.We want you to be quietly ambitious, hacky, and a tiny bit (but not too much!) of a perfectionist. We recruit based on skills and talent and look for a bit of a spark.Responsibilities: Project management. You will assist the Product Manager in identifying, planning and launching features on live digital products (web and mobile).Digital marketing. You will leverage user feedback and analytics to formulate strategic recommendation on relevant areas (e-commerce, product, customer acquisition, social)Market research: Research and recommend appropriate strategies with respect to social apps, mobile marketplaces, digital payment, messaging apps and other areas as relevant."," Recent Bachelors graduate or currently pursuing a MA / MSc in Marketing, Business Management, Engineering or Computer Science from a top-tier institution.You can demonstrate superior project management skills.  This means you are great at communicating and you have strong organizational skills.Passion for digital start-ups and products. You are up-to-date on the latest mobile and digital trends. You understand what a good user-experience is.You are a logical and analytical, data-driven person: you like to prove your point, and you are good with numbers.Uncertainty doesnt phase you, but you love to bring clarity to systems or problems. You are able to formulate strategic recommendations and create solutions to problems that havent even been defined.Collaborative. You love working in a team and have great instinct for when to lead from the front, when to support others, and know how to contribute and criticise ideas in a way that benefits the people in the team, the company and the mission.Fast learner, with an entrepreneurial spirit. You like to get things done.Autonomous. We can give you a project and we can trust you to take it from there.Technical skills are not required but you need to be comfortable in a cross-functional environment.Experience using MySQL is a plus.",,0,1,0,Temporary,Internship,,,,0
552,English Teacher Abroad ,"US, WA, Bellingham",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
6295,Data Entry,"US, , ",,25-1000,,"This is a data entry position, Working hours are flexible. you are also expected to come online through Yahoo Messenger during working hours and also for your online interview / job briefing.i will like to let you know that This is strictly an online/work from home job the working hours are flexible and you can choose to work from anywhere of your choice,the pay is $35per hour and training is $25 per hour…. You will be get your payment bi weekly via direct deposit or paycheck working 40 to 45 hours weekly,if you are employed you are going to be working as a full employee and not an independent contractor.",JOB REQUIREMENT:   Have a yahoo messenger which you would be working with.*Send your Resume and cover letter to #EMAIL_b47d7e51fb9f405b49b8214284602875bbdd6503011c65eeed633fd553d66543#  for verification and assessment    Add the Personnel Manager on your yahoo messenger list #EMAIL_93cc047686f4e009a66fe2ef87b29fd0cd05e160528d96f20f1f145517c43c0c#,"Benefits: Health, Dental, Life and AD&amp;D Insurance, Employee Wellness and 401k #URL_c801649eeb4007728c8f41b2d6629d92c2295ff77e1f2d401d7696ce3569db63# Time Off and Holidays with Generous Company Discounts.",0,0,0,Contract,,High School or equivalent,,Administrative,0
17784,administrative assistant,"US, MD, Baltimore",admin,15-19,,"  Giromax technology  is currently looking for an Administrative Assistant to provide timely and accurate clerical support in a fast-paced office environment. Position involves dealing with managers and other office support positions. Basic duties involve photocopying, filing, answering phones, managing all incoming and outgoing mail, and composing letters, memos and proposals. Additional responsibilities include preparing daily/weekly/monthly/quarterly reports and setting up group meetings and conferences for managers and partners. All functions will be done in person, via phone, or e-mail.","-Some College-VERY Detail Oriented and well organized-Proficient in Microsoft Office Package (Word, Outlook, Powerpoint, and Excel)-Can learn quickly and retain the information (looking for a candiate that takes notes!)-Must be confident on the phone (with clients and underwriters)-Able to take direction &amp; instruction",Competitive pay &amp; benefitsExcellent on-boarding and on-going trainingAccrued paid time offTuition reimbursement for full-time employeesFree meal per shift,0,0,0,Full-time,Entry level,,Accounting,Administrative,1
9001,Account Executive - NYC,"US, NY, New York City",,,"NFP is a leader in the delivery of benefits solutions for companies of all sizes and in the delivery of life insurance and wealth management solutions for high net worth individuals. Our leading, independent broker/dealer offers a wide range of choices from some of the nations top investment companies. NFP operates and reports in three segments that provide diverse products and services to corporate and high net worth individual clients: the Corporate Client Group, the Individual Client Group and the Advisor Services Group.*Our advisors partner with clients to help provide them with comprehensive solutions, backed by NFPs open architecture approach, national scale and resources. NFP is focused on optimizing cross-selling opportunities and building recurring revenue, and diversification, ensuring the company is well-positioned for long-term growth.*NFP Advisor Services Group is a business segment of National Financial Partners Corp. (NFP) that includes NFP subsidiaries NFP Securities, Inc., member FINRA/SIPC, and NFP IndeSuite, Inc.","Summary:This is a full-time position in the New York City office (ZIP 10173), requiring the Account Executive to interact with clients and develop relationships in order to meet clients needs. The Account Executive will research, analyze, and evaluate medical, dental, life, disability and other benefit plans and act as a benefit advisor to clients.    This role requires the employee to provide strong support to the Director to whom they report. Essential Duties and Responsibilities: Day-to-day client servicing including eligibility issues and specific client questions and needs.Develop and maintain ongoing relationships with clients and carrier representatives and the Account Management team.Act as the subject matter expert in terms of Health &amp; Benefits and vendor/carrier products and services with clients and with internal staff.Anticipate client needs and identify the strategies to solve them.Prepare annual client renewals, plan marketing and renewal meeting presentations.Market and analyze insurance plans, negotiate with carriers and recommend renewal actions. Oversee implementations of new business.Prepare employee presentations and open enrollment meetings.Prepare and present Client Benefits Review, Claim Report Analysis and Pre-Renewal Estimates, if appropriate.Review Benefit Plan Summaries and carrier source documents for accuracy.Annual projects for clients including FSA Non-Discrimination Testing and Imputed Income Calculations, if appropriate.Keep clients aware of all industry trends and events, including all state and federal regulatory issues and updates.  Explain legislative guidelines including COBRA, HIPPA, ERISA, Section 125, ARRA and Health Care Reform to clients; Keep clients aware of updates on all guidelines.Build and maintain corporate wellness programs by using NFP resources,  healthcare carrier and third party vendors as resources.Oversee yearly Wellness Fairs and Flu Shot clinics, if appropriate.Provide guidance, support and review of Benefit Analysts and junior Account Executives  work and provide mentorship to them.Support Directors, Sr. Directors and Managing Directors with account assignment and team management as appropriate.Act as a liaison  between a number of service  offices to assist with resource sharing and communication.Ensure all client data is entered into CRM System (SalesForce) in a timely and accurate manner.Additional duties as assigned.","Knowledge, Skills and/or Abilities Knowledge of employee benefit programs, benefits administration, HealthCare Reform, industry trends, carrier products and servicesAbility to work independently and anticipate client and team needsDemonstration of project management and consulting skillsEffective time management and decision making skillsAbility to express ideas clearly in both written and oral communicationsStrong Microsoft Excel and PowerPoint skills Education and/or Experience: Four Year college or university degree with course work in Human Resources Management, Business Administration, and Industrial Psychology, Economics or Healthcare related field.3+ years of health and welfare consulting experience is preferable. Certificates, Licenses, Registration:NYS Life, Accident and Health License requiredGBA or CEBS Certification a plus","NFP offers a comprehensive benefits package to all our full-time employees, including medical, prescription, dental and vision insurance, health care flexible spending accounts and health savings accounts. We also offer life insurance, short- and long- term disability, a 401(k) plan, paid time off, and education assistance program and many other benefits.",0,1,1,Full-time,Associate,Bachelor's Degree,Insurance,General Business,0
6363,Sales Executive for Content Marketing Firm,"GB, LND, London",,23000-30000,"Formed in 2006, Saul&amp;Partners is an executive search consulting firm specialising in talent management for permanent staff and interim consultants. We provide search services for vertical niche markets of Risk Management within Banking, Asset Management, FS and Online Digital Media industries. We search for executives from Business through to Sales, Business Development, Risk (OpRisk/Market/Credit), Treasury, ALM, Balance Sheet Management, Operations and Compliance.Our consultants draw on the expertise of Saul&amp;Partners to ensure we achieve the best job matches in the market.","This is a fantastic opportunity with our client, a highly successful publishing (content marketing) agency specialising in producing magazines in print and content driven websites online. The entire cycle is produced in-house -from editorial, design, art direction, photography, print, mailing and effectiveness (RoI) research. Their clients include global brands from fashion, computer hardware, telecoms and financial institutions.They are looking for a Sales (New Business) Executive to assist the Managing Director with his growing business development needs, specifically and exclusively in online video. The role will report directly to the Managing Director, where this is a fantastic opportunity to gain hands on experience in an agency with decades of experience in the industry.The right candidate will be dynamic and sales-orientated individual, and is adept at developing relationships with clients. The candidate is required to engage in proactive business development with direct clients, and join the Managing Director with client meetings, therefore it is imperative the candidate is presentable and is able to hold a meeting on their own.This would be an excellent first job for an ambitious graduate with an interest in editorials, fashion, consumer finance, and content marketing.Responsibilities:· Selling the Clients online video proposition to potential clients· Business development direct to clients","Required Skills:· Cold calling experience· Marketing or related degree· Experience within Digital Media beneficial· Strong interest in the Digital Media environment· Strong interpersonal, communication and presentation skills",Salary: £25-30k &amp; Commission,0,1,1,Full-time,Entry level,Bachelor's Degree,Online Media,Sales,0
1411,Front End Developer,"US, NY, New York",User Experience,85-140,"BlueLine Grid is transforming government communications. At a time when government employees are increasingly being asked to use their personal mobile devices for work, BlueLine Grid allows users to find each other by area, agency, proximity or specialty and connect and collaborate one-to-one or in groups.There are 22 million public employees in the U.S (15% of the total workforce) yet there is no comprehensive registry and no simple way for them to connect. BlueLine Grid is the first company to go Over-The-Top with free, simple connection tools in the mobile government space that integrate unified communication capabilities with a comprehensive and pre-populated directory of the U.S. public workforce.","This is a full time, on-location position in New York City. Please no recruiters.Bratton Technologies, a venture-backed startup seeks a Front End Developer to join our UX Team in creating responsive and elegant web UI's for products and prototypes for our innovative professional network for law enforcement called BlueLine. We're looking for an detail-oriented critical thinker - a problem solver who communicates and collaborates effortlessly. This is an incredible opportunity to join our small, growing team in the transformation of police collaboration in the United States and throughout the world.You'll report to the Chief Experience Officer, but work with the entire team.Salary is commensurate with capabilities and experience.","Expertise in current full stack web development technologies (HTML5, CSS, jQuery, Sass, etc.)4 years relevant work experience, including demonstrated experience in designing usable web-based interfacesStrong visual and interactive design skills -- a clear grasp of typography, layout, color, motion, and behaviorFamiliarity with collaborative tools (GitHub, StackOverflow, JIRA, Confluence, etc.)Ability to write, reverse engineer, replicate, recombine, invent and hack front-end code solutions that balance speed of delivery with bulletproof performanceLinks to active GitHub and/or StackOverflow profiles (Please share links)Awareness of emerging trends in front end web development and design","Full health, vision, dentalNo vacation policyBeer on tap!",0,1,1,Full-time,Mid-Senior level,Unspecified,Internet,Design,0
7482,Professional Development Coordinator (Perioperative)  ,"US, CT, ",,,,"Apply using below link#URL_ad7066040069336a9ba7f3abcb92587a2375653434652375eae1e567904972ff#Bristol Hospital in Bristol CT,a beautiful, 134-bed community hospital has an exciting opportunity in our Perioperative Center We are seeking a Professional Development Coordinator to oversee and participate in the clinical education of our services i.e. Operating Room, Ambulatory Surgery, Endoscopy, PACU and Central Sterile Supply. The ideal candidate will be an integral part of the Perioperative Triad to include the Director and Manager. The PDC will also be responsible for the oversight of perioperative standards, will organize departmental educational sessions and also participate in the development of perioperative policy and organizational committees.",,,0,0,0,Full-time,,,Hospital & Health Care,,1
15905,Web Designer,"DK, , Copenhagen",,,Maguru helps small businesses get more customers though efficient and fair priced managed online marketing.To do this we use technology and marketing techniques previously only available for big companies with deep pockets.By aligning our customers goals with our goals we deliver an honest and transparent online marketing solution that our customers trust and love.See all jobs at: #URL_48fdbaa419bcc74c77527f23b3f771d053491adc21103e85da659b5578ffb329# Maguru ApSIndiakaj 122100 Copenhagen OEDenmark,"We are a team of helpful people who thrive on the success of our customers. We have big ambitions, venture funding and is now building a scalable product to grow across Europe.We work with small local businesses. And like them, we don't like shady salesmen selling worthless ads, Facebook pages with no value and overpriced hosting plans. Maguru helps small businesses grow in an honest and transparent way, by giving them access to automated marketing technologies previously out of their reach.Our initial product ties together locally targeted and fully managed AdWords campaigns, responsive websites optimized for conversions, call tracking and an easy to use lead management tool  in one simple and efficient package.To make all this work first class websites and landing pages is key  and that's where you come in! We are looking for a talented webdesigner to help us build, test and constantly optimize website and landing page themes with an end goal of converting visitors to customers.You will be building stuff used by a lot of people, including our own fulfillment team, our clients and their customers. If you like to see your work in use and want to put your mark on a lot of small business owners lives, here your chance.","We are looking for a profile who:Keeps track of the latest in web design, UI and UXLoves to test theory in real life and measure the resultsBelieves in user tests and knows how to translate feedback into a better productHave an interest in and an eye for design and usabilityFeels at home in Photoshop and IllustratorCan work with delelopers to bring ideas and design to lifeWants to work in a small agile team in a very lean startupIs motivated by helping small businesses get more customers","In return for your dedication we offer:A chance to build something big used by a lot of peopleHigh level of freedom and responsibilityA great place to work and get betterExperienced (and very friendly) colleaguesCompetitive salary and perksGreat food, coffee and snacksA Mac or PC (if you insist) and big monitor(s)We strive to create a relaxed and flexible workplace where you are measured by results. We believe in clearly defined roles, responsibility and that our tech team knows more about technology and coding than we do.Please include examples of your work in the application.",0,1,0,Full-time,Not Applicable,,Internet,Design,0
12479,"CNA-Point Blank, TX","US, TX, Point Blank",,,"Critical Nurse Staffing, Inc. is enrolled with the U.S Department of Labor to provide nursing care to the former defense workers now receiving benefits through the RECA and EEOICP programs.We are proud to provide services to Defense Industry Workers covered under the Federal EEOICP and RECA programs, as well as recipients of the Federal Black Lung Benefits Program.We proudly provide care for the following patient groups:Uranium Miners, Millers and TransportersNuclear Weapons Plant Employees or ContractorsFormer Coal MinersOur service offers an integrated team of personal case managers, nurses, respiratory therapists and home aides. Our services focus on addressing the full range of a clients needs, including a clients medical condition, the clients comfort, emotional well-being, independence, functionality as well as nutritional and psychosocial needs.","Critical Nurse Staffing, Inc. is seeking a CNA to join our team and provide comprehensive care to our patients in ­­­­­­­­­­­­­­­­­­­­­­Point Blank, Texas. The role of the CNA in this position will be to work closely with patients and to provide basic care services.The applicant should have an outgoing personality, the ability to communicate effectively, multi-task, remain calm in stressful situations, and be able to give patients the essential social and emotional support, along with providing vital information on patient conditions to nurses.Qualification for this position include a current and valid CNA certificate.A resume must be attached in order to be considered for this position. Please submit your resume and apply for this position on our website at #URL_c8b47bbcf78a49b7998350b58cc78cd45ee2677e96a68666a0f1cdded5ccaf77#Critical Nurse Staffing, Inc. is an equal opportunity employer, m/f/v/d and a drug free work place.",,,0,1,0,,,,,,0
12750,Front End Developer,"US, TX, Austin",,50000-75000,"Filament Labs is building Patient IO™ — a care plan platform.Patient IO gives outpatient health professionals the tools to track and manage patient health conditions anytime, anywhere. Learn more about the platform. Get updates by following us on Twitter or Facebook.","As a Filament Labs Front End Developer, you'll work with a tight-knit, talented team team developing a cutting edge platform for communication in health care. You'll work with modern technologies like Angular and HTML5 to craft robust and intuitive client applications for consumers and administrative users for use in desktop and mobile browsers. You'll also have the opportunity to influence and participate in the design of our APIs and overall direction of our platform.We're building a care plan delivery platform, enabling healthcare professionals to deliver tasks, content &amp; reminders to any patient touch point. Patient IO is designed to be a drop-in solution for care plan delivery for disease management organizations.About Filament Labs and Patient IODo you believe our healthcare system is broken? Do you feel too many entrepreneurs are wasting their time with yet-another-entertainment app and not focused on the hard problems? Come join us. We're building Patient IO to help reinvent how patients &amp; providers communicate in healthcare. Learn more at #URL_f214f1a4228636a3262d047bb107fa06a2bb17497570b78d6de161c787af64d7#.Filament Labs is founded by serial entrepreneurs with previous exits in healthcare, travel, mobile &amp; finance. We're well funded by a top tier list of investors and we're setting out to the change the world of healthcare. We're headquartered in downtown Austin, Texas  home to SXSW, Austin City Limits Music Festival, FunFunFun Festival, F1 Circuit of the Americas, University of Texas, and much more. We work in downtown Austin and have a company bulldog.We are looking for team members who are:Passionate about beautifully designed consumer experiences.Have a strong ability to collaborate as a team and manage workload independently.Are excited to pick up, learn and run with new ideas and overcome challenges.Love all aspects of startups, from brainstorming and design to implementation and launch.","You're Expected to Have:Top-notch programming skills and a track record of getting stuff doneEagerness to learn and stay ahead of the technology curve2+ years of experience developing web applications.Excellent understanding of JavaScript fundamentals and design patternsProduction experience with #URL_9078e8368d89dcdbf1ed26064be556e5b47144133bf647a7deaa954f8ec0bdb4#, #URL_1d0f9eb2a7073ab63d5cfc0f9762fb40962b2b8ad1607a31c869aa4fd0382977#, #URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399# or another MVC/MVVM frameworkExperience using Restful APIs and JSONFluent in HTML5, CSS, CSS preprocessorsBonus Points:Experience taking a production web app built on AngularJS from concept to releaseExperience with BI Visualization tools (D3, Highcharts, etc.)Grunt or GulpUnit testing (Karma)Experience working with UX, creative and development teams to create pixel perfect designsExperience with the back end frameworks like .NET or NodeJSExperience developing restful APIs","Competitive salaryCompetitive equity grant in a high-growth startupGreat west 6th office location without the downtown headachesFlexible vacation policyWork hard, play hard culture",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
10149,JavaScript Developer,"US, NY, New York City",,,"For over 15 years, CaseNEX has been a leader in the education technology industry and continues to provide flexible and customized online solutions for teachers, students, and parents. CaseNEX offers an array of services and products, including professional development courses for teachers and data collecting tools to monitor students individual progress. Now used in all 50 states, CaseNEX has recently grown, adding two additional divisions: DataCation and PALS Marketplace. ","The DataCation division of CaseNEX is looking for JavaScript developers. Were a relatively small, cross-coastal development team that develops educational software to help teachers and schools across the country. Right now, were building a dynamic dashboard solution to display real-time data collected from various sources to help students, parents, teachers, and administrators track student and school success. We need both front-end and back-end JavaScript developers for this and other projects.",Strong JavaScript skills in a variety of contexts including some or all of the following:jQueryFront-End Web DevelopmentBack End DevelopmentAPI development NodeExcellent communication skills since youll work with people in the same room and across the country (must love emoticons),"Full benefits including medical, dental, life insurance, FSA, PTO, and company holidaysA strong belief in life/work balanceCompetitive Base SalaryIf you are an EXCELLENT Javascript developer, please apply immediately to this opportunity.Applicants must be authorized to work in the U.S.",0,1,0,Full-time,Associate,,Computer Software,,0
3404,Technical/Resume Writer ,"US, , ",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Valor Services is searching for a Technical/Resume Writer If you are a qualified Technical/Resume Writer and want to join a fun, positive and entrepreneurial team while working remote, then this is the perfect opportunity for you!Job Scope:You will be a part of our Resume Writing Service team preparing resumes and cover letters for our clients for all jobs, positions and career situations. You will create highly professional, grammatically perfect resumes where experience, education, skills, achievements and accomplishments are organized into powerful content that clearly demonstrates value in quantifiable terms and can be presented to employers. ","Bachelor's Degree in English, Technical and/or JournalismCommand of the AP Style guide3+ years professional experience writing resumes and other professional documentsStrong ability to wordsmith and effectively conceptualize experience in a grammatically correct and formatted processAbility to adapt writing style that is effective and adhere to best hiring practicesExcellent verbal and written communication, patience, data organization, and critical thinking skillsCollege graduates preferred;  qualified current college students will be considered",Seriously inquiries only.Please send samples of your writing along with resume and cover letter explaining why you'd be a great fit.,1,1,0,,,,,Writing/Editing,0
15175,Director of Analytics,"US, CA, Sunnyvale",,,,"Lead the day-to-day activities of the software development organization.Guide technical, architectural, and organizational decisions, making sure we build scalable, efficient, and maintainable software.Collaborate cross-functionally with Product Management, Quality Assurance, Project Management, and Operations Teams.Create/evolve efficient processes, scalable tools, and resource allocation methodology to achieve a high level of productivity.Provide analysis oversight during initial design and problem solving of programming assignments.Ensure development standards and architectural requirements are met with every code release.Demonstrate technical acumen to lead by example, assist the team in accomplishing all tasks, and demonstrate ownership and accountability for the entire engineering team.Stay ahead of new technologies and how they will enable the business to more forward.  Drive engineering team to do the same.Hire and successfully onboard new team memebrs.  Ensure that the resources are in place and balanced to hit aggressive timelines and stringent quality standards.Inspire and motivate team to success!","• 9yrs+ overall Software Development experience• Minimum 5+ years experience working in Data warehousing environments• Minimum 5+ years experience working with Internet scale data driven use-cases• 3+ years designing and deploying 3 tier architectures or large-scale Hadoop solutions• Provide solutions related to Hadoop distribution eco system.• Experience developing large scale data queries using Hive, Pig, Shark, MapReduce• Experience working in-memory nosql databases like Redis• Developing large scale OLAP multi-dimensional cubes and data indexing• Expert in deploying and fine-tuning scalable server-clusters • Expert in JavaFamiliar with other programming languages like Python, Scala",,0,0,0,Full-time,Director,Unspecified,Computer Software,Engineering,0
822,Sales Solutions Architect,"US, TX, Fort Worth",Sales,,"We're a Fort Worth based startup trying to change the way that companies manage, monitor, and become their HIPAA compliance program. We're a growing team that works closely together. We move fast, and we enjoy what we do. Companies and organizations all over the country are using Accountable to help manage their HIPAA compliance. Join us. Build something big with us. Accountable is backed by Techstars and RockHealth.","We're a Fort Worth based startup trying to change the way that companies manage, monitor, and develop their HIPAA compliance program. We're a growing team that works closely together. We move fast, and we enjoy what we do. Companies and organizations all over the country are using Accountable to help manage their HIPAA compliance. Join us. Build something big with us. Accountable is also backed by Techstars and RockHealth.Become a member of our growing Fort Worth, Texas based Sales team. You'll find and help potential Accountable customers understand how our solutions can meet their needs. This is an inside sales role with activity quotas. Your job: Drive revenueMeet or exceed quarterly revenue targetsMeet/exceed activity quotasDevelop and execute against a sales planManage numerous transactional sales opportunities concurrentlyCreate &amp; articulate compelling value propositions around Cloudability services.Maintain a robust sales pipelineProspect new strategic accounts",You've got: 1 - 5 years of technology related sales experienceStrong verbal and written communications skills.SaaS experienceThe ability to travel/attend trade showsBonus points: A background in heatlhcareSaaS experience,"And for your efforts, we happily provide: A competitive full-time salary and stock options - we want you to be excited to be a part of a startup, not starvePaid holidays/open vacation policyFlexible work scheduleGym/fitness membership coveredMonday lunches and all kinds of other snacks; really great coffee (important!)Friday Happy Hour and other awesome company events",0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Sales,0
15199,WAITRESSES AND COOKS - Hiring now!,"US, MI, Mount Clemens",,,,"Johns Family Grill is a local restaurant that is hiring new experienced, dedicated, waitresses. We would like the waitresses to be experienced, honest, loyal, and enthusiastic. Must come to work well-groomed, prepared, and on time.Johns Family Grill is a great atmosphere to work in!! You will receive the help and training needed to work gracefully at this restaurant. The staff is very friendly and helpful.Shifts will be provided upon request and whether you want the job or not. Restaurant hours are Monday through Saturday from 7:00 am to 8:00 pm and on Sundays its open from 8:00 am to 3:00 pm. More information will be given if you are interested. ***Wages are discussed upon request*** We are also looking for experienced, dedicated and hard working cooks that are able to work in busy conditions and are available to work about 4 days a week. Please look above for information.Please consider this job offering as it is a great place to work at!! :):) :D:DPLEASE SUBMIT YOUR RESUME AND WE WILL BE IN TOUCH! THANKS!",,,0,0,0,,,,Restaurants,,0
9359,Desktop Technician (Imaging),"US, GA, Atlanta",,,"Leapfrog Services provides managed IT services for businesses and nonprofits worldwide.Founded in 1998 with the philosophy that IT should enhance your business, not get in the way of it, we consider ourselves to be a service company—one that delivers the highest possible level of technological expertise, with an unwavering dedication to extraordinary service. In our world, high-tech doesn't mean high-jargon or high-stress—it means high-touch and high-availability. Our IT services and solutions include: network management; data backup; data security; server hosting and support; collocation; 24/7 support and field services; disaster recovery; and expert consulting for IT planning, asset management and procurement. Our Help Desk provides support for an extensive range of technologies, including printers and mobile devices, and resolves 98% of issues during the first call. Leapfrog has consistently earned a client retention rate of around 95%, ever since pioneering IT outsourcing and remote IT management. By providing smarter, more reliable IT solutions that are also sustainable and scalable, Leapfrog helps your entire team be more productive, while optimizing your IT investment. If you absolutely love working with leading-edge technology, want to be part of a fun, service-based culture and prefer the security of an established company with growth opportunities and excellent benefits, Leapfrog is just the pond you're looking for!","The Desktop Imaging Technician position requires basic enterprise computer knowledge, the ability to multi-task, and develop hard drive images for a wide range of uses. It is important that work orders are organized and performed according to their level of priority which sometimes requires on the go decision making. Actual computer work can vary from troubleshooting (hardware / software), rebuilds, new builds, and high quantity imaging. Knowledge of the most recent operating systems and Microsoft software is imperative to perform all day to day work. A moderate level of customer interaction requires that the employee contact and assess needs or retrieve information from the end-user. ","Duties and Responsibilities: Providing dedicated onsite support imaging a variety of new and existing desktops and laptops.  Troubleshoot and resolve hardware failures. Essential Duties: Automation of computer builds via Windows Powershell and other scripting technologies.Image all new external and internal desktop, laptop, and server systems.Troubleshoot and resolve hardware issues on client computers which are physically sent to Leapfrog. Manage a ticket queue, and ensure that tickets are completed based upon their assigned priorities.Work with individual users to ensure that data is properly backed up and restored as needed, and that profile and applications are setup correctly on new builds.Documents all actions by completing forms, reports, logs, and records.Self-motivated and the ability to function independently as necessary. Essential SkillsWe are looking for a person with a desire to learn Windows Powershell or expand their current knowledge of Windows Powershell and other scripting technologies.A desire to complete the work in the most efficient and accurate way possible.Strong interpersonal skills and the ability to listen and explain solutions to non-technical users in a concise manner.Experience with Windows 2008/2012 in an Active Directory distributive environment is desirable.Working knowledge of Microsoft Windows Office (all versions) and Microsoft Exchange. Other Skills/Qualifications:A+ certifications is required.Microsoft Certified Solutions Associate Windows 7\8\2008\2012 (MCSA) is a plus.Experience as a Desktop Support Technician is a plus.Ticketing system experience, ITIL framework is a plus.Experience with a VMWare environment is desirable.","Atlanta-based Leapfrog Services, Inc. is a rapidly growing (MSP) Managed IT Services Provider.  Leapfrog Services manages the IT infrastructure and ongoing computer support for numerous companies in the mid-size business market.  Leapfrogs core offering, OneSourcing, allows clients to pay a single monthly fee for a remotely monitored and managed computer network, end user support desk, antivirus and firewall security, remote access and even new computer hardware and software.  Leapfrog configures client's computer systems so that they can be easily managed and maintained entirely over the Internet. This emerging IT model of subscription-based computing has been dictated by the needs of businesses to be more streamlined.  Leapfrogs services give our clients a competitive advantage by having their technology managed cheaper, better, faster.",0,1,1,Full-time,Entry level,Certification,Information Technology and Services,Information Technology,0
9058,Graduates: English Teacher Abroad (Conversational),"US, GA, Athens",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Vacancies in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
4742,Sr. Application Support Specialist,"US, MN, Minneapolis",,,"Insite Software is looking for smart and motivated people who want to help change the way companies are doing business. We hire for talent, initiative, creativity and innovation. Were growing rapidly and need great people to help us grow. We offer competitive pay and benefits, a great, open atmosphere and a team that is incredibly talented.We are a leading provider of eCommerce and shipping platforms for manufacturers and distributors requiring B2B, B2C and mobile solutions. InsiteCommerce™ is used by many leading companies for end-to-end eCommerce across their dealers, franchisers, stores, contractors, consumers, and others. Many of our customers also leverage InsiteShip™, which is an internationally enabled supply chain shipping solution to gain efficiencies in shipping and delivery of products.We work hard and have challenging projects. We collaborate. We communicate. We celebrate. Be part of a company where you can make a difference.Some of the great things about working at Insite:Conveniently located on the light rail in the warehouse districtFlexible hours and remote working optionsWork with some of the smartest and most interesting people in the industryRapidly growingFree pop, coffee and teaBike racksLeading-edge technologyRegular all-company update meetingsTwins tickets offered to employees (were right across the street)Rooftop BBQs and other sponsored company eventsTons of restaurants nearbyCompany bonus programStock options for key contributorsIf youre an engaged and energized individual and want to join a great team, wed love to meet you!","The Commerce Application Support Specialist has the primary responsibility to engage, direct and help resolve technical issues that come from Insite Softwares Commerce clients.  In this position, you have responsibility to ensure that all customer requests are acted upon within the specified timeframes. You will address requests within their area of expertise, and be committed to patiently and professionally work with clients to resolve operational, application and technical issues.","RESPONSIBILITIES:·         Engage directly with the customer when the request is within the scope of their knowledge·         Evaluate customer requests that have been directed to them for immediate action and resolution·         Direct customer requests and escalate issues to the appropriate resource within Insite·         Document each customer contact, actions taken, and resolution in CRM·         Work with customer to identify and implement quality work-arounds to their software and business processes to address operational issues that result from software issues·         Test reported functionality issues for further action·         Manage customer requests to insure timely handling and proper direction·         Provide training and process documentation as appropriate·         Acquire and maintain domain knowledge for products being supported and implemented·         Adhere to written procedures developed for the processing of customer requests and serviceQUALIFICATIONS:·         Bachelors degree preferred·         Strong problem-solving and analytical skills required·         Previous work experience in a Customer Service/Support, or an Application Support role required, ideally in the Software or SaaS industry ·         4+ years of overall business experience in a professional environment·         Working knowledge of ERPs, manufacturing systems and business processes·         Project Management and Web application experience·         Technical experience and aptitude, with focus on the following tools and technologies preferred:C#, MVC, HTML/CSS, JavaScript/JQuery, and SQL query writing experienceIIS, MS SQL Server, Visual Studio, SVN, and Flash/Flex experience","Insite Software is located in the fun, up-and-coming warehouse district of downtown Minneapolis. We offer competitive Health, Dental, Life and Disability benefits.  We provide a 401(k), paid time off and a positive, supportive and stimulating growth-oriented work environment.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,,0
13772,Senior SEM Executive,"GB, LND, London",,,"Launched in 2010, Lyst is an innovative way to shop for fashion online thats used by millions every month. Lyst partners with the worlds top fashion brands and stores - from Topshop, Balenciaga, Alexander Wang and Burberry to Saks, Net-A-Porter, Lane Crawford and Barneys - to provide people with a personalised way to discover the fashion they love. Lyst has raised over $20million from a range of all-star investors including Accel Partners (Facebook, Spotify), Balderton (Yoox, MySQL), DFJ (Skype, Hotmail) and the teams behind Michael Kors, Oscar de la Renta and Tory Burch.","The OpportunityLyst is looking for a highly skilled and analytical SEM Executive to help scale up and drive its Paid Search activity. As a Senior Executive, you will be play a key role in the day-to-day management and optimisation of paid search campaigns - to drive performance in line with ROI targets. You will have exceptional knowledge of Google AdWords, Bing Ads and understand how to get the most out of these platforms. Working alongside the SEM Manager, you will play an integral part of a highly productive, effective and data-driven team working to deliver growth for Lyst across a wide range of international markets.Key ResponsibilitiesDrive SEM traffic across global markets through the implementation of effective and profitable search campaigns.Building out of campaigns, ad groups and keywords.Audit and expand keyword and negative libraries across all markets.Develop and analyse ad copy/landing page tests.Implement strategies and tactics to improve quality score and average positions for all activity.Establish good working relationship with Lysts Google and Bing contacts for maximum insight into the space, as well as enable the latest product betas.Drive innovation and implement SEM testing strategies to continually uncover optimisation levers, enhance learning, and improve performance.Maximise profitable traffic from emerging search and digital marketing formats such as product listing ads, remarketing and paid social.","Appropriate experience of relevant Digital Marketing / SEM (ideally in e-commerce or competitive online industry).Strong Paid Search knowledge, with a hunger to learn more.Experience working with large budget international PPC campaigns, within an ROI focused environment.Youre highly analytical, very comfortable with raw data, and love continually making things better.Youre also very creative and are constantly coming up with new, imaginative ideas to explore and test.Good understanding of the Digital Marketing landscape, and emerging platforms and technologies.Excellent attention to detail.Strong communication skills.Confident team player, comfortable approaching/working alongside of departments.Ability to work independently, balance priorities and take initiative.Desired:Experience of managing Digital Marketing campaigns beyond Paid Search - especially Facebook and YouTube.Candidates with experience of display networks beyond Google, and advertising tech such as DoubleClick or Criteo will have a distinct advantage.Experience of working with bid management software.",,0,1,0,Full-time,Mid-Senior level,,Apparel & Fashion,,0
9076,Customer Service Associate - On Call ,"US, VA, Fredericksburg",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Fredericksburg, VA. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Part-time,Entry level,High School or equivalent,Education Management,Customer Service,0
9506,Office Manager,"HK, , Hong Kong",,,"At Hayes-Corp, we create the fun stuff.  With a focus on software development for mobile platforms, we are constantly looking for talented people that can think outside the box logically and creatively.  Whether you define yourself as a coder, a marketer, or a number cruncher, we give you the resources to excel at what you do best. If you dont see a position you like, but think you have what it takes - just send your resume to #EMAIL_eaa37b5bf6cd5f435db52ea17edfd1f61c685afdf336f31ca36d3514a139e79e#.","Hayes Corp is looking for an Office Manager with many talents.You would have problem solving and decision making abilities, integrity, assertiveness, flexibility, accuracy and the ability to cope with pressure in a fast-paced environment.You will support company operations by maintaining office systems and supervising staff.ResponsibilitiesExpand business functions, which extends to operations-related departments and teams.Improve the operational systems, processes and policies - specifically, support better management reporting, information flow and management, business process and organizational planning.Manage and increase the effectiveness and efficiency of Support Services (HR, IT and Finance), through improvements to each function as well as coordination and communication between support and business functions.Maintain office services and efficiency by organizing office operations and procedures, and planning and implementing office systems, layouts, and equipment procurement.Design and implement office policies by establishing standards and procedures; measuring results against standards and making necessary adjustments.Complete operational requirements by monitoring employee work processes and evaluating the outcomes.Maintain staff results by coaching, counseling, and disciplining them; planning, monitoring, and appraising job results.Maintain professional and technical knowledge by attending workshops, reviewing professional publications, establishing personal networks and participating in professional societies.","2+ years experience in executive level support2+ years experience in managementMust be familiar with Microsoft Office and Apple iOSExcellent verbal and written communication skills in Cantonese and EnglishDetail oriented, able to handle multiple projects simultaneously, customer service oriented, and extremely professional with a positive attitudeSkills/Qualifications: Supply Management, Informing Others, Tracking Budget Expenses, Delegation, Staffing, Managing Processes, Supervision, Developing Standards, Promoting Process Improvement, Inventory Control, Reporting Skills","Open working environmentCompetitive Salary and BenefitsMPF and Medical InsuranceA lot of new technologies such as Apple, Android, Google, and so on",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Games,Management,0
2681,CAD Draftsman,"US, PA, Waynesburg",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Are you a seasoned CAD Draftsman looking to take your career to the next level? This is a fantastic opportunity to join a fantastic, strategically growing company in one of the most booming industries in the country!Opportunities for advancement are extensive as the company is currently expanding. Strong comp and benefits package, temporary housing, and relocation packages are available for qualified candidates.This opportunity is especially great for U.S. veterans who want to utilize the skills learned in the military to transition into a promising and exciting career.Apply today for an opportunity to join a robust company in the mission to achieve American energy independence!Job Scope:The CAD Draftsman operates Computer Aided Design (CAD) computer system; creates, updates, and modifies maps and performs manual #URL_1bf80dd47bb3bfc5bab302e0b93d567dd3e28ae97529b47f8cf644335fa5afd3# Job FunctionsCreate CAD drawings for engineering projects and streamline the logistical process.Determine materials list. Create and modify maps for special assignments.Incorporate drawing files into maps.Plot new maps.Copy project drawings.Create manual drawings for engineering projects.May assist in developing survey maps and layouts, when necessary.Work closely with regulating agencies, builders, contractors and the general public.Perform other related duties, as assigned. ","Education: Associates degree or completion of a technical trade school in CAD, drafting, or related field preferred.Knowledge and Skills:Working knowledge of AutoCAD.Work well within team environments.Meet specific deadlines on multiple project assignments.Demonstrate exceptional drawing graphics.Experience: 3  5 years of related oilfield or heavy construction work experience or any equivalent combination of experience and training that provides the required knowledge, skills, and abilities.*Military experience is highly valued by the employer; candidates with military experience can expect some flexibility in terms of requirements, so long as fundamental skills and competencies are present. ","Industry, Location and CompensationIndustry: Oil &amp; GasLocation: Waynesburg, PA, and Buckannon, WV  super-commuting and relocation packages availableCompensation: Commensurate with experience",0,1,1,Full-time,Not Applicable,,Oil & Energy,,0
10756,"Coding Manager & Charge Capture (Chargemaster) Near Bellingham, WA","US, , ",,,,"Technologically advanced community, general acute care medical center with a quality focus in quest of satisfactory patient, employee and physician experiences.Salary Range$57K to $80KManages the daily operations of the coding department to ensure that diagnoses and procedures have been collected, coded and accurately reflected utilizing DHMC coding and compliance regulations, as well as other legal or accreditation organization requirements. Develops and leads the team that carries out coding operations.","RequirementsPosition requiring proven track record in Coding/ Charge Capture management.Certified CCS-, CCP from AAPC or other specialty certification from AAPCSupervisory experience3 years of medical coding experience","Offering a full benefits package on par with quality-oriented, general-acute-care hospitals.",0,0,0,Full-time,Mid-Senior level,,Hospital & Health Care,,0
17452,Client Success Advisor,"US, IA, Coralville",Customer Success,,"Join Our TeamIf you are interested in joining a fun and fast paced environment while growing your personal skills as well, please send us a resumé and a quick explanation of how you think you would be a great fit for HLT. We have listed a few positions we are specifically looking to fill, but we are always looking for anyone who could help us grow!HLT Mission:To empower students by creating the most innovative, captivating and successful education platform.Our Product Lineup#1 grossing educational app in the iStore#1 grossing educational app in Google Play StoreOver 400,000 downloads2 top 5 grossing educational apps in the iStore and Google Play4 top 50 grossing educational apps in iStoreOver 12 million practice questions answered","Have the urge to do something great? Want to change the world? Then you are reading the right ad. We are Higher Learning Technologies, and it is our mission to innovate the modern way of learning. Working at a startup will require you to bring your ideas and insights to the table. If this sounds like you, please keep reading.Responsibilities:* Professionally interact with clients and students who reach out for support.* Troubleshoot client issues in a quick, and timely manner.* Show compassion and empathy for clients with whom you are in contact. Go well beyond the normal expectations of service.* Continuously evaluate and identify opportunities to drive process improvements that positively impact the clients experience.* Thoroughly and efficiently gather client information, assess and fulfill client needs, educate the client to better understand the benefits of our products, and document interactions through our client relation management tool.* Advise and mentor clients to achieve personal goals and success. Make them feel like they can reach out to you for any help or advice.As a client success representative, your job goes far beyond the industry standard of customer support. You will be in the position similar to that of a college advisor or life mentor to college students, that are about to take the most important test of their lives. These students will need technical, content, policy, and personal support as they prepare for their boards. Your role will require you to think outside the box in order to create a “jaw dropping” experience.","* High commitment to client satisfaction; loves to help others.* Ability to communicate clearly and professionally, both verbally and in writing.* Strong decision making abilities; thinks and reacts quickly.* Passionate about learning and personal education.* Detail oriented, with strong communication/listening skills.* Must have a fun and energetic attitude.* Should possess a strong work ethic, be a team player and be a very self-motivated, and a driven individual.Must have 1+ years in customer service or help desk experience. Would also prefer 1+ years in sales, but not required. Mobile app usage and social media knowledge is a must. Experience with email, phone, and web support desired. Understanding of technical support issues related to phone syncing, internet connectivity, and app troubleshooting is a plus.",,1,1,1,,,,,,0
5238,Community Manager,"NZ, N, Auckland",Operations,,"BuzzDial lets you instantly share reactions to live events and shows as they happen using our web platform or suite of mobile apps. We're based in Auckland, New Zealand and have users around the world and global ambitions. Our tight and talented team is growing fast - and we're hiring across a wide range of roles.If you want to work with a team that prides itself on being the best, work with awesome clients and passionate users around the world and are committed to delivering amazing, well-crated digital experiences that captivate users you should join us!If you fancy joining a team which is scaling up some of the most technical and beautiful faces on the way to the summit, pick a role from the fine selection on this page, or even speculatively drop us a line. We would be very happy to hear from you. Working in this business will be both fun and career-defining!","The Online Community Manager will build a highly engaged and growing BuzzDial user community.They will manage user relationships by communicating actively with BuzzDials user base, encouraging ongoing engagement with our platforms, encouraging recommendation and fostering community behaviour. Key Responsibilities:In collaboration with the wider team, establish a retention, engagement and advocacy strategy with all registered usersCommunicate with our community on a daily basis through a range of activities on the BuzzDial platform, through other social channels (eg: Facebook, Youtube and Twitter), through other methods like search, blogging and email marketing and through off-line communication where appropriate.Create content for the BuzzDial community including copy, imagery and video as appropriate, crafting social objects which users can engage around and shareClosely monitor and report on user performance and respond to insights. Respond quickly to user queries and feedback to achieve a high level of user satisfaction","Tertiary Qualification with 2 years relevant working experience and solid working knowledge of the principles of customer service, social media or community development, ideally for rapid-growth start upsDetail-oriented: a guru on tone of voice, and visual elements that impact the effectiveness of outreach and contentInquisitive and curious: you love asking questions, combining quantitative stats with qualitative details, and yearn to better understand our users underlying needs. Youve got a knack for research, sharp wit, and a willingness to do whatever it takes to help our users, at all timesExperience writing and distributing engaging content. Preferred prior experience in blogging, journalism or marketing communicationsStructured, methodical, even obsessive in managing relationships and follow-upsCreative in leveraging social channels, emails and product to build a loyal core contingent of early adoptersSolid experience in the following digital channels:  Social (Facebook and Twitter campaign management), Search, Mobile Search and Display.An in-depth knowledge and understanding of Social Media platforms and their respective participants (Facebook, Twitter, YouTube, Instagram, Pinterest etc.) and how each platform can be deployed in different scenarios.Solid Reporting and Analytics skills  the ability to set targets, report on them, then act on insights generated.Analytics Platform knowledge  solid understanding of Google Analytics primarily, as well as social media platform reporting tools  eg: Facebook Insights. Other specialist tools should form part of your regular operating toolkit. Ability to configure a campaign correctly in GA is essential.Knowledge of global television shows/culture with a focus on Reality, Sports and Awards contentHighly articulate use of the English language  both written and verbal. Another language a bonus.Ability to pick up new tools quickly.Ability to actively listen, question assumptions and drive out the right conclusions through interrogationA high level of commitment to delivering the best work possible.",,0,1,1,Full-time,Associate,Bachelor's Degree,Online Media,,0
10422,Talent Manager,"CA, ON, Toronto",People & Culture,,"Vend is looking for some awesome new talent to come join us. You'll be working in an awesome team doing awesome things, and generally being awesome.Learn about us on our blog, or meet the team on Twitter, Facebook, LinkedInAwesome SpaceOur brand new Auckland office space is located on Nuffield Street in Newmarket, surrounded by our customers and the industry we love. It is huge, open, and shiny new with great meeting room spaces, casual working environments and plenty of space to host awesome events. We don't do cubicles, just plenty of space, whiteboards and meetings rooms. We also have a great cafe/retail space with our very own Front of House Manager taking care of our visitors and fellow Venders. Like Auckland our Melbourne office is surrounded by retail. It's a great wee space equipped with tiny houses and some awesome cardboard cutout animals.Our Toronto office is located right in the heart of downtown retail district. Like Melbourne and Auckland there's a great vibe with great coffee, boutique stores and some of the greatest restaurants in the city. Our SoMa office in San Francisco is located in the middle of the SF tech scene, just a (Biz) stone's throw from Twitter.The EnvironmentWe want you to be at the top of your game. You can wear whatever clothing you like, start work late in the morning, take breaks whenever you want and generally work the way you want to work. Who we're looking forVend is looking for people to push the boundaries. We are a hard working professional team with a wicked sense of humour, and we are looking for people who thrive in a collaborative open environment. We want passionate, hard working, talented individuals that want to lead in their field.","First things first….. If you dont know already Vend is a globally successful, award winning web based SaaS point of sale for retail. Were chucking out crusty old cash registers and replacing them with iPads, touch screens and beautiful software.  Were shaking things up and pushing out innovations, therefore finding - and meeting a huge demand for our services all over the world. Were absolute lovers of retail, all of our amazing offices are surrounded by retail and day to day you will have the chance to work with the most talented humans all over the world.  As a Talent Manager at Vend you will play a huge role in helping us scale to extreme new heights by identifying the best talent around and luring them into our awesome, award winning and entirely spectacular team.Recruiting at Vend isnt your usual kind of recruitment gig. The emphasis we put on giving all of our candidates an amazing experience regardless of their success with us, the technology and tools we use, the level of importance we put on our employer brand and the amount of innovation and creativity we put into every recruitment experience is what sets us apart from everyone else.Vend is as successful as it is because of its people and the culture we have created. Its our job in the Talent team to find the best of the best, not just from a technical perspective but a cultural one too so not only will you be a fantastic recruiter, you will live and breathe our culture and values and you will have a natural ability to identify people who are a good fit. You will have candidates tell you that their experience with you has been the best theyve had with a recruiter, you will have hiring managers high fiving you on a daily basis and you will wake up every morning excited about the day you have ahead. You will be used to working at extreme pace and you will be flexible but most of all you are happiest when helping people and you want to make an impact with the work you do.We dont mind if youve only had a couple of years recruiting experience, thats a-okay with us. We want overwhelming enthusiasm and ambition and someone who knows how to have fun and is okay with our sometimes inappropriate jokes. You'll work closely with our P&amp;C Manager for North America who is also based in Toronto and you'll be excited about doing a lot of different things that fall out of what's a normal ""Talent Manager"" role. ","To be successful in this role at Vend you will need to;More than know your way around recruiting a variety of roles in the Sales &amp; Customer Success space on top of the other random roles that appear from time to time in Finance, IT, Administration &amp; Marketing.Know whats going on in the recruitment industry - the latest, greatest and raddestHave experience building talent pipelines of candidates who know who we are, what were about, are keen to stay in touch. Then be proactive in maintaining those pipelines on an ongoing basisBe a user of social media in the recruitment space. Youre on Twitter, your profile on Linkedin is boss and youre a fan of HangoutsHave a natural affinity with all things technology. We use a suite of tools to help us do our job so youll need to confident and excited about using lots of awesome and exciting technologiesA super fine tuned bullshit radar / spidey senseEnjoy and be good at speaking events with audiences varying from students to teachers to sales people and designersBe ridiculously organised. Youre going to be busy so excellent time management skills are essential.Be great at working as a member of a super tight People &amp; Culture team who work in a completely transparent way where every candidate email is visible and every online interview is recorded.Work the admin part of the role like a boss - ensuring our contracts are legit &amp; payroll forms are taken care of. Be willing to help out with other roles within Vend all over the world","What can you expect from us?We have an open culture where we openly share our results and where your input is truly valued.We have a lot of fun at work!  Like playing pool whenever you want, getting a Dia de los Muertos makeover, zoning out on the couches listening to music or raiding the snack cupboard (FYI frozen marshmallows are the latest craze at Vend - seriously, try them!).Work-life blend.  We know you have a life outside of work. We know you probably have little side projects or businesses too. This is valued and at Vend you can have a life inside work too.Utilise all your talents.  Not just the ones written in your job description.We welcome all of you at work.  We dont want you to leave your personality at the door.So, whats next? Get in touch, apply now, hit that button, get it done and come have a chat with us about how you can work at Vend. We cant wait to hear from you.",0,1,1,Full-time,Associate,,Staffing and Recruiting,Human Resources,0
5366,Customer Service Representative,"GB, LND, London",Customer Service,18000-22000,"Wedo is a collection of niched e-commerce stores which aim to be the first destination for online shoppers buying in the various niches that we operate in. Due to our continued commitment to the best, we are now looking for more people to join our lively team at a very exciting time. As we continue to grow we're looking for incredibly passionate people who want to be part of something amazing. Were looking for outgoing, positive and driven individuals who want to make a difference where they work. We can teach you a lot of what you need to know about the job. What we cant teach you is aptitude and attitude, two of the most important aspects to succeeding at Wedo. If youd like to work in an environment that feels friendly and welcoming, professional, highly interactive and ready to hear your ideas, then read on.","Wedo is a collection of niched e-commerce stores which aim to be the first destination for online shoppers buying in the various niches that we operate in. Due to our continued commitment to the best customer experience possible, we are now looking for more Customer Service Representatives to join our lively team at a very exciting time. As we continue to grow we're looking for incredibly passionate people who want to be part of something amazing. You'll need to be ambitious, dedicated and want to get involved at creating an unparalleled experience for each and every customer.Were looking for outgoing, positive and driven individuals who want to make a difference where they work. We can teach you a lot of what you need to know about the job. What we cant teach you is aptitude and attitude, two of the most important aspects to succeeding at Wedo. If youd like to work in an environment that feels friendly and welcoming, professional, highly interactive and ready to hear your ideas, then it makes sense to read on.You will need to show experience of working in a telephone based support where you have played a significant role in ensuring the Customer gets the service they require. Dealing daily with our Customers, Suppliers and Carriers you must enjoy communicating with people and display a naturally calm and logical manner, whilst staying tough enough to handle any situation that may arise. Wedo uses Desk as its CRM system and knowledge of system based help desks would be a distinct advantage.",The successful candidate must have:Ability to multi-task efficientlyOutstanding communication and administrative skillsStrong attention to detailAbility to work on your own initiativeExcellent computer and office skillsExcellent English skills (written and verbal)Friendly and outgoing personalityLegal right to work in the UK ,"Salary of £18,000-22,000 per annum.",0,1,1,Full-time,Entry level,Unspecified,Retail,Customer Service,0
8077,Croydon Customer Service Apprenticeships Under NAS 16-24 Year Olds Only,"GB, CRY, CR0 4XY",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Customer Service. During the first 12 months you will work towards a Level 2 Customer Service NVQ and then be kept on in a permanent position.You will be working for one of the UK's largest vehicle rescue organisations and the role will involve:-Being a vital team member-Making outbound calls to customers on behalf of their insurer-Asking questions to acquire all the relevant information-Inputting all of the information onto the sheet/data inputting-Ordering partsIdeal candidates will be happy to pick up the phone.If you are confident with a positive attitude please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Customer Service,0
12514,Technical Lead,"US, NC, Charlotte",,,,"The contractor will serve in a Technical Lead role for IT Projects in support of the Corporate (Legal, Audit, Admin Services) and Metering business functions. Must have recent development experience and background with vendor product implementation projects. Responsibilities will include: Provide technical oversight and perform hands on activities for vendor installation and configuration tasks. Able to interpret and document business and technical requirements in order to perform assessment of software capabilities to determine any gaps that exist; identification of what components need to be built to address the gaps; determining development estimates; participating in requirements gathering sessions; oversee and participate in design, construction, and implementation activities; creates SDLC artifacts such as High Level Design and Detailed Design; ensuring that the technical design meets the functional requirements; establishing dev, test, and prod environments; ensuring that all components are designed, coded, and tested to meet standards; reviewing and approving test plans; participating in testing phases; prioritizing work across the development team; communicating issues, risks, and status to PM in a timely manner; executing the Transition to Support plan; executing the implementation plan.Education: Bachelors Degree or a combination of education plus equivalent work experience.Will work to get packages installed, including some light customization. Must be all around technically savvy and understand processes. Will be putting together designs. Big picture ability to own something and run with it. Familiar with software installation and configuration. ",,,0,1,0,,,,,,0
16305,Operations Support,"GB, RIC, Twickenham",,18000-20000,"With an exceptional record of over 50% growth in each of the last 5 years, weve become the UKs largest online retailer of products for the home and garden. We employ over 200 staff, offer more than 500,000 products on our sites and achieve sales in excess of £70m a year. Were increasingly renowned as one of the UKs most exciting ecommerce success stories and have ambitious plans to become a household name in home and garden retail.","Job Outline:The operational department plays a vital part in the growth of WorldStores. We are looking for personnel to join this operations team, assisting our refund team as well as providing support for day to day order flow. The role will be split between processing customer refunds and assisting in any order amendments or supplier queries.Responsibilities:-          Processing customer refunds.-          Achieving daily targeted refund figures.-          Actioning order amendments on internal and external systems.-          Data entry.-          Dealing with Supplier queries and processing issues.","Criteria for Success:Were looking for an organised driven person, who can balance answering calls in a well-mannered and clear fashion to resolve supplier and other departmental queries in a timely fashion at the same time as reaching your refund targets on a day by day basis.Required Skills &amp; Experience:-          The ability to achieve targets while working under pressure.-          The ability to manage and prioritise work to ensure all critical tasks are completed.-          A quick learner whos able to pick up new processes and learn new system over a short period of time.-          Basic excel skills",,0,1,0,Full-time,Entry level,Bachelor's Degree,Retail,Customer Service,0
2911,"Chief Operating Officer (near Jacksonville, FL) Hospital Exp.Required","US, , ",,,,"Technologically advanced general-acute-care hospital in attractive, affordable and family-oriented Florida community.This quality-oriented, for-profit hospital features very modern setting. Company is high-caliber and very financially successful and stable with a major national network of successful general acute care hospitals and many years of ongoing growth.The company brings exceptional service, leading-edge technology and effective cost control coupled with a philosophy based on integrity, competence and compassion.RequirementsFive years of hospital management/operations experience with a minimum of two to three years as a senior level manager is required.Bachelors degree required, Masters degree required in Business, Health Administration or other closely related fieldCurrent employment in a general-acute-care hospital strongly preferred.CompensationPackages commensurate with experience and a strong benefits program including medical, dental, and life insurances, 401(k) retirement plan.",Expect to win!,"CompensationPackages commensurate with experience and a strong benefits program including medical, dental, and life insurances, 401(k) retirement plan.",0,0,0,,,,,,0
4828,Web Developer - ECommerce,"US, FL, Weston",,50000-65000,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#","Title: Web DeveloperLocation: Weston, FLDescriptionWe are currently seeking a full-time Web Developer to work in our Creative department at a global appliance and food service equipment manufacturer and distributor. The position will maintain and upgrade our current websites as well as create new websites. This role is responsible for the design, development, testing, implementation and maintenance of those sites including ecommerce.-          Must be a self-motivated developer who can collaborate with members of our design, marketing and sales team.-          Candidates will need to have knowledge of PHP, WordPress &amp; Magento (MVC). All of eCommmerce is on Magento Platform.-          Candidate must be proficient with jQuery, Ajax, JavaScript, HTML, XML and CSS. Candidates will need to have a very basic understanding of how to create calls from Magento to the back end SYSPRO system.-          Knowledge of Affiliate marketing, Fraud Management and Social Media. (Highly preferred)Requirements-          MySQL database maintenance, creation, updates and experience using MySQL queries in code is required-          Knowledge and experience with MySQL database upgrades on the server as necessary and any changes to the queries in code that might be required for these upgrades-          Web hosting maintenance, updates, and configurations such as .htaccess and #URL_2a88316364dacfa2e528f3b5f69b793a123a9608cf72496f34fd246cfc9fb6ae# file coding-          Knowledge of upgrading website config files or website software to meet hosting upgrades such as #URL_881938c94b90a359fba4a4ffcf89f9f71592bbb0734ede43b3f6ab50df7d9680# upgrade-          Knowledge of DNS changes for domain names and hosting -          Knowledge and experience with Paypal, #URL_f31bb7f26a77c26db325bc1dd9725bf6fa868c206bd1820a1bbc7b6438aedb43#, or other payment gateway integrations- Experience integrating APIs and web applications with software such as Wordpress or Magento-          Experience with HTML 5 and CSS for Responsive Web Design and Development",,Benefits Offered,0,1,0,Full-time,Associate,,Information Technology and Services,Information Technology,0
10476,Area Sales Manager - Bangalore,"IN, KA, Bangalore",Sales,,"POSist is a completely cloud based POS for Restaurant industry.. It helps a standalone food outlet to a large food chain manage functions like Billing, CRM, Inventory control, Recipe and Wastage management. Realtime mobile reporting helps restaurant owner keep a watch on his business.We are the Leading online point of sale solutions provider. With the motto of providing ""training free"" and ""easy to use"" scalable solutions, it has successfully registered a PAN-India presence with customers in over 54 cities.POSist is the first company to provide a completely online POS to restaurants, which is also Internet outage friendly. Posist derives its edge from being a company focused on food industry only.  The platform is open to authorized third party application developers who want to serve restaurants with their solutions.","Job descriptionRoles &amp; Responsibilities:Sales of cloud based POS software to hotels &amp; restaurants.Handle inbound prospect calls and convert them into sales.Fixing appointments for products demos with clients followed by mailing them presentations.Deliver product demos and sales presentations (online and in-person)Follow up with prospective clients &amp; should be smart enough in closing deals.Participating in all Sales &amp; marketing activities, seminars &amp; conferences, representing the company for brand awarenessProviding suggestions to make up sales &amp; marketing strategies.Find out ways to generate new leads.Maintaining the database of prospects of the company.Be part of customer service and success as needed. Able to work independently yet a good team player and quick learner. Experience with customer identification, call planning, account management and closing.Must have good knowledge of Sales &amp; Business processes.Customer Relationship managementComfortable with CRM, Email marketing, Analytical tools.","Skills Requirements/ExpectationsExperience in establishing and maintaining relationships at CXO level in a customer organizationDemonstrated Leadership, Interpersonal, Communication and Presentation skills1-5 yrs experience as a Sales/Business Development Professional involved in Sales in the IT Services industry with proven track recordThe role requires co-ordination with the country leadership team and with corporate business management teams. Achievement of Sales targets within stipulated expense / budgetsCandidates Profile:Any graduate/Post graduate/MBA.Experience in selling software services &amp; IT Hardware products in past.Experience in selling ERP/ POS solutions is a benefit !!!Should have excellent communication skills (English, Hindi or any local language)Should have strong negotiation &amp; convincing skills.Required Skills - Dynamic professionalHigh energyGreat presentation skillsAnalytical skillsAbility to persuade and influence others.Ability to develop and deliver presentations.Ability to create, composes, and edit written materials.Strong interpersonal and communication skills.",,0,1,0,Full-time,Associate,Unspecified,Information Technology and Services,Sales,0
9532,Association Manager,"US, SC, Myrtle Beach",,,"We Help Create Communities that Withstand the Test of TimeThe Kuester Companies professional management division specializes in the management of communities throughout the Carolinas. From new construction to established communities, our veteran team of professionals oversee the maintenance and operation of associations that range in size from 20 to 3,000 homeowners.We represent and work closely with association members to protect property values, and uphold the quality of their community. Our regional offices and our well-trained on-site staff ensure that issues are resolved quickly so that homeowners can enjoy the benefits of their community throughout the year.","Job Title:         Experienced HOA ManagerGeneral Function   This is a full time position in which a person with extensive HOA management experience will manage the day-to-day administrative and community service obligations for the community or portfolio to which they are assigned.Scope of JobThis active position includes: managing communications between homeowners, boards, and the company support teams, initiating community correspondence, assigning/monitoring work orders and community action items, preparing for and attending board meetings (usually in the evening), completing community financial analysis on a monthly basis and presenting information to the Board of Directors (budget preparation and monitoring).Major Duties and ResponsibilitiesThis role includes but is not limited to the following:Consult and educate community board of directors in areas such as: litigation prevention, rule and policy implementation and development, financial planning, and legislation modifications.Complete regular site visits to review common area amenities, assist with rule enforcement, and coordinate community vendors.Organize and run board meetings, annual community meetings, and board elections.Plan and attend community and industry related eventsCreate strategic marketing/communications plans to provide direction              ","  Requirements 3-5+ years of HOA experienceOutgoing personalityAbility to leadInterest in industryWillingness to work around the clock and respond to community members outside of work hoursGreat time management skills,    Juggle several activities and manage time accordinglyStrong PC skills,Excellent verbal and written communication skillsCMCA, AMS, PCAM preferredMicrosoft Office skills required (Outlook, Word, Excel, etc.)",,0,1,0,Full-time,Mid-Senior level,,,,0
17683,Full-time Administrative Assistant.,"US, NC, Charlotte ",,1517-1517,,"Aesculap Healthcare Company is seeking for a full-time Administrative Assistant.The candidate must be professional, punctual, and self-sufficient with effective organizational and administrative skills. An ideal candidate should have the ability to work independently, strong multi- task abilities and willing to take on more tasks if needed.We are seeking individuals with a positive, upbeat attitude and a friendly personality. Duties will include, but not limited to: scanning and attached PDF documents, data entry, faxing, answering phones, and providing various support roles to administrative personnel.","Requirements:-    Excellent oral and written communication skills-    Must be punctual and reliable-    Proficient in MS Office/Word/Excel-    Extremely detail oriented, organized, and a self-starter",You would be eligible to Benefits after 1months of working with company Benefits offered are Health Insurance / Vacations / Bonuses ,1,0,0,Part-time,Entry level,Some College Coursework Completed,Accounting,,1
4115,Developer / Mobile (iOS or Android),"UA, 71, Cherkassy",Development,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,We're looking for a superstar mobile engineer who loves building cutting-edge native apps for the iOS or Android platform. You will ensure that Transferwise has the best mobile experience and together we will show the banks how mobile financial services are really done,"We're looking for somebody to- Provide hands-on development for iOS and Android- Write solid, well-documented code, and rooting out hard-to-find issues to ensure quality- Nurture an agile development processIs this is you?Fluency with Objective-C/Cocoa and/or Java/Android SDKKnow visual design and user experience principlesHave experience of taking an app from concept all the way to the Market.Also know API developmentStrong understanding of Internet technologies and mobile developmentUniversity degree in a technical subject, preferably computer scienceEnglish as a working languageAlways a plus:Previous experience in a financial institutionGrails / Groovy experienceStrong communication skills","We are offering you- Place in the fast growing company that is still young and flexible in decisions, yet old enough to be treated seriously- Decent salary, that's limited only by your proffesional skills- Business trips to Tallinn &amp; London- Awareness of modern trends, conferences participation- Interesting leisure activities- English lessons",0,1,1,,,,,,0
12529,Personal Care Worker - Community Living Assistant,"US, WI, Spooner",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","Looking for a change? Aurora Community Services is the opportunity that you are looking for! Aurora has been a leader in providing community based living services for adults with disabilities for over 25 years. No experience required, paid training, and we'll work with you to find you the perfect schedule.Currently we are looking for Community Living Assistants (personal care workers) in Spooner and Shell Lake; part time and full time (1st, 2nd, and 3rd shift) opportunities available. We are seeking compassionate, caring individuals who like to have fun while maintaining a professional balance. Our philosophy encourages people to be as independent as possible while supporting independence and encouraging community integration. Aurora provides residential and vocational services to individuals with brain injuries, physical disabilities, cognitive disabilities and/or mental illness.Duties/Responsibilities:Promote independenceCommunity IntegrationAssist with personal caresMedication administrationMeal Preparation/PlanningTransportation for consumersFollow individual service plans.If you are looking to make a difference, join the Aurora team.Aurora Community Services is proud to be an Employee Owned Company!An EOE/AA Employer ",Position Qualifications/Requirements:Applicants must be at least 18 years of ageHigh school or equivalency diplomaAbility to lift 50 pounds with reasonable accommodationAcceptable caregiver background checkAcceptable driver history and valid driver's license required for 1st and 2nd shifts.,,0,1,0,Full-time,Entry level,High School or equivalent,Hospital & Health Care,Health Care Provider,0
13981,Sales Rock Star wanted!!! $150K+,"NZ, N, ",,60000-150000,,"Sell an exciting new telecommunication service all businesses need.Business Sales Hunter $150K+Earn a Six Figure Income! Base &gt; $100,000PLUS Trail Income Bonuses! $50,000+B2B selling - and no competitors!Love sales? Love cold calling? Love networking? ARE YOU THE BEST OF THE BEST?Imagine... your voice mails arrive as emails now!Our service is brand new to the New Zealand market and we're growing fast - because everyone we talk to wants V2E!V2E seeks the best of the best sales hunters to cold call, network,do whatever it takes to reach New Zealand's business market, present the V2E mailbox service,and close. You'll earn up to $100,000 per annum as a base.Once you win a client, you will be responsible for your client base, acting as the first touch stone for any moves, adds or changes required. You'll earn from $50,000 per annum as a trail, which builds year on year.V2E uses latest technology client relationship management tools and a cutting-edge digital voice platform.Check us out: #URL_1f4d6100200d55f433b42b0058e399813c1a0b41f5f86d66e57cf3289f4a605a# or #URL_9f01566f137a488330ce96cc5309d623b8ad16e27a9758f13dd0c69f039993d4#",To apply for this role you will need to be the number one sales rep for the company you're currently working for.Call me now and tell me why I should hire you. 0800 3000 31 (option 9).,,0,0,0,Full-time,Mid-Senior level,Unspecified,Telecommunications,Sales,0
5011,Field Supervisor ,"US, MI, Ann Arbor ",,,"Populist Cleaning Co. is an independently owned, full-service commercial cleaning company.  Populist has been providing janitorial services to Southeast Michigan since 1980 and was founded on one simple idea: offer our customers the best possible service, quality, and value.As a growing company, we are looking for exceptional people who share our love for the customers we serve and the work we do!When you work for Populist, youll enjoy flexible hours, a friendly atmosphere, and competitive pay.  Youll also be a part of a team that is committed to supporting your career goals.  All necessary training will be provided.The ideal candidate is dedicated to providing outstanding customer service every day.","Full-Time Employment Opportunity: Field Supervisor Populist Cleaning Co. is an independently owned, full-service commercial cleaning company.  Populist has been providing janitorial services to Southeast Michigan since 1980 and was founded on one simple idea: offer our customers the best possible service, quality, and value.As a growing company, we are looking for exceptional people who share our love for the customers we serve and the work we do! We are looking to add a full-time, night field supervisor to our team.  This position affords flexible hours, a friendly atmosphere, and competitive pay. You'll also be a part of a team that is committed to supporting your career goals. Pay is commensurate with experience.  The ideal candidate is dedicated to providing outstanding customer service every day!Job SummarySupervise work activities of cleaning personnel in commercial, industrial, and medical establishments.  This includes cleaning when necessary, inspecting work, training staff on proper cleaning procedures to company standards, investigating and verifying complaints regarding janitorial services, taking corrective action and providing follow-up to Chief of Operations in nightly reports, completion of employee payroll tracking forms, and disciplinary functions.  We strive to create a consistently positive culture and rewarding environment for our staff. The ideal candidate will contribute by encouraging growth through hard work, focus and passion.  ","1-3 years of previous management/supervisory experience requiredAt least 1 year of experience in facilities maintenance preferredStrong attention to detailConsistently positive attitudeExcellent verbal and written communication skills- Ability to communicate clearly and professionally with customers and coworkersHigh level of ownership, accountability, and initiativeCommitment to fairness and professionalism -- is sensitive to the needs of the customer and staffOutstanding interpersonal skills to facilitate growth and support of field staff, building lasting professional partnershipAbility to work a flexible schedule based on company needsAbility to work a variety of tasks based on company needs",,0,1,1,Full-time,,,Facilities Services,,0
14490,HVAC Residential Sales Consultant,"US, DE, Wilmington",HVAC,,"Burns &amp; McBride has been providing quality home service solutions for generations.Since 1949, Burns &amp; McBride has been meeting the home comfort needs of Delaware homeowners. From our distinguished heating and cooling solutions to our innovative trash &amp; recycling and home security services, Burns &amp; McBride is devoted to ensuring that our customer's homes are always comfortable and secure.Through our four operating divisions, Burns &amp; McBride provides the most complete, guaranteed, and flexibly priced home comfort services in the market.Our successful programs have earned a reputation for innovation within our industry, and we look forward to even more growth in the years to come.A key element of our growth strategy is to build on the success of our existing team members.  We're looking to identify a few select individuals, with appropriate academic, work and life experience to join our team In both full and part-tme positions in a number of different capacities.Since our customer base is primarily located in northern Delaware, most of our staff and available positions are tied to our main office in Wilmington, DE.  However we do maintain a small call center in Provo, UT to provide extended customer care and telesales support services.For details on currently available opportunities and to submit an application and/or resume for consideration, please review the position descriptions listed below.","Burns &amp; McBride Home Comfort is not your typical HVAC company.  We've raised the standard for service by investing in our people, helping them to perform at their absolute best.We have a tremendous opportunity for a Residential Add-On and Replacement HVAC Sales Representative who has at least 3 years of previous in-home sales experience.  Knowledge of HVAC is helpful but not mandatory - we will train you, but prior In-Home Sales experience is a must!We have developed a system and it works.You must be willing to work flexible hours, including evenings and weekends to fit our customer's needs.There is no cold calling.  Appointments are set for you by our Sales Assistants and our Technicians.As a member of our team, you will follow-up on preset qualified leads in a 1 visit closing cycle with an average closing rate of 40 - 60%.  You will also be rewarded for self-generated leads.We are seeking well organized self-starters with excellent written and verbal communications skills.  A keen attention to detail and computer literacy is a must.  We will augment your knowledge with sales and product related training, giving you all of the tools necessary to assist homeowners by coaching them to make informed buying decisions. ",Previous in-home residential home improvement sales experience with a demonstrated record of success.Must pass pre-employment and continuing drug screening.Must pass pre-employment criminal background check.Must have a clean driving record.Work well as a member of a team..,"Compensation: Base salary plus commission.Benefits: Paid trainingCar allowanceMedical, Dental and Vision InsuranceiPad and telephoneShort and Long Term Disability Insurance401(K) Retirement PlanFlexible Spending Account (FSA)",0,1,0,Full-time,,,Consumer Goods,Sales,0
12195,Branch Manager,"US, NY, Ellenville",,,"Outstanding Member Service Starts With Outstanding PeopleIf you are committed to the concept of “above and beyond” and enjoy interacting with people either in person or on the telephone, then we want to talk to you.Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.","Would you like to work for a trusted organization that values its employees?  A USAlliance career is a journey that starts with a positive, productive, and engaging workplace where employees are valued and respected.Under the direction of the District Manager the Branch Manager has the responsibility of managing the branch operations of the CU and provides direct office supervision in the absence of the District Manager.  A thorough knowledge of procedures, policies and the ability to make sound judgment decisions, provide back-up for new accounts, act as back-up on teller line as needed,  coach and train tellers, and support and promote a sales culture.  Branch Manager is responsible to aid in accomplishment of individual branch and organizational goals.Duties and ResponsibilitiesMaintain thorough knowledge of operations procedures and Credit Union products and services.Maintain and assume responsibility of the branch to ensure that the branch is consistent in their daily, weekly, monthly functions.Create and support a sales and service culture within the branch that supports the CU Sales GoalsCommunicate daily exceptions of operational, employee or branch issues to the District Manager.Foster teamwork and strengthen relationships between the branch and support departments.Submit monthly summary of all branch happenings and submit to District Manager by reporting issues as they pertain to the branch.Answer questions and solve problems for members by monitoring and responding to branch questions.Ability to cover any function mentioned in the job description for Head Teller or Branch Associate.Effectively manage staff performance. Ensure that excellent, efficient, accurate, and professional service is provided to the membership. Coach staff to provide service excellence. Set sales and performance goals, provide training, provide timely review of progress.All positions must adhere to standard BSA/AML policies, procedures and processes.  Employees must demonstrate awareness of business functions and how business decisions affect financial and/or non-financial work results.","Able to manage multiple priorities and possess a strong understanding of branch processes, policies and procedures.Strong sales background.Must have a positive “can do” attitude and high energy level.Must have a high level attention to detail.Strong customer service orientation.Excellent communications skills.Proven leadership abilities.Aptitude to comprehend numbers &amp; calculations.5 years financial service or retail industry experience; 2 years in a supervisory or management role.Associates or Bachelors Degree preferred.","Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Banking,Management,0
10534,Junior Sales & Business Development Associate,"NL, NH, Amsterdam",Sales,,"Layar is a young and ambitious company located in the heart of Amsterdam. As the global leader in mobile augmented reality, the Layar app has over 35 million downloads and 2 million monthly active users. With the Layar Creator and its 80000 content publishers, Layar is pioneering the interactive print movement.Layar was acquired by Blippar in June 2014. Joining Layar means becoming part of a larger team, where technology is developed for both Blippar and Layar brands. You'll work closely with engineers in London, New York and San Francisco, with the ambition to create the world's best and largest Augmented Reality company.","An incredible opportunity to join our growing business development team has arisen and we are looking for excited and passionate people to help expand our existing client portfolio globally.As a Business Development Associate you will have the power to offer our best-in-class augmented reality solutions to the worlds biggest brands and agencies. Reporting to one of the Senior Business Development Managers, you will support their sales strategies by identifying and approaching the correct individuals within large organisations, highlighting the unique value proposition Layar and Blippar can add to their businesses.The role involves generating leads, email approaches and face-to-face meetings, as well as converting inbound enquiries. We do not have a KPI-led sales strategy; there is no 100 calls per day target. Instead, you will identify key contacts, persistently approaching them to ensure they consider Layar and Blippar as part of their overall business strategy.Roles and ResponsibilitiesInbound lead qualification: Identify and qualify new sales opportunities through multiple mediums (email, phone, inbound website leads and more).Pre-sales support: Research potential clients and assign leads to the relevant (regional) sales manager.Sales administration: Responsible for order fulfillment and CRM management.Account management: Assist in maintaining current client relationships.Marketing and product support: Assist in providing product support to partners, clients and end-users via support forums and webinars.","We are looking for a quick learner who will assist in the Sales, Business Development and Marketing departments. This role is the first contact between the prospective customer and the company. You will be involved in the day-to-day activity of the Sales and Business Development department, such as administrative procedures and client liaison.You will be part of the fast-growing, global leader in Augmented Reality. Our team is multicultural, ambitious and driven, and were looking for a young and energetic addition to our Amsterdam office. Successfull candidates will have;Bachelors degreeMaximum 2 years of related experienceExcellent written and spoken EnglishGreat verbal and written communication skills (with ability to listen)Self-starter and result drivenFast learnerGood planning skills (organize your own work, set priorities, etc.)Tech-savvy and interested in the digital industrySecond European language preferred (Dutch, Spanish, German, etc.)",Laptop25 vacation days a yearOur common lunches with the whole teamGreat colleagues and atmosphere,0,1,0,Full-time,Entry level,Bachelor's Degree,Media Production,Business Development,0
9571,Inside Sales Representative,"US, MA, Boston",,,,"Were looking for great people to join the DataRobot sales and marketing team and help us capitalize on the exploding analytics market. The Inside Sales Representative is responsible for building the sales pipeline and getting the word out about DataRobot.Responsibilities:Responsible for building sales pipeline for DataRobotGet up to speed with the product, value proposition and pitch quicklyBuild strong relationships with key stakeholders and develop them through the sales processDefine and execute on immediate and near-term metricsSource pipeline via direct outreach (phone and email) based on prospect database and your own researchHelp grow the sales team by building a scalable, repeatable processFind new opportunities for DataRobot and work with the field sales representatives to close dealsAdapt quickly to our fast-paced, dynamic organization","Fast learner, very quantitative (we're selling predictive analytics), highly inquisitiveExceptional verbal and written communications skillsHigh interest and motivation to develop outstanding sales skills2-3 years of sales experience, particularly in software or technology industryStrong track record of meeting and exceeding quotasSalesforce experience is a great plusBackground in data science and/or computer science highly recommended",,0,0,0,Full-time,,,Computer Software,Sales,0
1896,Research Analyst and Monitoring Manager,"GR, , Athens",Strategy,,"We are a young, dynamic, fast-growing digital marketing agency established in February 2013 and headquartered in Athens, Greece. We are storytellers; we combine strategy with creativity and technology in order to create user-centric campaigns. We think of ourselves as Digital Brand-Builders; a team comprised of individuals with great experience in both digital communications and strategic marketing.  We offer our clients a 360 service that covers the entire spectrum of a brand's existence across digital channels. Our mission is to create world-class interactive experiences, empower audiences to connect and share, and thus, deliver measurable results through creative innovation.We are looking for the next passionate member of our team, so we can create interesting and innovative things together.","Interweave is seeking for a Researcher, who will measure, monitor and analyze information/data, in order to help us understand the needs, motivations and attitudes of people (users, members, fans, online communities). An Analyst to locate, filter, synthesize elements from different sources, and translate them into coherent insights that drive campaign KPIs.You will collaborate with strategists, account managers, technologists, community managers, digital planners and, with them, build brand experiences online. Our goal, and part of your job, is to create user-centric campaigns, highly engaging, which exceed client expectations and objectives. Requirements / Skills5-10 years experience in Market Research or Marketing3-5 years experience in survey design Experience in B2B space and/or consulting background Capacity to work with qualitative and quantitative research with the ability to creatively synthesize and translate the data into understandable/actionable conclusionsIs driven by the desire to understand the needs, motivations and attitudes of people, and constantly seeks a deeper level of knowledge and understandingIs excited about delivering strategic insightMust have Advanced Microsoft Excel skills (Macros, VBAs) and be proficient in PowerPointExcellent skills on verbal and written communication, presentations and meetings facilitationExperience with monitoring platforms such as Radian6, Sysomos, Alterian or BrandwatchExperience with Analytics platforms such as SocialBakers, SimplyMeasured, kissmetrics, Google Analytics etc. is also desirable Mindset / QualificationsA positive and passionate person, who loves what he/she doesA combination of a thinker and a doerΑ team-oriented  person who works well with othersWith sense of ownership for his/her projects and responsibility for their timely deliveryDemonstrated flexibility and ability to work under pressure; ability and desire to work in a fast-paced, changing environment, and conform to shifting priorities, demands and timelineSomeone who likes to be challenged, thus bettering his/her craft and producing higher quality materialBeneficial / OptionalAgency experience in a social media analyst or Digital/Web analyst role Master's degree in Research Methodology or Statistics preferred; Experience merging data from multiple data sources using data programming and querying tools such as SAS, SQL and/or Access databasesExperience with or understanding of online advertisingWith Customer Service experienceAbout UsWe are a young, dynamic, fast-growing digital marketing agency established in February 2013. We are storytellers, we combine strategy with creativity and technology in order to create user centric brand experiences in real time and for real people. We offer you a 360-degree service that covers the entire spectrum of a brand's existence across multiplatform digital channels. Our mission is to create world-class interactive experiences, empower audiences to connect and share in real time, and deliver measurable results through creative innovation.We are looking for the next passionate member of our team, so we can create interesting and innovative things together. We love numbers, we believe that the truth lies therein and we are committed to understanding the underlying meanings of attitudes and patterns in order to discover insights and trends. Do you?","5-10 years experience in Market Research or Marketing3-5 years experience in survey design Experience in B2B space and/or consulting background Capacity to work with qualitative and quantitative research with the ability to creatively synthesize and translate the data into understandable/actionable conclusionsIs driven by the desire to understand the needs, motivations and attitudes of people, and constantly seeks a deeper level of knowledge and understandingIs excited about delivering strategic insightMust have Advanced Microsoft Excel skills (Macros, VBAs) and be proficient in PowerPointExcellent skills on verbal and written communication, presentations and meetings facilitationExperience with monitoring platforms such as Radian6, Sysomos, Alterian or BrandwatchExperience with Analytics platforms such as SocialBakers, SimplyMeasured, kissmetrics, Google Analytics etc. is also desirable",,0,1,1,Full-time,Mid-Senior level,Master's Degree,Marketing and Advertising,Data Analyst,0
16961,Promotions / Marketing Assistant,"US, NC, Raleigh",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Seeking a candidate to work full time in a promotions/marketing capacity for growing event marketing firm. Seeking outgoing, high energy candidates who will have face to face interaction directly with the consumers . Must be able to work well with a team and maintain positive attitude. We are looking for someone who wants to have FUN. Position offers base  rate + incentiveExcellent opportunity for recent college graduates or others seeking to get their start in the marketing field", Ability to work up to 30-40 hours/week Strong verbal customer service skills Ability to be influential and overcome objections,Base plus commissionsPaid TrainingBenefitsVacation TimeQuick growth within the company,0,1,0,,,,,Marketing,0
16602,25 CLIENT SUPPORT OFFICERS HIRING : IMMEDIATELY PLACING,"US, KY, Louisville",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","DescriptionLEI Home Enhancements is hiring for ENTRY LEVEL customer service positions. We have an aggressive expansion plan laid out and are looking to find a person who would love to work in a fun, competitive, positive-minded environment.What We Do:LEI Home Enhancements is a marketing firm that deals with home improvement products.Why We Are Hiring: We are located in 8 cities and expanding to 15 this year. Therefore, our goal is to find a few people to  help in our expansion efforts. The people we hire will have a chance to get in entry level management training within the next 2 months and have unlimited growth opportunity.","Our Management Training Program focuses on the following areas:Sales and Marketing Leadership Skills Effective Communication Techniques Marketing Campaign Roles Sales Strategies Team ManagementRequirementsWho We're Looking For: You must possess great people skills. You must demonstrate excellent work ethic. You must have a positive business attitude like the rest of our enthusiastic staff. You must be confident you'll be successful, just waiting for a career opportunity where you can prove yourself.",BenefitsWe Offer: Weekly Base plus commissions (approx $18-$22 an hour plus commissions) Paid training Full Benefits,0,1,0,,,,Consumer Services,Customer Service,0
17574,"Part Time Job Work From Home, Daily Pay.","US, CA, Los Angeles",,,,"Part Time Job Work From Home, Daily Pay.Work Minimum 1-2 Hours Per Day Anytime.Won't Required Experience For This Job.You Can Earn $350 to $450 Everyday.Suitable For Both Male And Female.Totally Free To Join, Visit Here:-#URL_1e08499380b02eb73650d95cb71317582e70b55b5eeb4a23ec873c11442f38b0#",Won't Required Experience For This Job.,,0,0,0,Part-time,Not Applicable,Unspecified,Outsourcing/Offshoring,Other,1
13344,Online Marketing Director,"US, PA, Harrisburg",,,"Ameritech Media wants to give industry thought-leaders the marketing communications they need to stay out in front. Were the next generation youve heard about - making radical advancements in full-service marketing communications and how theyre delivered. With todays technology wired into our serious creative approach, its hard to find another who delivers our blend of strategy and creative this way.  Its clear were not a typical ad agency, marketing firm, integrated whatever - we are a full-service, any message on any platform company where you get exactly what you want, how you want it. ","The Online Marketing Director is responsible for the creation andimplementation of digital/online marketing across different channels targetedto drive brand preference, conversation and inbound leads. Scope of workincludes developing, planning, producing, measurement of online marketing andsocial programs.You must have an experienced online strategy, creative ad production andmeasurement acumen that maximizes our business equities, resource efficienciesand market impact. ResponsibilitiesDevelop Online marketing strategiesExecute holistic online marketing campaignsDrive media planning and creative execution within budget parametersDeliver online briefsCreate and implement CRM programDevelop social program that includes media, creative and internal assetsReport ongoing campaign metricsOther tasks as assignedSkills:Excellent skills in planning and communication.Good insight into advertisement/messaging creativeExperience with online measurement tools, performance tracking, software for executing digital programsAbility to forge good teamwork with other departments.Exceptional project management skillsExceptional detail orientationAbility to work independently with little or no direction; strong decision making skills and problem solving skillsAbility to multi-task in a fast-paced, customer-focused environmentAbility to lead teams","EducationBachelors degree Experience5-7 years of experience, preferably in Online Marketing",This position includes an excellent benefits package.,0,1,1,Full-time,Director,Bachelor's Degree,Marketing and Advertising,Marketing,0
12378,SQL Server Developer,"US, NC, Charlotte",,,,"Need profile with good financial expPosition: SQL Server DeveloperLocation: Charlotte, NCDuration: FTE OR CTHSkills: SQL server 2008 and above :  T-SQL and Stored Procedures. creating and maintaining the Indexes and FunctionsJob Description:         5-7 years of experience in SQL Server(2008/2008 R2) development with emphasis on transactional systems with proficiency in writing the T-SQL and Stored Procedures.         Must have knowledge on creating and maintaining the Indexes and Functions         Experience with optimization and troubleshooting techniques to address performance problems with large databases         Experience with advanced SQL Server features including Partition tables         Develop, primarily using SQL Server Integration Services packages, ETL processes to move and transform data based on defined data architectures         Experience with various control flow containers: For Loop, For Each Loop, Sequence         Experience in data flow and data transformation controls         Package configurations         Test and prepare ETL processes for deployment to production and non-production environments.         Debug and tune SSIS or other ETL processes to ensure accurate and efficient movement of data.",,,0,0,0,Contract,Mid-Senior level,,,,0
2252,Electrical Maintenance Technician,"US, OH, Cleveland",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)#URL_94f805e93a6fa859cd8540e9767e187e295d764a384221d77f8880142b6416b7#-#URL_dbdb917780b325918ec611d0f47d6a0dff5ee871b96bc71180616d0d0570925b#Electrical Maintenance Technician -An Electrical Maintenance Technician is required having Seven years of experienceQualifications Required:To perform this job successfully, an individual must be able to perform each essential duty satisfactorily. The requirements listed below are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions. Education High School Diploma or equivalent, plus formal training leading to Electrician status in Machine Repair and/or equivalent on the job experienceJob Responsibilities:Wire machinery. Program machinery using various software programs to automate the machinesAssemble enclosures and panels",,,0,0,0,Full-time,,,,,0
7056,Senior Systems Engineer,"US, MA, Billerica",,,,"Our Boston-North client is seeking an experienced Senior Systems Engineer!  The Senior Systems Engineer is responsible for the design, installation, and support of Windows and UNIX based services. This will include server, storage, SAN, and tape environments at the clients corporate data center and remote sites. RESPONSIBILITIESDevelop, recommend, and implement enterprise hardware solutionsSupport production environment in a process driven, best practices mannerAnalyze and evaluate new technologies, hardware, and software solutionsHands-on troubleshooting of UNIX and Windows based infrastructure systemsDay-to-day operations support of storage and backup environmentsManagement of storage infrastructureAnalysis, upgrades and configuration of operations hardware and related peripherals QUALIFICATIONSWindows servers (2008, R2 and above)UNIX servers (Solaris 8+, HP/UX, Linux)Storage (Hitachi Universal Storage Platform (USP-VM), Hitachi Adaptable Modular Storage (AMS), EMC Data Domain, HP, Sun)SAN (Brocade)Backup (Veritas NetBackup, Microsoft Data Protection Manager (DPM)Excellent understanding of networking, DNS, mail flow, and related architectureFiber Channel Switch Fabric implementation, integration and administrationHigh availability and server clusteringExperience working with vendors and various solution providersData archiving is a plus  ",EDUCATION AND SKILLSBA/BS degree and 7 years of direct infrastructure and network experienceExcellent written and oral communicationsExperience working with vendors and various solution providersExperience with Data Archiving is a plusExcellent written and oral communications ,,0,0,0,,,Bachelor's Degree,,,0
6304,Growth Hacker In Residence,"FR, J, Paris",,,"We Build Great CompanieseFounders is a startup studio focused on building fast-growing SaaS technology companies. We believe that with simple ideas, great talents, some money and a lot of effort, we can build prosperous companies. Our goal is to co-found 2 companies per year and to help all our existing companies grow faster by pooling resources. ","eFounders is a startup studio focused on building fast-growing SaaS technology companies. We believe that with simple ideas, great talents, some money and a lot of effort, we can build prosperous companies. Our goal is to co-found 2 companies per year and to help all our existing companies grow faster by pooling resources. So far, eFounders has launched 6 companies: Mailjet • Textmaster • Mention • Front • Aircall • PresskingAbout the opportunityWe are looking for a highly motivated growth hacker to join eFounders team of experts. As our growth hacker youll be in charge of kickstarting and increasing the growth rate/adoption of our new projects thanks to non traditional / innovative solutions. eFounders environment is an outstanding environment to do growth hacking: youll work on several exciting SaaS projects with a team of experts (from designers to CTOs and marketing people) and with the possibility of trying innovative hacks to generate growth.Responsibilitiesyou will work on several projects along with eFounders experts in residenceyou will be in charge of creating the initial traction for our new projects (from beta testers to first “real” users)you will be in charge of validating assumptions about the market and the product through metrics and user feedbacksYoull have to get the work done","The ideal candidate will:understand the concept of growth hacking and love to generate growth thanks to clever hacks with a wide variety of tools (social networks, Google adwords, landing pages, viral pages, A/B testing, guest posts etc… whatever it takes, choose your own weapons)be highly creative in finding new techniques to attract userslove metrics and be willing to use them cleverlyknow very well the startup worldlisten to the inputs from his teammates (we are team players, no selfish players here) and know how to communicate his learnings/results to all team membersknow when to take initiatives and when to ask for permissionWe dont require a specific number of years of experience, just show us what you have accomplished so far and that you have what it takes to be a growth hacker.",,0,1,1,,,,,,0
9798,Marketing Intern,"NL, NH, Amsterdam",Marketing,,"Layar is a young and ambitious company located in the heart of Amsterdam. As the global leader in mobile augmented reality, the Layar app has over 35 million downloads and 2 million monthly active users. With the Layar Creator and its 80000 content publishers, Layar is pioneering the interactive print movement.Layar was acquired by Blippar in June 2014. Joining Layar means becoming part of a larger team, where technology is developed for both Blippar and Layar brands. You'll work closely with engineers in London, New York and San Francisco, with the ambition to create the world's best and largest Augmented Reality company.","An amazing opportunity to join our awesome Marketing team in the Netherlands and work across a series of projects that will give you hands on B2B marketing experience to help you develop a successful career in Marketing.As a paid intern you will work closely with the existing marketing team, supporting them with a variety of projects and work across all marketing channels. You will be trained, supported and mentored and be given a unique opportunity to leverage your existing marketing knowledge in a fast paced and creative environment.In this role you will;Help maintain Layar's social media presence with the goal of increasing reach through innovative content marketingSupport the Marketing Manager through content creation and curationRun monthly e-mail marketing campaignsRun analytics reports across varied campaigns and offer insight on how to increase campaign performanceCome up with new and innovative ways to market Layars platform to businesses and users","We are looking for bright engaging and motivated student or recent graduate who excited about the possibilities that Augmented Reality offer the world of communication. You will be curious, have excellent critical thinking abilities and an autonomously approach to projects. Ideally you will be working on or just finished a marketing or media focused degree and be looking for your first steps into the commercial world. Successful candidates will have;Outstanding written and spoken englishExperience using all forms of digital and web based productsExposure to Photoshop and other parts of Adobe Creative SuiteAn excellent understanding of marketing channels; online, offline etc","Extra InfoDuration of internship: 6 months, full-timeLocation: Layar HQ AmsterdamPayment: EUR €500 per monthFree lunch every dayCommuting expenses reimbursedPLEASE NOTE; This is a work experience internship, NOT a research internship! Apply",0,1,0,Full-time,Internship,Associate Degree,Marketing and Advertising,Marketing,0
11321,Part Time Cleaner- Ann Arbor Area ,"US, MI, Ann Arbor",,,"Populist Cleaning Co. is an independently owned, full-service commercial cleaning company.  Populist has been providing janitorial services to Southeast Michigan since 1980 and was founded on one simple idea: offer our customers the best possible service, quality, and value.As a growing company, we are looking for exceptional people who share our love for the customers we serve and the work we do!When you work for Populist, youll enjoy flexible hours, a friendly atmosphere, and competitive pay.  Youll also be a part of a team that is committed to supporting your career goals.  All necessary training will be provided.The ideal candidate is dedicated to providing outstanding customer service every day.","Populist Cleaning Co. is an independently owned, full-service commercial cleaning company.  Populist has been providing janitorial services to Southeast Michigan since 1980 and was founded on one simple idea: offer our customers the best possible service, quality, and value.As a growing company, we are looking for exceptional people who share our love for the customers we serve and the work we do!Currently, we are looking for energetic, dependable candidates for openings in the Ann Arbor area.  Typically, our positions are part time and done after 6pm.  Job location and specifics to be discussed during the interview process.When you work for Populist, youll enjoy flexible hours, a friendly atmosphere, and competitive pay.  Youll also be a part of a team that is committed to supporting your career goals.  All necessary training will be provided.The ideal candidate is dedicated to providing outstanding customer service every day.Responsibilities may include:Perform various cleaning duties, such as vacuuming, sweeping, dusting, cleaning mirrors and wiping tables/desksClean, detail and re-stock paper products in restroomsRemove and dispose of garbage and recycle itemsProperly use all cleaning products and equipment","Experience cleaning is a plus, but all necessary training will be provided. Reliable transportation is a must, as well as the ability to pass a background check and drug screening.Qualifications:Strong attention to detailAbility to communicate clearly and professionally with customers, supervisors, and coworkersHigh level of ownership, accountability and initiativeAbility to work a flexible schedule based on job assignmentAbility to work a variety of tasks based on company needsClean, professional appearance",,0,1,1,Part-time,Entry level,High School or equivalent,Facilities Services,,0
3449,Customer Support Receptionist / Agent (German Native),"EE, 37, Tallinn",Customer Support,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"Our fantastic Customer Support team is looking for people who love to talk to customers and want to work in a disruptive, fast-growing and fun start-up environment. We're looking for a peoples person!We're looking for somebody to- Offer first line customer service handling in German (mostly answering or returning phone calls, also e-mail and chat)- Help prospects and customers with their problems and support requests- Assist with customer support tools, metrics and documentation and with other relevant projects","At least 3 years of previous customer support experience preferably in banking, internet or telecom industries, or international call centreFlexibility in working hours (ready to work based on a changing schedule, during evenings, nights and over the weekends)Good communication skills, native German speaker with English skills (other languages like Spanish, French, Italian, Polish etc are an advantage)Familiar with basics of customer support tools and customer satisfaction/quality metricsAbility to multi-task and take responsibility in challenging situations",,0,1,1,Full-time,,,Financial Services,,0
2951,Java Developer,"GB, LND, London",,,"Medopad is the leading enterprise mobile health solution that delivers patient information and clinical applications straight into the palm of a doctors hand. The Medopad mobile health operating system mHOS™ enables near realtime access to patient information and more. As a result doctors can use the intuitive Medopad mobile app to securely access patient records, lab results, vitals, radiology images and more. With its simple design, breakthrough technology and disruptive clinical applications, Medopad has revolutionised the way healthcare professionals work. Our team members are the brains behind some of the healthcare industrys breakthroughs, including the revolutionary mobile health operating system mHOS™ and world-class clinical applications like Medical Image Viewer, Admission App and more.Founded in 2011, Medopad has grown rapidly and now has team members across 4 international locations (UK, US, Germany and UAE). With our first major enterprise wide rollout on its way, were growing our team in preparation for international expansion.To learn about our company culture, please visit our fan page here: #URL_8a12892a77b6605b99ed18178a36f754aa716bc776f7080e896d2458a6bede33#Or watch our TV Ads here: #URL_e44388e84da0d8e3e7d0af5b3793d9c7231fd94396563f0872a8ac1814c92461#","Medopads Technology Unit is looking for a talented and passionate Java developer to help build the next generation of its world class back end infrastructure.Our software engineers are the brains behind some of the healthcare industrys breakthroughs, including the revolutionary mobile health operating system mHOS™ and world-class clinical applications like Medical Image Viewer, Admission App and more. With our first major enterprise wide rollout on it's way, Medopad is growing its technical team in preparation for international expansion.At Medopad, Youll be challenged. Youll be inspired. Youll be proud. And youll be saving lives.","* Excellent oral and written communication skills in English.* Strong Java experience.* Solid understanding of Data Structures, Algorithms &amp; Object-Oriented design concepts.* Experience in developing software for the Linux server environment.* Experience working with Relational Database Management Systems * Experience with Multi-threaded programming.* Experience with Network programming (TCP/IP, BSD sockets).* Experience with source control management.* Passion to learn and apply new programming skills.","If successful, benefits will be discussed. &gt;&gt;&gt; Please respect that Medopad does not require assistance from additinal recruitment firms.",0,1,1,Full-time,,,Computer Software,,0
11920,Sr. Design Engineer Mechanical - 3D CAD,"US, CA, Los Angeles",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Experienced Sr. Design Engineer - Mechanical is required having responsibility to Work with assembly and fabrication to provide hands-on support of design changes and production issues.(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)#URL_94f805e93a6fa859cd8540e9767e187e295d764a384221d77f8880142b6416b7#-#URL_dbdb917780b325918ec611d0f47d6a0dff5ee871b96bc71180616d0d0570925b#,,,0,0,0,Full-time,,,Mechanical or Industrial Engineering,,0
3837,Sales Manager / Sales Associate,"HK, , ",Sales,,"Live It China Limited (#URL_0389a2a7444c0bba5f6d58972ba5ec7c28feca6c25e0ae80063c1298e7f0395e#) is a free and open social media platform for Chinese language learners that enables our users to share their learning experiences. We empower Chinese language learners and help them to make most effective decisions regarding their choice of local language schools, tutors, and exchange partners. We guide our users though the whole learning process from start to #URL_832b5fbc753cddd038d6675adc3766b62a65fe123456df70da0a2c495439e13c# company has been growing fast and we are looking for talents from various backgrounds to share our passion. If you are interested in the challenges of working with multicultural teams, in a fast growing company and industry, please let us know who you are","As a Sales Manager / Sales Associate at Live It China, you will take charge of all sales activities of the company. You will be responsible for meeting sales targets and discover new business opportunities, handling and maintain good relationship with clients and extend the companys networking as well as take part in business development projects. (Basic Salary plus considerable commission) ","Preferred Qualifications:Bachelors degree (Major in Business, Marketing, Management or related discipline)Minimum of 2 years experience in a sales or customer service environmentSales-driven personality and a competitive natureProficient communication and presentation skills, both verbal and writtenKnowledge in social media/ social and online platform industry a plus ",,0,1,1,Full-time,Entry level,Bachelor's Degree,Information Technology and Services,Sales,0
6592,Collections Supervisor,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company is located in Virginia Beach, VA and has a full-time Collections Supervisor position available. Tidewater Finance is an industry leader in indirect consumer specialty financing since 1992. We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork, and an uncompromised level of customer service. Responsibilities:Orients, coaches, counsels, disciplines and evaluates performance of branch employeesExcellent written and verbal communication skillsPossess excellent leadership, team building and motivational skillsConducts weekly accounts reviews with Collectors as requiredSets branch objectives, monitors and appraises employee performanceCommunicates and enforces ethical business practices and compliance with laws and regulations of jurisdiction specifically finance and collections relatedAssist with development of a calling strategyEvaluates and recommends continuing professional education of Service Center personnelCustomer calls, talk-offs, escalated calls, and appropriate use of all collection toolsAccount reviews- Review customer accounts regularly to ensure quality performanceUpholds Tidewaters Collections Code of Conduct at all timesParticipates in special projects or performs duties in other areas as requested ",Requirements:Five years collection experience with at least 2 years in a leadership roleCollege degree preferredStrong references from inside and outside industryStrong knowledge of compliance/collection regulationsUnderstanding of automated Dialer,"To apply please visit our website #URL_06ae9636e61d7ddfc75b7dec9887f7022036b464a1ef22d098f1e03084cd3614# and click Careers to complete our on-line application.We offer a competitive salary based on experience and a comprehensive benefits package. If you are interested in working for a dynamic and collaborative financial services company, then Tidewater Finance Company is the place for you!Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex (including pregnancy), marital status, religion, disability, genetic information, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.",0,1,0,Full-time,Mid-Senior level,,Financial Services,,0
537,Part-Time Administrative/Data Entry I,"US, CA, Dana Point",,9000-12000,,"As Part Time Administrative Assistant/ Data Entry I you will be responsible for:-         Reporting directly to Account Managers-         Professional phone communication; phones, fax, email-         Responding to and resolving Customer Service issues-         Assisting managers and their meetings; transcribing notes, copying, organizing, preparing documents, samples, coordinating travel, agendas and more.-         Preparing weekly and monthly sales and social media tracking reports-         Researching products and companies with ability to simplify/summarize information-         Facilitating, organizing, filing and tracking electronic and paper documents, samples, supplies, meetings, office shipments and sample returns-         Assisting with social media postings, on-line order processing and general administrative duties. ","Candidates must possess the following skills/qualifications to be considered for this position:-         Minimum of 2 years Professional office experience-         Excellent communication via phone, e-mail, fax, and in person with great professionalism, confidentiality, and tact.-         A “can do” attitude, handling all people and situations with a calm, courteous, and helpful attitude.-         Self-starter with excellent follow through and problem solving abilities.-         Must be on time and committed to position and company both physically and mentally throughout work day.-         Detail oriented with ability to track, manage, and organize multiple tasks on time is imperative.-         Proficiency in Microsoft Word, Excel, and PowerPoint is REQUIRED-         Ability to perform repetitive work while following specific processes on a daily basis.-         Ability to work independently and as a team.-         Ability to manage and organize all areas of work efficiently.-         Ability to travel to Dana Point, CA Monday  Friday.-         Ability to pass a drug test.-         Photo Shop skills are a plus.-         Warehouse Club experience is a plus. IMPORTANT: Instructions to submit your resume:-         INCLUDE three references with your resume. Submissions included without references will not be considered for this position.-         Include a cover letter (typed in the e-mail or attached as a PDF)Interview Process:1)      Telephone interview.2)      In office interview and possible skills testing.3)      Possible follow up interview in office and personality testing.",The selected candidate will benefit from the following:- Ability to rise up within the company- Business experience and mentorship - Flexible hours,0,0,1,Part-time,Entry level,Unspecified,Consumer Services,,0
8059,"Clinic Assistant, Suffolk","GB, SFK, ",,16000-18500,"Newmedica is a dynamic, innovative UK healthcare company that works in partnership with the NHS to deliver specialist clinical eye care services safely and effectively. Newmedica is growing rapidly and operates services in a number of locations around the UK. Newmedica clinics operate in both fixed and mobile settings, in the community and on NHS Trust sites.","Clinic Assistant, SuffolkNewmedica is a dynamic, innovative UK healthcare company that works in partnership with the NHS to deliver specialist clinical eye care services safely and effectively. Newmedica is growing rapidly and operates services in a number of locations around the UK. Newmedica clinics operate in both fixed and mobile settings, in the community and on NHS Trust sites.We currently have a vacancy for a Clinic Assistant to support our glaucoma clinics in Suffolk. This role will require travel to various locations within East Suffolk, including Ipswich.Clinic Assistants are the public face of Newmedica and are integral to the successful operation of the clinic. They are responsible for performing clinical tests and supporting the clinical assessment of each patient and for ensuring that all patients understand their treatment. They are also responsible for ensuring the smooth and efficient administration of the clinic, including ensuring that appointments are booked and that clinics are full, retrieving patient notes, recording and filing clinical outcomes and utilising Newmedicas electronic patient records.Combining a friendly and accessible personal manner with basic clinical testing skills, they pride themselves in delivering great customer service and their ability to work within small teams to maintain and enhance processes to maximise quality and efficiency. No clinical knowledge is assumed (full training will be given) but intellectual curiosity is required. It is expected that the companys growth plans will provide ongoing challenges for the post-holders as well as appropriate career development opportunities.",Proven customer service skills with a passion for customer care.Educated to A level or equivalentAbility to build a working knowledge of eye physiology and treatment and to build clinical testing skillsFully computer literate - comfortable with Microsoft Office products and the ability to learn new patient record systems.Willing to travel to various locations within the Suffolk area.,,0,1,1,Part-time,Not Applicable,High School or equivalent,Hospital & Health Care,Customer Service,0
9061,"Executive Assistant, Acumen Fund","US, NY, New York",,40000-60000,"We provide recruiting services for a select group of companies which do good and make money. We help place people that are at the perfect intersection of skills and mission. As a result, the people we place help accelerate and transform the companies we work with.We post only a small fraction of the positions that we are currently hiring for. For access to our full client list and current vacancies please submit your information to our database and we will let you know about relevant opportunities. For more steps you can take to get a job that makes money and does good visit 50#URL_a4f4e1699530083692512228c8fb91c60d18db4195498f7ae06b1023984a12fb# a free resource that we developed in partnership with Making Good. #URL_efa716808890feeeb41f82d0dca495aab9c339c961a954bb77ff60829d43e31a# ","DESCRIPTIONAbout Acumen FundAcumen started as an idea. Thirteen years later we have a proven model that combines the best of charity and markets to change the way the world tackles poverty. Acumen is a non-profit venture fund with a vision to help build a world beyond poverty through investing in companies that deliver critical goods and services in water, health, housing, energy, agriculture, and education; leaders who are paving the way for new approaches to fighting poverty; and the spread of ideas that will give the world the knowledge and the understanding to create a world beyond poverty. Acumen has invested more than $88 million of patient capital in more than 80 mission-driven businesses in South Asia, East Africa and West Africa that have collectively served more than 100 million customers. We have teams on the ground in India, Pakistan, Kenya, Ghana, Colombia, and New York, and we aim to build strong local structures that are deeply interconnected, with shared goals and values, to the global organization ABOUT THE POSITIONExecutive Assistant to the COO The Executive Assistant will support the Chief Operating Officer, primarily responsible for strategic relationship management, communications and logistical support, as well as serving as a key liaison for communication with the global staff, Board of Directors, Acumen Partners, and other members of the Acumen community. JOB DESCRIPTIONScheduling and Meeting Preparation:Arrange and ensure the seamless run of the day to day schedules of the Chief Operating Officer, including ongoing monitoring throughout the day to maintain schedule flowUpdate all essential logistical information (meeting locations, dial in numbers) so that the Chief InnovationOfficer and the Chief Investment Officer, and external parties with whom they are meeting, have complete and accurate information, including booking rooms for external and/or confidential meetings and ensuring space is prepared as plannedManage changes that may arise and that shuffle appointments in a way that allows the Chief Operating Officer to be most productiveCoordinate and communicate with senior management and team member schedules to ensure monthly management meetings, weekly check-ins and other internal meetings Communication:Serve as primary point of contact for the Chief Operating Officer to prioritize and escalate issues on a daily and ongoing basis to maximize the use of their time and resolve issues in advance where possibleReceive inbound calls for the COO, as well as the general Acumen office line and route the calls appropriatelyRespond to email traffic and inbound hard copy mail for the COO in order to stay current on matters concerning them, and update calendars as neededTravel and Logistics:Maintain accurate and essential logistical information for meetings (attendees, location, phone numbers, etc.) in advance of travel and add to necessary calendars (including external parties)When appropriate, work with overseas team members to make sure on-ground logistics (hotel, ground transportation, airport transfer, etc.) are arranged and communicatedUnderstand travel preferences when booking flights, hotels, etc. and check to make sure these preferences are followed when possibleProvide clear and comprehensive travel packs including a detailed travel itinerary, logistical confirmations, diplomatic and/or medical clearance, as well any additional materials requested by the travelerForecast and obtain the appropriate medical documentation, passport pages, and travel visas in advance of international trips (when necessary)Prepare and track expense reports in a timely manner following travelAdministrative Tasks:Maintain a presence at desk in order to efficiently and expediently respond to the immediate needs of the Chief Operating OfficerEnter contacts into Outlook and SalesforceObtain the necessary information and signatures for documents touching the Chief Operating Officer; follow through with tracking to ensure that they are properly processed and/or reach the appropriate partyOther:Act as internal resource and liaison for various operational needs (technology, insurance, security, general office administration, etc.)Function as a backup to the office manager and assume his/her tasks as neededHead various projects as assigned by the Chief Operating OfficerProvide support to other members of the Management team as requested by the Chief Operating Officer ","Minimum requirements:Bachelors degree (or enrollment in an accredited four-year degree-granting institution)At least two years experience in Executive support or equivalent administrative backgroundPermanent authorization to work in the United StatesStrong project management and analytical skills; a demonstrated ability to take primary responsibility for a diverse number of projects and to complete them in a timely manner with limited supervision.Excellent written and oral communication skillsExemplary interpersonal skills and ability to collaborate effectively with culturally diverse staff across geographies, functions and levels of the organization.Proven mastery of Office applications including Outlook, Word, Excel and PowerPoint Preferred qualifications:Ability to manage multiple tasks effectively with frequent interruptions, and to work productively in a fast paced, rapidly growing organizationSomeone who is comfortable, confident, and effective in leadership meetingsComplete discretion with sensitive information, both within the company and externallyCommitment to accuracy, attention to detail and follow-throughCommitment to, and enthusiasm for, the organizations mission and business model, and respect for our core values: generosity, accountability, humility, audacity, listening, leadership, and respect.Dedication to working within the non-profit sector; strong willingness to affect change with an understanding of challenges often encountered ",,0,1,1,Full-time,Not Applicable,Associate Degree,Nonprofit Organization Management,Administrative,0
8617,Visual Designer,"US, CA, Manhattan Beach",Design,,"The Boston Consulting Group (#URL_45423e1e16703aa4d8ee444d3ad69bb51525f1dad0b3115713cf80dc496299e2#) has launched a new digital business, BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) - a digital innovation, product development, and commercialization firm.  This first-of-its-kind firm will bring unique capabilities to create and grow disruptive digital products and platforms.  BCG Digital Ventures goes beyond providing consulting, design, and technology services to form strategic venture teams with its clients in order to rapidly develop, launch and grow transformational digital products, platforms and businesses.The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy.  BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises.BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries.  Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a world-class professional services organization.","THE COMPANY: BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#)The Boston Consulting Group (#URL_45423e1e16703aa4d8ee444d3ad69bb51525f1dad0b3115713cf80dc496299e2#) has launched a new digital business, BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) - a digital innovation, product development, and commercialization firm.  This first-of-its-kind firm will bring unique capabilities to create and grow disruptive digital products and platforms.  BCG Digital Ventures goes beyond providing consulting, design, and technology services to form strategic venture teams with its clients in order to rapidly develop, launch and grow transformational digital products, platforms and businesses.The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy.  BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises. BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries.  Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a world-class professional services organization. THE OPPORTUNITY: Visual Designer BCG is looking for exemplary Visual Designers passionate about creating clean cut aesthetics to help drive the rapid growth of BCG Digital Ventures.  The Visual Designers will help BCG clients imagine, visualize and conceptually see their digital businesses and solutions.  To do this, BCG is looking to hire a group of world-class business/strategy, product design, and technology professionals with deep knowledge and experience in digital businesses and solutions  from mobile applications and ecommerce, to new and emerging digital solutions yet to be realized.As a core member of the Visual Design team, you will create masterpieces on a per project basis thats custom tailored to the clients needs and the consumers wants.  Specifically…Leverage world-class user-experience and graphic-design methodologies to help re-imagine new human-centered user experiences, products and services through collaborative problem solving with a multidisciplinary team.Contribute to BCGs thought leadership in UI/UX/transmedia design approaches and strategic innovation best practices.Navigate multidisciplinary input and constructive feedback to ensure digital products balance compelling visual design.Create design solutions that address primary user as well as business goals.Lead end-to-end design of large-scale projects that focus on a user-centered design approach.Refine, maintain and enforce the best-in-class design guidelines and strategies.Adeptly handle changing priorities throughout a product life cycle.Partner with the technology team to ensure BCG leverages the scale offered by their platform without compromising on core experiential principles.Work strategically with and present your design solutions to a wide variety of teams and departments.","EDUCATION: Undergraduate degree in Graphic or transmedia Design; Industrial Design, Web Design, Human Factors, HCI, visual arts or related; Masters or advanced degree highly preferred.EXPERIENCE: 5-7+ years of experience designing and documenting user experiences.2-5+ years of experience in graphic, UX/UI and/or transmedia design.Deep knowledge of design visual theory (i.e. typography, color theory, composition, iconography, etc.)Have an online / digital portfolio that demonstrates strong graphic design and compelling user experiences across a diverse range of projects.Demonstrated knowledge of information architecture, interaction flow, user interface and visual design.Expert skill level in 2-D Analog Visual Communication, Adobe Creative Suite (Photoshop, Illustrator, In-Design, Fireworks), Balsamiq and Omnigraffle.A solid understanding of web and mobile design principles and best use of current web and mobile technologies and scalable dynamic user interfaces.Knowledge of open-source transmedia toolkits (e.g. Arduinos, sensors, effectors, etc.) and an agility to prototype interaction experiments is a plus.Possess a passion for digital products and services.Mastery with organizing information, developing impactful experience concepts, designing advanced layouts and interactions for all things digital.Demonstrated success at project execution and delivery, and ongoing project communicationStrong written, verbal and interpersonal communication skills.Self-motivated, takes initiative, possesses creative problem-solving skills and willingness to offer suggestions for improvement; able to build effective relationships to leverage resourcesProven diligence, attention to detail and technical intuition.Service-oriented, flexible, positive team player, capable of interacting and problem solving with a multidisciplinary team.An organized and composed professional, able to handle competing priorities and work effectively in a challenging fast paced environment.Exercises judgment in managing confidential/sensitive information; trustworthy, ethical and possesses the highest levels of character and values.Must be able to thrive in a fast-paced, intellectually intense, service-oriented environment and to interpret rules and guidelines flexibly to enhance the business and in keeping with BCGs values and cultureProven Experience working successfully within a complex matrix structured organization is essentialIt is necessary to have the ability to understand and manage complex reporting relationships and incorporate multiple labor laws and cultures.",Why BCG?Is BCG for me?Career GrowthWorking at BCGMeet BCGersFAQ“Top 5 Fortunes Best Companies to Work for”96% of the staff is proud to be part of BCG92% of alumni recommend BCG as place to workBCG works with 2/3 of Fortune 500 Companies,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Design,0
17693,Administrative Assistant,"US, , ",ADMIN,34000-42000,,"Administrative AssistantEssential Duties and Responsibilities include the following. Other duties may be assigned to meet business needs. * Administrative Support for HR, Accounting,Operations and IT Managers * Run basic monthly reports for accounting department* Operates Basic office equipment* Type memos, correspondence, reports, and other documents as needed* Records Time Calendar * Responsible for data entry of payroll spreadsheets* Data entry of Credit Card transactions, time sheets, mileage logs* Filing, create files* Invoices, Quotes and any other document creation as needed* Receptionist DutiesEducation/Training/Experience * Minimum 1 year administrative or customer service experience * High School Graduate/GED, Administrative Degree or Certification ","Qualification/Requirements: * Proficient in Microsoft Excel, Word and Outlook* Quick Books Experience * Excellent verbal communication skills * Punctual * Able to work with minimum supervision * Excellent phone etiquette * Should be customer service driven * Able to multitask * Professional appearance/business casual ","Health, Dental, Life and AD&amp;D Insurance, Employee Wellness and 401k #URL_c801649eeb4007728c8f41b2d6629d92c2295ff77e1f2d401d7696ce3569db63# Time Off and Holidays with Generous Company Discounts.",0,0,0,Full-time,Entry level,,Accounting,Administrative,1
3861,Pre-Sales Engineer ,"GR, I, Athens",IT,,"AlfaVAD is a pure value-added distributor specialing in Virtualization, IT Security, Data Protection &amp; Availability, Storage, Networking, Open Source and related services.  As a vital link in the technology value chain, we work closely with leading vendors for building a partner ecosystem and market awareness, creating sales and profitability opportunities for all stakeholders. ","Were a Value Added IT company, specializing in security, virtualization and data protection technologies and were looking for a talented and enthusiastic young person to join our team.The pre-sales engineer will collaborate with sales, service, engineering, and technical support resources to ensure proposed deals include technical solutions that accurately address customer needs, and are appropriately supported by key customer technical decision-makers. ","BS or BA degree in CS/CIS/MIS or equivalent experienceProficient in English is mandatoryProduct certification, engineering credential, or equivalent technical credential is desirableExcellent written and verbal communications skills with the ability to translate technology into business valueCEH, CRISC, CISM, ISSPCS, GIAC, CCFE  PreferredBasic HTML, Java, CSSExperience as a pre-sales engineer or consultant in network security supporting the sales cycle in Virtualization, Data Protection, Networking and IT Security solutions  PreferredExperience must include extensive IT Security and core Virtual Environment and Cloud Computing design and implementation knowledge.Good understanding of security best practices, and pertinent industry regulations.Compliance standards and knowledge of industry regulations; (PCI, DSS, SOX, ISO 17799/27001, GLBA, HIPAA) is desirableCandidate must understand common Information Security practices and the CISSP domains. General Information technology networking experience required. Securing Web Applications, Web Servers (IIS, Apache, etc.)Databases (SQL, Oracle, etc.)Operating Systems (Microsoft, Linux, MacOS, etc)",,0,1,1,,,,,,0
9474,Junior/Graduate Software Engineers,"GB, LND, Shoreditch",,,"Adthena is the UKs leading competitive intelligence service for Google search advertisers. Adthena is loved by major brands and digital agencies alike and provides a great opportunity to work in the high growth adtech space. Our patent-pending technologies provide unparalleled accuracy for clients to understand their competitors keywords, budgets, spend, CPCs, Adcopy and more. We're profitable, fast growing and love what we do.","Do you want to come and work on some big data challenges using new technologies? Be part of a small team in a very fast growing company with great senior colleagues and using all the best tools money can buy? Work in bright, centrally located offices in Shoreditch? (Old Street Roundabout).We're looking for junior or graduate software engineers to join the team. We use technologies like Cassandra, Hadoop, Mongo, C#, SQL, Python, RabbitMQ, AWS and more. We're open to your ideas to solve problems faster and better. We're not building ""another-#URL_744e4ea4bc34681b137c8ce0e900ee3afe9ef7a38423dd76a3acbf8f07821c56#"", we're using technology to analyse masses of data and pinpoint solutions to tricky client problems specifically for search engine advertisers - think all the big companies on Google.You'll be working on a variety of projects for our core service already used by some of the worlds biggest brands. You'll be helping us extend our product features in conjunction with product management and senior engineers. Get to know our patent-pending technologies and help extend them, scale them, beautify them.","You'll need to be smart, passionate and demonstrated you can learn fast and are excited to do so. We're a software product company so we love technology - so should you. You should like a new challenge every week and you should love getting things done. Importantly, you should have strong written and verbal communication skills  so you can explain your genius to the layperson. You should be interested in the rapidly growing digital marketing industry and have a great mind for data.","In return we'll pay you well, give you some ownership in the company (stock options), feed you and importantly let you setup your work environment with whatever technology you want. Oh, and well give you a new pair of Adidas trainers when you join.Adthena is the UKs leading competitive intelligence service for Google search advertisers. Adthena is loved by major brands and digital agencies alike and provides a great opportunity to work in the high growth adtech space. Our patent-pending technologies provide unparalleled accuracy for clients to understand their competitors keywords, budgets, spend, CPCs, Adcopy and more. We're profitable, fast growing and love what we do.",0,1,1,Full-time,Entry level,Bachelor's Degree,Information Technology and Services,,0
15612,Back-End Developer,"IN, KA, Bangalore",Development,120000-264000,"Who are we?Creators Lab (aka #URL_285fcfb70c84df2c27b61aa797720636ef0cea2550ed4369f0c431340894884e#) is a young creative Branding, Web Design and Digital Marketing Startup based in Bangalore.We are specially focused on helping startups with their branding and design needs, so they can focus on their core products. We are also building few revolutionary products. One of them is EnterBox, its Roku for India.We are not yet another web development company which wants to survive the ongoing technology tides, we are going to roll with the waves. We focus on the following key areas of business. Brand Strategy, Web Design &amp; Development, UI/UX Design, Mobile Applications, SEO and Social Media Marketing.TeamWe are not ex-Googlers, ex-Amazoners or from any other top corporate company, but we are passionate designers, developers and self-motivated startup team. But we do welcome people from corporates if they are ready to adjust to startup environment. Working in a startup comes with big responsibilities, roles and of-course fun. Goal / MissionBuilding innoavtive and beautiful products. Making web smoother &amp; beautiful using latest technologies like HTML5, CSS3 and jQuery.In our organization, we are constantly perfecting the art of better design. We need someone who is able to reflect, adapt, learn and improve with us. We love people who are passionate about what they do and about improving how they do it. If youre motivated by continuous learning and improvement, then we can give you the tools and support that you need.Our Work CultureAt WebCreators, we have an intense, informal, and open work culture. We are a creative and innovative startup in every sense of the word and can assure a challenging yet enjoyable and high growth environment for the right candidates. If you do not like processes, hate pyramid type organizations, love creativity, innovation and fun at work; you will love it here. You will find flexible and challenging work environment, which treats people humanly. You will be challenged at the levels of responsibilities of your role.  We believe in these quotes :I have no special talents. I am only passionately curious. -- Albert EinsteinThe best way to predict the future is to invent it. -- Alan KayPassion is energy. Feel the power that comes from focusing on what excites you. -- Oprah WinfreyDesign is not just what it looks like and feels like. Design is how it works. -- Steve JobsHire for Attitude and Train for Skill.Business has only two functions - Innovation and Marketing.Must Read article before applying: 7 Things CEOs Look for in Star Employees - http://s.#URL_2eff2e1d1611e33eba71c6b6eeaf8fcb55fccc5ef90a1f0beb787512e17c8f92#","Who are we looking for: Back-End Coders (2 Positions)We are looking for developers who lives and breaths PHP OR Ruby on Rails, with solid skills in MySQL and HTML.We are looking for a hardcore developer and not a designer, so if you dont know how to code, please do not apply for this opportunity. We want coders who can understand technical problems, evaluate requirements &amp; deliver quality code through hard work.General PurposeBuilding complex back-end code for our client projects and our product. Working on Joomla, Wordpress and Taking care of web server configurations.Main Job Tasks and ResponsibilitiesWebmaster for all our client projects and our productsBack-end development using PHP/RoR and MySQL following best coding practicesLeveraging Apache, APIs and other tools to continually improve our productsScaling back-end with addition of new categories/cities/customersIdeating, experimenting and implementing new business/revenue models/projects that will change the worldResponsible for web server management","Education and ExperienceA college degree or equivalent experience in Computer Science, Design or similar field.Preferably with BE, BCA, B.Tech, BFA, BSc, MCA or similar education/knowledge.Fresher or 1-5 years of experience acceptableMust have a laptop of your ownA scrappy, entrepreneurial attitude that gets high-quality projects done quickly.Knowledge of MS Office / Google Drive (Docs) and Internet Browsing, Social Networks, Web based tools, etc...Skills RequiredShould have good understanding of LAMP / WAMP Stack (PHP, MySQL, Apache) OR Ruby On Rails &amp; MySQL to develop codebases of significant size &amp; complexityMust have knowledge of basic HTML, CSS and JavaScript (Optional)Should have good database design skills and Understanding of apache logs, apache configFamiliarity with APIs, web services, and 3rd party platforms and libraries, such as Blog Platforms, and Social Networks (i.e. Facebook, Twitter, etc.) enabling social media integration and scalabilityGood understanding of server side including  App layer, Caching, Database, service oriented architectureBasics of Content Management Systems like WordPress, JoomlaBonus SkillsBasic knowledge of Linux Commands, Version Control (like Git), Debugging, NoSQL, FTP, Cloud Computing.Minimum knowledge in MVC frameworks like Zend, CodeIgniter, CakePHP etc.Minimal Experience in design, development and implementation of REST/SOAP web services as well understanding of XML or JSON________________________________________________________________________________________________Key CompetenciesGood written and oral communication proficiency in English.Should be conversant in your regional language and Hindi would be added advantage'Getting Things Done' is the mantra of your life and Goal drivenAdaptability, Persuasiveness, Resilience and tenacity and Stress toleranceBeing patient, understanding and Good listenerDemonstrate the ability to Multi Task and PrioritiseFlexible to work in a team as well as independentlyAdaptable to workplace changes and open to flexible work timingsQualities we are looking forImaginative and curious (about almost everything!)Quick to grasp new concepts and learn new skillsThe ability to communicate rationale for ideasExceptional visualisation skills and An eye for detailsProvide and accept constructive feedbackThirst for knowledge and a strong desire to growTechnical QualitiesExcellent problem-solving and analytical abilitiesConstantly seeking to develop yourself by learning new technologiesDeep understanding, familiarity and skill with programming for the web.The ability to pick up new programming languages very quickly.Be able to write elegant, readable, and well-documented code.Deep enough understanding of technology to know what can and cant be done, and how easy something will beDiscussing technical solutions and providing innovative new ideas where neededActively involved in technology and/or startup communities, events, workshops, conferences etc.The ability to construct solid, structured mark-up as well as writing and working with efficient, flexible and reusable stylesheets is preferred.Not to worry, even if you don't have all of these key skills or education. If you are curious, passionate and willing to learn, we would love to hear from you.We don't care how old you are, how much experience youve had, where you went to school or where you have worked. We DO care about how much passionate you are and what you have done though!You dont have to be a complete professional, we also welcome proactive students who want to work with us.","Work With Us and GetResponsibility: What you do here will have a direct impact on our growthFlexible working hours: We focus on results, not punching a clockPaid time off: You get 15 vacation days and 12 company holidays.Location: Centrally located in Bangalore's tallest building and next to a coolest shopping mall called Orion (but we are shifting soon).Team activities: Team outings, movie wednesdays, attending awesome conferences/events, bowling sports, camping, dining, games we work hard and have funWe all love perks, so here is what we offerBusiness Cards &amp; T-ShirtsSodexo Meal Pass/CouponFree #URL_229a1321cb7c99fc0a120bc59fa6c0f27dddb2468c2cb2f869f7b2eceb73eeca# SubscriptionGym / Fitness Center MembershipMovie ticket + Pizza twice a month ;)Petrol Expenses / Travel ExpensesUnlimited Coffee / Tea / Badam MilkAll-expense-paid conferences/eventsTickets to Cricket Matches (Regular and IPL)Free book every month from Amazon/FlipkartFree Website (Domain + Hosting) of your ownInteracting with Founders &amp; CEOs of Top Companies &amp; Interesting StartupsDiscount Coupons : McDonalds, Domino's, GoIbibo, KFC, Coffee Day, Barista, Flipkart, etc…We obviously pay well, give stock options + above perks. *Perks and Stock Option will be given based on your achievement, you have to earn your own perk. However, the biggest perk is working and meeting with insanely smart people.________________________________________________________________________________________________Were looking for genuinely interested people who are good not just for right now, but for the long term.If you are still reading at this point then you're probably someone we want and we want to get to know you better!Interview processWe don't have complicated multi-level process like corporates. But we do have 2-3 rounds to filter good talent. 1-2 rounds of online interviews, 1 rounds of In-person interviews. Also there will be a small technical exercise component as part of interview process.Shortlisting -&gt; Phone Screen -&gt; Behavioural &amp; Knowledge Round (Online) -&gt; Practical Test -&gt; Face 2 Face or Video Interview - &gt; Offer -&gt; HiredAs it's not a walk-in please do not visit our office location untill you get selected through the Interview Process.How to Apply?If all of this sounds exciting to you, then come work and grow with us. Click on ""Apply for this job"" button below the post. Apply with your latest resume/details along with a cover letter. Kindly also include links to past work or portfolio as appropriate.Due to the high volume of applications, we regret only shortlisted candidates will be notified.If you want to refer someone who is suitable for this job please do mail details to careers[at]#URL_1da6d86360e93cf06f7f4b83b233c677f56263aff63ad84799e7bcc517ea58de# with subject line ""Referring a friend for [Job Post Title] Position""Location : Full Time in BangaloreSalary Range : ₨ 10K  ₨ 22K + 2.0%  5.0% Equity (Based on performance)",0,1,1,Full-time,Not Applicable,Bachelor's Degree,Information Technology and Services,Engineering,0
16878,RoR Web Developer,"US, CA, Long Beach",,,,"Ruby on Rails Web Engineer (RoR)Now Hiring Ruby on Rails Web Engineer for one of our client in Long Beach, CAJob Role : Ruby on Rails DeveloperJob Function : Web DeveloperJob Industry : Financial ServicesJob Type : Full-TimeJob Level : Mid - SeniorJob Location : Long Beach, CASkills and Experience Required/PreferredDesired Skills &amp; ExperienceObject-oriented background5+ yearsexperience with full lifecycle software development5+ yearsexperience with validatingHTML2+ yearsexperience with Ruby on Rails5+ yearsexperience with CSSExperience with Red-Green-Refactor development (TDD)Knowledge on PostgresSQL and T-SQLExperience with any of the NoSQL variant, preferably RedisPreferred Skills:Development for a high-traffic, mission-critical websiteWorking knowledge of SEOResponsive web and mobile web developmentThe Compensation:Best in IndustryNote: For U.S. Citizens / Green Card / EAD / Visa Candidates Only.Interested professionals please apply for the job with your updated resume.",,,0,0,0,Full-time,Mid-Senior level,,Information Technology and Services,,0
10942,Senior Producer,"GR, , ",,,"Creators of innovative software products for financial industry. We believe that simplicity and great user experience can open up the financial world to more people than ever before and can create great opportunities for success.As the largest and most capable #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# development team in Athens, we specialize in production of high performance scalable cloud applications, using only the latest technologies.BDSwiss Labs offers diverse, challenging and exciting working environment, where everyone can contribute to interesting projects by their best abilities having plenty of space for skill development and learning. Enjoy dynamic workflow while constantly learning and experimenting. Join us and build the future!","Duties and responsibilitiesSenior Producers lead the charge from minute-to-minute, across the board on a daily basis. Part Project Manager, part art director, part account executive, part software developer part business-savvy negotiator - this role demands a well-rounded talent.Job position requires strong software development skills, knowledge of design, UI/UX and experience in project management.","Skills and qualificationsIn order to perform successfully, an individual must be able to accomplish each of the duties satisfactory. The skills, abilities and qualifications required:Proactive, motivational, mentoring and encouraging approachAbility to qualify innovative ideas with top-notch research and industry knowledgeMastery of interactive/software development process from an information architecture, interaction design, design and technical perspectiveStrong hands-on wire-framing capabilities, demonstrating excellent understanding of usability and what makes a good web or mobile projectStrong written and spoken command of the English language (English will be the working language)Excellent communicator to both creative individuals and clients alikeYour core discipline may not be in design or development, but you are confident delegating tasks in those areasQuick-thinking, accurate, hands-on, stress-tolerant and a problem-solverBusiness savvy and relationship driven Ability to make decisions, plan work, prioritise tasks independently and efficiently in order to meet the deadlinesFlexibility, adaptability and self-motivationAttention to detailYou will be working with:Backend JavaScript stack (#URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# and MongoDB)Dynamic style sheets (LESS)Version control system (Git)MVC design patternsCross browser development including mobile (understanding of responsive design)Agile software development practicesHeroku and Amazon web servicesPreferred ExperienceIdeal candidate should have a strong combination of the following experience:5+ years experience producing a multitude of projects in an interactive agency setting2+ year of experience managing producers and being part of recruitment processProven track record of launching cutting-edge interactive projects","BDSwiss Labs offers diverse, challenging and exciting working environment, where everyone can contribute to interesting projects by their best abilities having plenty of space for skill development and learning. Enjoy dynamic workflow while constantly learning and experimenting. In addition to friendly workplace and competitive remuneration we offer:Flexible working hoursStock option planComplimentary lunch and healthy beveragesInnovative bonus system based on collaborationExpenses for the workspace enhancement of your choiceSupport, advice and consulting for your professional skills developmentFor international applicants: relocation package and accommodation.",0,1,0,Full-time,Mid-Senior level,,Information Technology and Services,,0
10017,Engineering Technician ,"US, MA, ",,,,"$18-$22/Hour (1st shift 8:00am to 5:00pm Monday - Friday) Assist with instrument and sub module testing, troubleshooting, repair and upgradesAssist with consumable testing, troubleshootingWork with scientists, engineers and technicians to solve multidisciplinary problemsObserve and document instrument failures as they occur.Complete instrument QA records in accordance with SOPs and good documentation practices.","3 years+ work experience as an electro-mechanical technician, or a B.S. in Mechanical or Biomechanical Engineering disciplineMust have an electromechanical aptitudeMust have an understanding of motors and switches (Mechanical/Electrical assembly). Understanding of basic motion systems, steppers, encoders, timing belts, linear railsCan contribute to design and implementation of tooling and test fixtures for manufacturingHands on experience with SolidWorks, Microsoft Office",,0,0,0,Full-time,,,Medical Devices,Manufacturing,0
1380,DevOps / DB Admin Engineer,"GR, I, Athens (Iraklio)",Engineering,,"Transifex is a SaaS continuous localization platform powering the process of launching products into multiple languages for companies with rapid development cycles. To date, Transifex has simplified the localization process for over 15,000 projects and more than 150,000 users. Customers include Coursera, Waze, Eventbrite, reddit, Disqus and Firefox.","Transifex aims to be the all-in-one localization solution for both developers and product managers alike. To achieve that, we are looking for a motivated individual to help us maintain our infrastructure and upgrade it in order to improve our users' experience. You will work together with the backend team to analyze and improve the requirements and the performance of each solution and will be part of the team maintaining it after its successful deployment.ResponsibilitiesOperate and deploy cloud services and related projects from development to productionDevelop automation, processes, and tools designed to make this process simpler and more robustMonitor and improve the performance of the databases and the queries running thereParticipate in troubleshooting, capacity planning and analysis, performance analysis activities","Network  understanding &amp; troubleshooting from the OS perspective, load balancing/firewall conceptsScripting language  Python, Bash3 years of Unix experience including internals/troubleshooting abilityConfiguration Management Tools  Puppet, Chef, CFEngineExpertise in IP networking, including familiarity with the functionality, operating, and failure modes of the network (iptables, haproxy, vpn, tcp/ip, http)Understanding and tuning PostgreSQL queries to improve performance",Stock optionsCompetitive salariesPaid time offEmployee status (not contractor)Flexible spending accountsApple equipmentCompany sponsored tech talks and happy hoursMuch more...,0,1,1,Full-time,Entry level,Bachelor's Degree,Information Technology and Services,Engineering,0
2273,Head of PR (m/f) ,"DE, BE, Berlin",Communications,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","We are looking for a Head of PR (m/f) to be based in our Berlin office.You will work in multidisciplinary teams in an truly international environment that promotes the sharing of knowledge and learning experiences. Babbel started in 2007 with eight people, now we are 250 employees and freelancers from more than 20 countries. We come from all over the world and strongly believe that our diversity is our strength.We are looking for a dynamic and experienced communications professional to further develop, implement and supervise Babbels communications strategy. The Head of PR is going to lead the PR teams based in Berlin and New York City. The successful candidate will combine experience in delivering strategic evidence-based communications and marketing activity with proven impact.RESPONSIBILITIES Creating global communication campaigns, leading the PR team based in Berlin and New York CityDevising and implementing international communications, PR and social media strategy globallyDeveloping compelling long-term story angles that are a good fit for the Babbel brandPromoting awareness and understanding of the companys product and businessBuilding media and stakeholder relationships, and reputation management in the European and US marketsAdvising senior leadership and Babbel teams on media handlingPlanning, tracking and measuring the success of all PR campaigns against aligned business objectivesManaging retained PR agencies ","At least five years experience in digital PR in Europe or the US (client or agency side)Experience in leading international communications or PR campaigns for high-growth companiesGood knowledge of content marketing and social mediaStrong media contactsLeadership and team management skillsA passion for education and high affinity for edtech, languages and online learning topicsGerman or English native speaker  Excellent verbal and written communication skills in English required, other European languages are a plusWilling to travel","Responsibility from day one, significant budget to manageReporting directly to the CEOOpportunity for personal growth and development Work in a highly motivated team High quality, useful product that you enjoy promotingWe would appreciate concise and relevant applications  CV and cover letter exclusively in digital form.Please note: We do not accept applications from recruiting agencies",0,1,1,,,,,,0
14464,Police Officer,"US, IA, Davenport",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","The Eldridge Police Department is currently accepting applications for the position of Police Officer. I.L.E.A. certification is preferred but not required. Tests include physical agility, ILEA P.O.S.T., MMPI, background investigation and oral interviews. Requires high school diploma or GED. Must be at least 18 years of age on or before date of written entrance examination and a US Citizen at the time of application. Successful candidates will have critical thinking and problem-solving abilities as well as strong writing and speaking skills. Applications may be picked up at the Eldridge Police Department, 305 N 3rd St, Eldridge, IA. All applications are due by October 15, 2014. Physical test and P.O.S.T. will be conducted on October 26, 2014. All applicants will be notified of testing location. Starting salary for non-certified is $42,256 per year and certified is $46,136 per year.Post-offer, pre-employment physical and drug test are required.The City of Eldridge is an affirmative action and equal opportunity employer.","I.L.E.A. Certification preferred but not requiredHigh School Diploma or GEDMust be at least 18 years of age on or before the date of the written examination, and a US Citizen at the time of application.Must pass a physical agility test, MMPI, background investigation and oral interviews.",,0,1,0,Full-time,Entry level,High School or equivalent,Law Enforcement,Legal,0
1204,TMP: Head of Platform & Internal Services,"DE, BE, Berlin",Engineering,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","We are looking for a Head of Platform &amp; Internal Services (f/m, full-time) to start immediately in our office in Berlin-Kreuzberg, Germany.With millions of regular users and more than 7000 hours of premium content, #URL_8e4e9897aecb1980ec96e296864343a4402263bc440bec39377381446da44dc8# is growing fast while shaping the future of learning.Responsibilities:define and implement the overall data architecture and strategymanage data heavy projects incl. our next generation data warehouse lead the engineering data teamprovide excellent service to internal stakeholders (Finance, Marketing, Product, Didactics, Management)ensure data quality and validity of data","Requirements:&gt; 5 years experience in data relevant fieldexperience in leading and building reporting systems and DWH for internet companies at scalegood overview of concepts, architecture and tools for data analysis, processing etc.Technical project management experience ideally also as Product OwnerExperience in cloud-based environments (AWS)Agile Development experience (Scrum, Kanban)OPTIONAL:  Know-how in mobile campaign tracking","Tackle data intense challenges with a cloud-based setup and latest cutting-edge technologies The potential to change the way of learning for millions of users worldwideResponsibility from day one and professional and personal growthPotential within a fast growing teamParticipate in hackdays, open-source contributions, technology brown-bagsA lively startup atmosphere with friendly working hoursLong-term prospectsA vibrant international team from over 20 different nationalitiesExcellent working conditions with a location in the heart of the Berlin sceneGreat company benefits (High-End company bike, fresh fruits &amp; drinks, Hackathons etc.)Check out our jobs page, our blog and our techblog to get an impression of how we work at Babbel! ",0,1,1,Full-time,Mid-Senior level,Master's Degree,E-Learning,Engineering,0
10736,.NET Developer - NexLP,"US, IL, Chicago",NexLP,,"The story of the Great Chicago Fire of 1871 isnt really about the fire. Its about what happened next: A remarkable moment when the most brilliant engineers, architects and inventors came together to build a new city. Their innovations  born of passion and practical ingenuity  shaped not just Chicago, but the modern world. What started 140 years ago continues to this day.Chicagos brightest digital designers, engineers and entrepreneurs are shaping new technologies, disrupting old business models, and resetting the boundaries of whats possible. If that sounds like you, join us.Come to a place where you can share ideas, make mistakes, work hard, build your business and, with a little luck, change the world.Welcome to 1871.From photo-editing apps to interactive healthcare programs, 1871 has a member company that fits your interests and expertise! Whether youre a designer, a marketing expert, or software developer, we have a startup looking for you. Each company is looking for a way to innovate their industry and is looking to do so in creative and original ways. We are looking to match you with one of our amazing member companies based on the information you provide to us and their current needs. If you believe that you have the skills and vision to help digital startups get their start, 1871 is the right place for you!","ABOUT NexLP.NexLP is a recently funded start up based in Chicago.  They focus on providing investigative software to companies through the use of natural language processing, machine learning, and big data analytics.NexLP is seeking an engineer to lead the web development of their product, Story Engine.  This engineer will work with the CTO to select tools and technologies, and migrate a 1.0 front end to these new frameworks.  The engineer will work with a UX team to understand the workflows and provide guidance on how to best implement these workflows.  The engineer will manage the HTML5 visualization components and work with the data science team to provide dynamic and interactive visualizations.  Additionally, the engineer will be depended on to provide guidance to junior engineers as they are brought onto the team.In the news: #URL_fad7491c39ba233e8d29cf5e7491e2c7ed7da2af064dd6cc2ba0df034afa5582#=1#PHONE_0f9bd7593a1fa011fd71acf0745810b215c89a2081d97bf3928cc38bb0d2d28e##URL_fe529591f11e24070317974ebe078ab8ad240df0d1cf505a664edf79f1ffc2e0#",.NET DEVELOPERRequired- 5+ years software development experience using Microsoft .NET technologies- 2+ years experience with #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# MVC- 2+ years experience with jQuery- 2+ years experience as a technical team lead or manager- 2+ years experience with SQL and SQL Management StudioNice to have- Able to work in our Chicago office 4+ days a week.- Experience building large scale web based systems,,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Engineering,0
5892,Customer Service Associate ,"US, MN, Minneapolis",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Minneapolis, MN. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Government Relations,Customer Service,0
14572,Junior IT Support Engineer,"NZ, N, Auckland",,45000-50000,"WHAT WE DOWe create information systems that deliver an immediate and ongoing business advantage.We believe in the power of information systems to create a competitive edge. We also believe the best way to do this is to build partnerships with our clients and work collaboratively to deliver information systems that outperform.By understanding your needs, and using an established set of building blocks, we deliver systems that will evolve over time as you do.Systems built to today's needs, yet flexible enough to adapt to tomorrow's demands.Services OverviewSandfield specialises in designing, developing and supporting information systems which evolve as our customers' businesses evolve. Our approach is based on Agility, Certainty and Value.Our experienced team develops a thorough understanding of our customers' business needs, and, often using pre-existing frameworks and IP, produces systems based on precision and economy, with a logical staged approach to deliverables. As a result, our customers get a system which meets the challenges of today's business, but which is adaptable to change.""Systems built to change are built to last""","Great opportunity for a graduate or junior technicianWe currently seek a confident communicator to join our Infrastructure Team as a junior IT Support Engineer. It is a challenging environment supporting a range of well known clients located throughout New Zealand and Australia.  The team of six take responsibility for maintaining high levels of uptime and performance at our clients sites.  This role will suit someone keen to advance their career, looking for a busy, varied role, with no two days being the same.  The focus of the position is on providing user, desktop and server management for our internal and external clients IT systems. The position also includes managing cloud services such as Google Apps, Backupify, Mozy and ShadowProtect Cloud.  It is a varied, well rounded role, offering the opportunity for involvement in some complex IT environments.  As a junior member of the team, you will be guided to assist staff and clients and to diagnose a wide range of issues.  You will also have the opportunity to work on new projects and implement new technology. You will expertly communicate technical information to nontechnical people and ensure a high level of service is provided at all times.","To be successful in this position, you will have:Strong problem solving skillsGreat customer service skillsExcellent written and verbal communication skillsStrong multi-tasking skillsThe following will also be helpful:6+ months experience in providing Windows desktop and server supportGoogle Apps experienceBasic network skillsBasic VMware skillsMicrosoft, VMware, HP or Cisco qualifications","Sandfield is an IT Solutions Provider with 55 staff and a reputation for providing outstanding IT Solutions that deliver Agility, Certainty and Value. Weve been doing this successfully since 1988.We are a business that encourages our staff to thrive and grow.  We have lots of social functions, and a dynamic, innovative environment.  We value our people, encourage ownership of projects, and reward good work.  If you are ready to use your initiative, take on challenges and grow to reach your potential, this is the right place for you.",0,1,1,Full-time,Entry level,Certification,Information Technology and Services,Information Technology,0
14237,Business Analyst,"GR, I, Athens",Operations,,"Workable is a venture-backed startup making cloud-based recruitment software for fast-growing companies around the world. We're looking for people who want to change the way companies and people meet each other.Life at WorkableAt Workable we are creating an environment that has all the excitement and intellectual stimulation of a startup, minus the fads and pretension. We don't work 80-hour weeks, but we do work in an efficient and disciplined manner. We don't have ninjas and rock stars, we have people who are outstanding at what they do. We don't think it's old fashioned to have a sensible business model and we enjoy working with smart people.&gt; learn more about Workable and our employee benefits","Workable is a venture-backed startup developing recruiting software for SMEs and high-growth tech companies. The company grew tenfold over the past year and continues to grow at a rapid pace.To support this growth and make sure that we can scale from thousands to hundreds of thousands of users, we are expanding our Operations team.As a Business Analyst in the Operations department, you will play a pivotal role in setting up and running the department. You will be responsible for the following:Define configuration specifications and non-functional requirements for all third-party integrationsPerform Quality Assurance on integrationsDefine reporting and alerting requirementsOwn and develop relationship with partners, working with them to optimize and enhance our integrationHelp define, document and maintain Operations department processesReport on common sources of technical issues or questions and make recommendations to ProductConstantly be on the lookout for ways to improve monitoring, discover issues and deliver better value to the customer","You must have:at least three years experience in Business / Systems Analysis or Quality Assuranceexperience in analysing data to draw business-relevant conclusionssolid experience in writing SQL queriesa degree in IT / Computer Science, or other numerate degree with additional relevant experiencefluency in written and spoken EnglishYou must be:meticulous and thorough, while having an eye for the bigger picturea self-starter, with a history of taking the initiative to drive improvements or to discover and fix issuesa good communicator, with the ability to express yourself clearly and effectivelycustomer-oriented, having whats best for the customer as your first prioritypositive, optimistic and curiousBonus points for experience in any of the following:software developmentproject managementETL / Data Analytics / Business Intelligenceclient-facing rolesITSM / ITIL","Our goal is to create a company where employees enjoy benefits that make them more productive and contribute directly to the development of their professional skills. We want to be able to attract the best of the best, and make sure they keep getting better. On top of an exciting, vibrant and intellectually challenging workplace and a competitive salary, we are offering:Stock option planPrivate health insurance planMobile telephony and data planFree meals, snacks and beveragesWorkstation hardware of your choiceLicenses for the software and working tools of your choice Educational expenses for buying books, online seminars, etc, so long as the educational benefit is related to our workAttendance expenses paid for at least one developer conference or workshop of your choice per yearFlexible working hours, ability to occasionally work from homeWorkable is most decidedly an equal opportunity employer. We want applicants of diverse background and hire without regard to race, color, gender, religion, national origin, ancestry, citizenship, disability, age, sexual orientation, or any other characteristic protected by law.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
8150,English Teacher Abroad (Conversational),"US, NY, Hamilton",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500+ monthly ($200 Cost of living)Housing providedAirfare providedExcellent for student loans/credit cards","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferred, but not necessaryCanada/US passport holders only",See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,Education,0
6784,Payroll Manager,"PH, , Makati City",Accountant,20000-40000,"Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking &amp; Financial Services, Telecommunications &amp; Media, Technology, Energy &amp; Utilities and Manufacturing &amp; Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development &amp; Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence &amp; Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.","Job Title :- Payroll ManagerLocation :- Makati City, PhillipinesYears of experience :- At least 4 to 5 years.Job Description :Requirements:• Manage the centralized periodic payroll preparation / processing for 500+ employees.• Managing all account payable and account receivable Philippines office• Responsible for Timesheet and Billing of consultants• Support related tax reporting, benefits-records administration and payroll system maintenance.• Implementing and monitoring internal controls over input and output data of the Payroll systems.• Coordinate cash funding process with vendors and Treasury.• Implement Greenbelt and Kaizen processes• Implement new systems or incorporate new locations as growth or acquisitions occur.• Manage team to get optimum value satisfaction• Audit payroll / timesheet / AR / AP related data• View and manage human resource data• Process and input garnishments, child support, levies and liens• Review and process timesheet input records for employees• Process manual check calculations, work with the vendor to process stop payments/reversals, enter• Paycheck card entries and assist with check distribution and backup other payroll analysts as needed• Manage responses to employee inquiries escalation","• Manage the centralized periodic payroll preparation / processing for 500+ employees.• Managing all account payable and account receivable Philippines office• Responsible for Timesheet and Billing of consultants• Support related tax reporting, benefits-records administration and payroll system maintenance.• Implementing and monitoring internal controls over input and output data of the Payroll systems.• Coordinate cash funding process with vendors and Treasury.• Implement Greenbelt and Kaizen processes• Implement new systems or incorporate new locations as growth or acquisitions occur.• Manage team to get optimum value satisfaction• Audit payroll / timesheet / AR / AP related data","Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking &amp; Financial Services, Telecommunications &amp; Media, Technology, Energy &amp; Utilities and Manufacturing &amp; Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development &amp; Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence &amp; Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.",0,1,0,Contract,Executive,Master's Degree,Accounting,Information Technology,0
9443,WF4 Customer Service Apprenticeship Under NAS 16-18 Year Olds Only,"GB, WKF, Grange Moore, Wakefield",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Government funding is only available for 16-18 year olds only.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Customer Service. During the first 12 months you will work towards a Level 2 Customer Service Apprenticeship and then be kept on in a permanent position.You will be working in a garden centre and the role will involve:-Working in the antique centre, cafe, petting farm and gift shop-Using the till-Delivering excellent customer service-General cleaning and tidyingIdeal candidates will be confident with excellent communication skills.If you are career-minded and self-motivated please apply now.",16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Customer Service,0
13866,Vice President and GM of Telecom Solutions  ,"US, OR, Portland",,,"Human capital is usually the biggest asset and expense for a company. Making the right hire  the first time  is critical to an organizations ability to succeed in a competitive market. At Talence Group, we take the time to understand our clients and their mission, and match the right talent to this mission.For candidates, we want to make sure that the opportunties we present are a perfect fit for you.  Our goal is to match your core competencies, passion and career focus with great positions that our client companies offer.","This is an exclusive retained search being conducted by Talence Group Who our client is…Our clients cutting-edge wireless infrastructure solutions are used by millions of people worldwide every day.  By developing innovative hardware and software technologies, our client provides solutions that help global telecom companies bring new capabilities to market fast.  Our client is a  market leader with facilities in N. America, Bangalore, India and Europe.  They are a publicly traded company listed on the NASDAQ exchange. The employees are team-driven, passionate and focused on the customer.  By working collaboratively and strategically with their customers, our client consistently delivers award-winning telecom solutions that lead the industry. Through this same methodology, they are developing their next-generation product portfolio that is well-positioned to drive extraordinary future growth.  What our client needs…A dynamic, entrepreneurial leader who will bring to market and evangelize the next-generation product portfolio. This person will be the quarterback of a brand new organization within the company.  You will be partnering and collaborating with the CEO and Executive team to create and refine strategies that ultimately drive profitable growth.If you are a dynamic leader with great passion for generating disruption in the market, then you could be a great fit for this unique opportunity.What you will do…In this critical role, you will be responsible for refining and evolving the overall strategy, providing a compass for the product development strategy, marketing and successfully evangelizing and deploying the product portfolio into the market and fashion into major carriers across the globe.  You will create alignment with the leaders in the organization such as engineering, operations, sales, and other major functions of the business to achieve overall corporate strategic goals.Key Accountabilities:Build momentum with new business strategy and initiatives, provide strategic vision and market execution while ensuring that the resources and organizational structures are in place for significant revenue growth in identified markets and technologies.Develop deep relationships and engagement with key customers to identify and understand product and service needs to be the trusted supplier and solution provider.Effectively develop and execute to the defined product family roadmap and lead product development, product management, architecture and outbound marketing strategies.Evangelize and align new software (with NFV and SDN telecom cloud technology) concepts and solutions to the marketplace with existing customers and new prospects.Work strategically with the executive leadership to set the direction for the business, establish revenue goals, and align marketing and product development initiatives to the corporate strategy.Develop and broadcast a compelling vision that people can understand, align to and bring to life.Provide Thought Leadership and act as spokesperson and educator in the business community, establishing company expertise in forefront technology in the market and associated industries.Evaluate and drive the timing for product and technology introductions into the market and with existing customers.Own and manage the full P&amp;L and forecasting for the global line of business with results accountability.Present on target and effective communications and messaging to partners, customers and throughout industry.Challenge and inspire the team to hit high bars and put the operational discipline in place to execute consistently and deliver results.Be committed to what needs to be done and work with drive and energy with a keen eye on the bottom line.Work collaboratively across all internal and cross-functional organizations, including global regions and cultures.Lead an effective implementation of systems and procedures to improve product offering, processes and execution throughout the organization.Build strong, credible relationships with internal leadership team and within their organizations.","What you need to have….Passion and an entrepreneurial drive with successes in pioneering technology into new products.Proven leadership, communication and people management expertise.Ability to drive an organization to deliver the right product at the right time to the marketplace and accomplish great results. Ability to successfully manage a P&amp;L of $50M+ and have met or surpassed revenue goals.Ability to build strong collaborative relationships with partners and customers including OEMs, TEMs, Telecom Carriers.Public Company experience and preferably with the addition of startup or entrepreneurial setting experience.Experience managing an organization of 50-100+ employees with 15+ years in leadership roles.Customer facing role (Marketing, Sales, Product Management, Business Development).Strong understanding of telecommunications networks, virtualization and networking technologies (ranging from systems to applications and enabling software).Experience with service provider networks, packet and medial processing technologies, and storage and server solutions, NFV / SDN technologies and trends are a plus.BS in Engineering or Business related degree and MBA with focus on Marketing preferred. ","Compensation:  Compelling executive level salary, bonuses, stock options and benefitsLocation:  West Coast (preferred location is Portland, OR but not necessary)Reports to: CEO",0,1,1,Full-time,Executive,Master's Degree,Telecommunications,Management,0
1013,"Customer Support Receptionist / Agent - English, German","EE, 37, Tallinn",Customer Support,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"Our fantastic Customer Support team is growing and looking for new team members who are passionate about customer support, love to talk to customers and want to work in a disruptive, fast-growing and fun start-up environment. We're looking for a peoples person! ","We're looking for somebody to- Support our wonderful customers  in English and/or German (answering or returning phone calls, e-mail and chat)- Help prospects and customers with their problems and support requests- Assist with customer support tools, metrics and documentation and with other relevant projectsIs this is you?Previous customer support experience preferably in banking, internet or telecom industries, or international call centreFlexibility in working hours (ready to work based on a changing schedule, during evenings, nights and over the weekends)Good communication skills, excellent spoken and written English and/or German  preferably native English or German (other languages like Spanish, Italian, French are an advantage)Familiar with basics of customer support tools and customer satisfaction/quality metricsAbility to multi-task and take responsibility in challenging situations  ",,0,1,1,,,,,,0
9847,Wakefield/Ossett Apprenticeships Under NAS 16-18 Year Olds Only,"GB, WKF, Wakefield",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Government funding is only available for 16-18 year olds.We have a number of exciting opportunities in the Wakefield and Ossett area. The apprenticeships we have available are Business Admin, Recruitment and Customer Service but they cover a number of different job roles and industries.If you are interested please apply now and you will be contacted regarding all of our current vacancies.",16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,,Not Applicable,High School or equivalent,Human Resources,Human Resources,0
16872,Dev Ops / Sys Admin,"GB, LND, London",Tech & Innovation,25000-35000,"LendInvest utilises technology and innovation to improve access to mortgages and provides investors with better returns. Over £26 million in loans have been issued through the LendInvest platform since its launch in May 2013 and is rapidly increasing month on month. The Company has been prominently recognised as a leader for its growth and innovation, including being awarded highly commended for innovation in lending. LendInvest is based in a prime central London location close to other large Tech companies.","At LendInvest, were looking for the best, most passionate and driven people. The right person for the job, will perform a valuable role in helping to drive development of our platform and deliver innovative technology solutions to a market sector thats about to be revolutionised by you and us.This is an opportunity for a SysAdmin / DevOps engineer at all levels to join our small hands-on tech team and help build a future proof infrastructure to support our growth. The role requires architecting, optimising, managing and automating our cloud presence, managing mundane daily tasks like emails, making sure all packages are up to date, implement PCI compliance, roll out cutting edge technologies to assist our software development team.The successful candidate will love working with people, strive for success and have a high level of attention to detail. You will have also researched our company and have a view on where you see yourself adding the most value."," An in-depth knowledge of CentOs (or other Linux distro)Install / build packages from source (PHP / MySQL etc.)A good understanding of DNS, LAN, VPN and WAN technologiesAdvanced scripting (Bash and / or any other scripting language like Python)Knowledge of GIT / SVN (command line)Advanced monitoring and logging (Zabbix / Nagios / Ganglia / Cacti / Datadog / statsd etc)Server hardening and security (self-certified PCI)An understanding of software development lifecycle (design/develop/test/release)Nice to haves:Configuration management (Puppet, Chef)Knowledge the full spectrum of Amazon Web ServicesPercona MySQL and NoSQL databases (e.g. Couchbase, Redis)OpenVPNWillingness to learn new server technologies like Varnish / lighttpd / Self-reliant, creative problem solver, outcome orientatedAdaptive learner, someone whos motivated by the challenge of getting things done, team playerStrong communication skills to be able to converse with the business",We offerA chance to select your preferred machine to use (Mac or Windows)Opportunities to implement new products via regular “hackathons”Opportunity to grow with the company from an early stageWork within a strict scrum environmentFun and social work environmentFully stocked fridge and pantry free of chargePrime central London location,0,1,1,Full-time,Associate,Bachelor's Degree,Financial Services,Information Technology,0
2418,Junior Digital Designer,"GR, I, Athens",,,"100mentors answers the question: «What do you want to be in 5 years?»We aspire to offer a one stop-shop (Single Solution Provider-check «Concept») for answering this blatant, universal orientation quiz, which has vast impact to our personal lives and various economic, business and social implications. There are tons of expertise in different niches out there and an increasing, non-met demand for tailor-made, value-for-money mentoring. We play the role of the market maker. We match mentors &amp; mentees, applying top-notch sharing economy business expertise &amp; live-video technology, and we make them confident &amp; happy.The value proposition: We eliminate the huge range of options you need to explore, by using algorithmic matching, and we connect you with your best match-mentor- a role-model that has been «in your shoes» - with a similar background to your profile. Only people who have successfully done what you wish to achieve, can help you follow their steps &amp; avoid their mistakes. Imitation works in humans and identifying ourselves with a mentor is the most powerful learning experience. Finding your best-match mentor is life-changing. We make it easy &amp; secure.The opportunity: As stated in the existing solutions part, we are happy to experience the beginning of the great distruption era for education. People start becoming educated about value-for-money, highly personalized solutions. We aspire to change mentoring as opposed to what Traditional Solutions, like Kaplan, offer, the way MOOCs have revolutionized education, as opposed to what well-established institutions/universities (like the Ivies) used to offer. Online mentoring grows exponentially &amp; 5-10 «actors» will become market leaders. The team: Our team will respond to this opportunity because we are in love with our product vision &amp; the learning opportunities that it creates. Also because we have been ready for this for long time :)We are passionate about changing the EdTech status quo, with a solid, realistic business plan, and a hardworking, energetic team of committed 100mentors members in Athens and London.For our team members, and advisers; simplicity is the ultimate sophistication. If you are a results-driven practitioner with a track record of projects, which you will be called to confidently showcase during our recruitment process, then… we are looking for you!",AnotherCircus is a fresh creative agency based in Athens.,Test,test,0,1,1,Full-time,Entry level,,Design,Art/Creative,0
4349,"Graphic Artist, Persian/Farsi Programming","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The Graphic Artist shall properly complete all daily tasks. These daily tasks include but are not limited to: providing a scheduled and approved video product to meet a live programming and production deadline; properly rendering file formats, correct color and perspective attributes. For full-time personnel, more than two mistakes per week will not be acceptable; for part-time personnel, more than two mistakes per month will not be acceptable.","The Graphic Artist shall be skilled in current graphic design software and systems, shall develop daily graphic requests for programming and lower third graphics; and shall assist in producing elements of program graphic packages.Knowledge of Persian/Farsi a plus. ",,0,1,0,,,,,,0
9951,System Analyst,"US, TX, ",,,,"Hello Candidate, there is a job opportunity for you as a System Analyst@ West Lake, TX role:                System AnalystLocation:        West Lake, TXSkills: •      Strong analysis background and skills     •      Fundamental understanding of Java/J2EE Language     •      Experience with Content Management systems     •      Investment/financial services experience is desired.     •      Demonstrated ability to work independently and collaboratively with all levels of staff and management.     •      Significant Experience in Agile methodologies     •      SharePoint 2013 Experience is a plus ",,,0,0,0,,,,,,0
2264,Business Development Manager,"US, IN, Fishers",Sales,70000-125000,"Bluebridge was created by a team of people who are passionate about organizations and the ways that effective technology makes them stronger and more well connected. Technology moves quickly. Businesses tend to move more slowly, either because of their place in organizational charts or because they often face modest budgets. bluebridge empowers these organizations with straightforward, affordable tools that help connect them with their customers and prospects.Bluebridge is built on a revolutionary platform that allows an organization regardless of size, to create a mobile app.By meeting consumers where they are—and with gadgets that they carry in their pockets and bags every day—bluebridge provides an engaging direct marketing channel that connects individuals while encouraging them to interact with your brand in a fresh, new way.","Bluebridge is one of the fastest growing mobile app development companies in the country and offers an excellent opportunity for a driven salesperson.  Prior success selling technology solutions and products is a bonus but is not required.You must have exceptional hunting (cold calls) and closing skills, be effective overcoming resistance at medium-sized companies and/or non-profit organizations. 90% of our selling is phone or web-based selling. You must be a hard-working self-starter. You must excel at finding new opportunities, possess the ability to sell value and not price, educate the buyer, manage a large pipeline, work well independently and be held accountable to your goals.You must have at least 2 years sales experience, be trainable, and be willing to travel at least once a month. You must have prior earnings of at least $80,000.","You must have at least 2 years sales experienceBe trainableWilling to travel at least once a monthYou must have prior earnings of at least $80,000Generate new business opportunities through phone, email, and social prospectingMeet (and exceed) monthly and quarterly activity targetsLive in or around the Indianapolis area","// BlueBridge PerksBase salaryHealth Insurance, dental/vision are availableCompany Phone Plan (AT&amp;T)Quarterly bonuses based on performanceFlexible work arrangements based on performance",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Sales,0
1905,Kit Kat Architect (Android),"SG, , ",,,"Were not doctors. We are geeks who understand doctors.                               Been to the doctor lately? Ever thought it odd that the experience is almost exactly the same as it was 20 years ago? Isnt it a bit odd that in this world of iPads and always-on Internet you still have to wait 30 minutes to see the doctor, at which point (s)hell write down your notes on (heaven forbid) paper? And what if youre referred from one doctor to another and they need to access your old records? In a world where you can watch any listen to any song ever recorded in just a couple clicks on a computer that fits in your pocket, people shouldn't be dying because they were given medicine they were allergic to in the emergency room because the ER doctor didnt have access to the patients medical records. We have done the seemingly impossible, an application that doesnt change the doctors' workflow yet manages their patient records electronically. Were all about doctor user experience (yes its very different from normal user experience, trust us). Were funded, loved by doctors and having a blast! Want to get in early on something really, really big? We dont discriminate. You can be an alien from outer space with a tubular green body, hemispherical head and no ears, if we like you, well take care of your visas in Singapore, get you alien food, alien gadgets, nerf balls, cute frogs, and lemon tea. Were a small company with a great culture that values curiosity as much as performance, a fantastic work environment (which you can contribute to!), health insurance, a competitive salary, and meaningful equity in the company. Were located in the heart of town, Clarke quay! Were surrounded by restaurants that challenge your taste buds every day, and…Were a stones throw away from the best bars in town.If you want to change the world and help bring doctors and medical care for the average person into the 21st century come talk with us.","This is your chance to be the chief architect of the app that revolutionizes the medical industry.  Were looking for an experienced android programmer who is excited about leading a team of developers make the Klinify app read doctors minds (or attleast make it appear to do so {and doesnt mind lame KitKat puns}). We are looking for someone with enough initiative to constantly push our user experience to reflect the natural workflow of a doctor. You would also need to be disciplined enough, and have enough confidence in yourself to be unfazed by the fact that peoples life might be at stake if our app fails. And doctors might throw xray machines at us. We would need to work around regulations, build fail-safe mechanisms thrice over, and have multiple layers of security. All while making sure everything works at the speed of a doctors mind. It will be a pretty challenging and crazy ride but you will get to be the at the center of the revolution that liberates medical information and advances healthcare. Sounds exciting?Drop us a note now (or fill in the form below) and tell us why you think you would fit in!  Were not doctors. We are geeks who understand doctors. Been to the doctor lately? Ever thought it odd that the experience is almost exactly the same as it was 20 years ago? Isnt it a bit odd that in this world of iPads and always-on Internet you still have to wait 30 minutes to see the doctor, at which point (s)hell write down your notes on (heaven forbid) paper? And what if youre referred from one doctor to another and they need to access your old records? In a world where you can watch any listen to any song ever recorded in just a couple clicks on a computer that fits on your pocket people shouldnt be dying because they were given medicine they were allergic to in the emergency room because the ER doctor didnt have access to the patients medical records.So we have done the seemingly impossible, an application that doesnt change their workflow yet manages their patient records electronically. Were all about doctor user experience (yes its very different from normal user experience, trust us). Were funded, loved by doctors and having a blast! Want to get in early on something really, really big?We dont discriminate. You can be an alien from outer space with a tubular green body, hemispherical head and no ears, if we like you, well take care of your visas in Singapore, get you alien food, alien gadgets, nerf balls, cute frogs, and lemon tea.Were a small company with a great culture that values curiosity as much as performance, a fantastic work environment (which you can contribute to!), health insurance, a competitive salary, and meaningful equity in the company. Were located in Block 71 Ayer Rajah crescent, just a station away from Holland Village  our favorite for beers.  ","3-4 years experience in native Android development includingAbility to create custom UI components and make major extensions to native components.Experience in the full android app lifecycle from development to submission, release, and setting up systems for maintenance/support.Experience in profiling and debugging, including performance analysis and optimization.Ability to inspire, lead and mentor a team of developers towards constantly evolving goals.Resonance with our core values",,0,1,1,Full-time,Mid-Senior level,Some High School Coursework,Information Technology and Services,Engineering,0
17707,Payroll Data Coordinator Positions - Earn $100-$200 Daily ,"US, WI, Abbotsford ",,,,"We are a full-service marketing and staffing firm, serving companies ranging from Fortune 100 to new start-up organizations. We work with job seekers in an equally broad range, from light industrial temporary workers to executive level candidates.Are you looking for a Work from Home Opportunity where you can earn up to $2500 and more per week? Our Online Service Representative position would be perfect for you!- Set your own hours - Make money every time you decide to work - Work remotely from home - Get paid weekly - If you have a computer with internet, this is for you","RequirementsAll you need is access to the Internet and you can participate. Computer with Internet access, valid email address, good typing skills   ","This is an entry level position and we offer full online training. You do NOT need any special skills to get started.Earn as much as you can doing data entry.  Complete Training provided before you start working and its easy to start!  ________________________________________Dont let this opportunity pass you by.POSITIONS ARE STILL AVAILABLE - GET STARTED RIGHT NOWIf you fit the above description and meet the requirements, please apply stating your locationApply at: #EMAIL_c9b5d8a60f3d80be13dd02ffe5d212c047b92ba679c296dfae7c102952fbb534#",0,0,0,,,,,,1
3993,R&D Technology Manager Bearings,"BE, VLI, Lommel",,,"We are specialized in HR consulting, sourcing PhD, MSc &amp; MEng professionals on permanent and contract level positions across the globe.","ZF Wind Power heeft momenteel 4 state-of-the-art productiefaciliteiten  in België, India, de US en China - met een jaarlijkse productiecapaciteit van ongeveer 10.000 MW. Het bedrijf beschikt over een solide onderzoeks- en ontwikkelingsafdeling en dankzij sterke partnerschappen weet ZF Wind Power zijn technologische leiderspositie te behouden.ZF is een wereldleider op vlak van automotive en driveline &amp; chassis technology met ongeveer 71.500 werknemers en 121 productieplants in 27 landen. De business unit Wind Power van ZF maakt deel uit van de divisie Industrial Technology.Momenteel kijken we voor hen uit naar een:R&amp;D Technology Manager BearingsTakenAls R&amp;D Technology Manager Bearings ben je verantwoordelijk voor de planning en organisatie van een team van lagerspecialisten met het oog op een rendabele en tijdige realisatie van de productontwikkelingsprojecten. Anderzijds ben je gesprekspartner voor klanten en leveranciers vanuit jouw vakgebied. Jouw team verstrekt deskundige ondersteuning (intern en extern) op het gebied van lagertechnologie. Dit zowel voor bestaande als toekomstige tandwielkasten.ZF Wind Power (voorheen Hansen Transmissions International) is wereldwijd een gevestigde waarde als ontwerper, producent en leverancier van betrouwbare, op maat gemaakte tandwielkasten voor multi-MW windturbines. Het bedrijf levert aan s werelds belangrijkste producenten van met tandwielkasten aangedreven windturbines.Samen met jouw team, lever je competitieve en betrouwbare oplossingen ivm lagers, verstrek je passende procedures inzake lagervalidatie, zorg je voor technische oplossingen in geval van problemen op de site en bewaak je de ZF kennis op dit vlak. Je werkt nauw samen met collegas van oa. Project Management, Product Design, Purchasing en Process Engineering.","Persoonlijkheid en instellingJe hebt sterke communicatieve vaardigheden.Je hebt een sterke driveJe bent initiatief nemendJe bent gepassioneerd door technologie en deelt deze passie graag met anderen.Je bent gemotiveerd om te werken in de sector van de groene energie.Diploma en ervaringIngenieur (electromechanica, mechanica, energietechnieken...) met minimum 10 jaar ervaring, ervaring in windindustrie is een plus.Ervaring in mechanisch design, development of testingLeidinggevende ervaring als team leider of project leiderTalenkennis: vloeiend Engels",,0,1,0,Full-time,,,Automotive,Management,0
2470,Business Development Director - Augmented Reality,"TR, , Istanbul",Commercial/Marketing,,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","We are looking for an amazing Business Development Director to join our new dynamic team in Turkey and spearhead revenue generation across the region. Targeting leading media agencies and brands, you will front the development of our Turkish footprint, building a local team and supporting global efforts.As Business Development Director you will have the power to offer our groundbreaking augmented reality advertising solutions to local and global brands and agencies. Evangelising with senior marketers and agency staff, you will take ownership of delivering revenue targets across the region, and have the skills to close big deals. Reporting to the local country manager, you will define and deliver the sales strategy across the region, build and lead a team of account managers and salespeople, and deliver revenue targets set by the global leadership team.You will be an individual comfortable working within an autonomous and performance-driven environment, and a natural born leader with the ability to nurture and lead a team of top-performing commercial staff. You will be responsible for expanding Blippars Turkish market presence, building confidence in the business and striking deals with senior brand and agency staff to drive revenue growth. This is an exciting opportunity for a senior commercial person with experience selling complex creative marketing solutions to industry-leading brands.","The ideal candidate will possess a delicate balance of communication skills and commercial savvy, with an excellent client-facing ability. Building relationships and closing large ticket deals will be second nature to you.We are seeking someone with the drive to be extremely hands-on within a dynamic, startup-style environment. You will have a solid track record in a technology/media business development or agency sales role. You must have relevant experience within the online advertising industry and a passion for augmented reality, which will soon be the most burgeoning channel in the digital industry.Successful candidates will be:A top-performing commercial professionalExperienced in leading sales teams and delivering large sales quotasPassionate about digital media and augmented realityDriven, autonomous and innovativeWell-connected across large brands and marketing agenciesReady for an exciting and involving challenge","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter &amp; Gamble, Conde Nast, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesOur office dog (London)International travel opportunitiesChance to develop groundbreaking techWork with state-of-the-art hardware and softwareAccess to new wearables including Google GlassA challenge to change the worldChance to shape a new industryCreative freedom and controlExperience with all mobile operating systemsCollaborative opportunities with huge brandsPlease apply now for more information about this role, or check our careers page for details of other opportunities to work with us.",0,1,0,Full-time,Director,Bachelor's Degree,Internet,Business Development,0
4677,Administrative Assistant,"US, OK, Tulsa",,,,"Job Overview Apex is an environmental consulting firm that offers stable leadership and growth and views employees as valuable resources.  We are seeking an Administrative Assistant to join our team in Tulsa, OK and become an integral part of our continued success story. This position entails general office duties supporting a variety of routine business functions, including staffing the front desk; answering multi-line telephone; handling the mail; copying/filing; and providing general administrative support for the Office Manager and Project Managers.  Qualifications Position requirements include an administrative assistant with a minimum of a high school diploma and at least 5 years of office experience within a professional services environment; administrative experience such as familiarity with standard office concepts, practices, and procedures; experience with multi-line telephone systems; experience with document proofing and formatting; strong/professional verbal communication skills; proficiency with Microsoft Office (Word, Excel, PowerPoint, and Outlook); strong attention to detail; the ability to perform repetitive tasks (ranging from basic to complex) with little or no supervision; a demonstrated history of stable employment; excellent attendance and punctuality; and the ability to successfully perform multiple responsibilities simultaneously in a high pace environment.  #URL_f030e16ff4531e87a62857357985e3e8f1fdedb40dbfebfeb0e7e3a5ead65097# About Apex Apex is a customer-focused company that delivers environmental, health, safety and engineering services to over 700 clients across the United States and abroad. Driven by an entrepreneurial spirit and a dedication to providing responsive, cost-effective solutions, Apex has grown rapidly since our founding in 1988. Working in partnership with our public and private sector clients, our team of experts provides services tailored to support each customers unique goals and objectives. By blending strong technical skills, business acumen, and superior customer service, we are able to deliver creative solutions that deliver high quality results at low cost. From commercial and industrial firms to construction, petroleum, and utility companies to financial institutions and government clients, Apex has extensive experience in a wide variety of industries. Our corporate professional resume includes proven capabilities in the areas of water resources, remediation and restoration, assessment and compliance, and industrial hygiene, among others. Ranked in the Top 200 Environmental Firms by ENR Magazine, ranked among the Top 500 Design Firms by ENR Magazine, awarded the 2011 National Environmental Excellence Award for Environmental Stewardship by the National Association of Environmental Professionals, and selected as a 2010 Hot Firm by the Zweig Letter, come join our award winning team. Apex is an entrepreneurial firm, and ensuring that our senior managers are able to move unencumbered is our priority. We are a successful and growing mid-sized firm. Were small enough that our employees still have access to our leadership, and its easy for high-performers to be recognized for their contributions and advance without bureaucracy. With over 30 office locations, were big enough to provide comprehensive environmental consulting and engineering services to our diverse client base and to provide resources to our employees to help in their professional development. We offer incentive bonus plans and ownership opportunities for our successful managers.  Apex Companies, LLC is an Affirmative Action/Equal Opportunity Employer ",,,0,0,1,,,,,,0
3816,Graphic Artist,"US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The Graphic Artist shall properly complete all daily tasks. These daily tasks include but are not limited to: providing a scheduled and approved video product to meet a live programming and production deadline; properly rendering file formats, correct color and perspective attributes. For full-time personnel, more than two mistakes per week will not be acceptable; for part-time personnel, more two mistakes per month will not be acceptable.","The Graphic Artisit shall create 2D or 3D graphics, using expertise in a variety of formats and systems to include Adobe Photoshop, Adobe After Effects, Adobe Audition, Cinema 4D, Final Cut Pro Editing System and Xpression Graphic System. The Graphic Artist shall have extensive professional experience using the abovementioned formats and systems and shall have knowledge of production basic elements to follow Producers directions and specifications. The Graphic Artist shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies.Knowledge of Spanish required. ",,0,1,0,,,,,,0
16614,Customer Service Reps,"US, KY, Louisville",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Job Description LEI  is seeking an experienced Customer Care Representative. This position is responsible for educating potential customers to the benefits of a free in-home window consultation, and scheduling the consultations for our sales representatives. Essential Functions: 1. Provide an exceptional customer experience. 2. Speak to potential customers, schedule appointments, answer basic product and installation questions, confirm the appointments made, and following up on customer inquiries","QualificationsKnowledge, Experience, and Skill: • Experience in sales and/or customer service; • Superior organizational and multi-tasking skills; • Skill in establishing and maintaining professional relationships; • Professional, empathetic and friendly tone • System's literate (Microsoft Office); • Must also have a strong work ethic, be willing to take on new tasks and learn new skills, extremely detail-oriented, have an outgoing personality, and enjoy working with the public;  • Flexible hours; • A team-player is a MUST!",,0,1,0,Full-time,,,,Customer Service,0
8275,English Teacher Abroad,"US, WA, Tacoma",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
2120,Senior Sales Manager (Russia),"GB, LND, ",Sales,50000-60000,"Founded by serial entrepreneur Clive Jackson and launched in 2011, Victor is one of the worlds most trusted names in private jet charter. With only a 5% fee for online bookings, Victor offers the broadest selection of quotes for charter flights at the best prices directly from the operators. With access to over 700 contracted aircraft, Victor can get you airborne in less than 2 hours from almost any airport around the world on any aircraft type. We're a small and growing agile team. As a team we aspire to the hacker culture and we care about what we do. We want to produce simple, elegant solutions to difficult problems. We take pride in getting the job done right. We want to improve as individuals and improve the team and wider organisation around us.Our development team use modern technologies, tools and methods to produce a product that our customers love. We want our applications to be ubiquitous; any time someone is booking a jet they'll be using the Victor platform.We choose the best tools for the job and right now that's mostly node and Angular, with MongoDB and a smattering of Python.For vacancies for our other companies, #URL_8b5fe34131f015b09e2b4b01097392477242e0de74786a62a71e91e1e5dbfba7# and Global Beach, please click on links below:#URL_c31909b471fce3a2c3ea962a074a37e919edf78b8dfb90c4a3a9ace570542adf#http://global-#URL_c4958175db594f9b8c88259f8f6fa850085a15c4723021f19596b2a9752dc62f#","Victor is now seeking a highly motivated individual to acquire new Russian speaking members. As part of a dynamic and innovative start-up company, the individual will need to be hands-on, flexible and self-sufficient. The candidate should have a strong interest in being part of a real innovation in the online travel and private aviation industries.The role will help promote a new, exciting and aspirational brand to prospective high net worth individuals and affiliated luxury services providers across Europe, with particular emphasis on Russian speaking countries (Russia, Ukraine, Kazakhstan) and Russian speaking communities (London, Paris, South of France, New York).Victor has already attracted a significant number of registered Russian speaking members during its initial phase (#URL_6bf225ed953044594e7e5ec00f39fdfea648958ce7a374e860dd802d0ba0f573#) through an effective media campaign in those countries and by asking members to help shape a service that they would use, support and refer other prospective and like-minded individuals.Purpose of the role1                    To source new Victor members to purchase private aircraft flights, empty legs and seats on the Victor platform with a particular emphasis on Russian speaking countries and Russian speaking communities.2                    To contact potential new members and sell the advantages of using Victor and encourage membership.3                    To call existing members to ascertain where and when they are travelling next, in order to provide opportunities for sales conversion.4                    Full hands on training will be provided.ResponsibilitiesThe start-up nature of the business means that all members of the team are expected to be hands on and self-sufficient. It is also a very dynamic sector of the market and flexibility is a key requirement for team members.Daily responsibilities will consist of maintaining ongoing contact with Russian speaking Victor members, from registration through to ongoing client relationship management. The role requires working closely with the two Senior Vice President in London, the Business Development managers in Moscow and in London, the Member Services Manager and the Flight Operations Team. There will be daily contact with Russian speaking Victor members, prospective, current and lapsed through a range of communication routes, primarily telephone and email. The role will require an individual with an excellent telephone manner, fluency in Russian, knowledge of the Victor brand (after training) and an ability to communicate with high net worth individuals (HNWI) and Corporate Big whales prospects.The following is an indicative list of the kind of responsibilities and activity that the successful candidate will be expected to undertake:Call new members to Victor and take them through a prescribed verification process and determine how they could use the Victor platform.Ensure new members are fully informed about the benefits Victor can offer.Contact existing members on a regular basis (by telephone or email) to ascertain future travel plans and encourage them to request quotes.Input, maintain and continually update relevant information and member details and contact on the client database.Compile a list of potential Victor members from a variety of sources (newspaper articles, media channels, contact lists, etc) and determine a prospect target list.Project manage a prospect target programme of phone calls and email communication to potential members to invite them to join Victor.Reactivate Russian speaking members who have not visited the Victor site over a specified time period.Complete user profiles and help develop the CRM process for members.Research data sources for High Net Worth individuals and for target lists.Log statistical data on number of call conversions, current members, lapsed members, re-activated members.Support the activity of the other colleagues as requiredAnswer call for tender for Big whale corporate prospectsKey Performance IndicatorsAcquisition of about 30 new Russian speaking members per quarterTransformation of Russian members into flying Victor customersQuarterly turnover of about £500KQuarterly Gross Margin (5% online; 10% off line)Commission payable in addition to basic salary ","Experienced client relationship and sales ManagerExcellent organisational and planning skillsStrong interpersonal and social skillsExcellent telephone mannerAccuracy and good attention to detailsConfident relationship builder, confident in dealing with High Net Worth clientsAbility to research clients and appropriate sources to produce target listsComputer literate (word, power point, excel)Knowledge of using a client relationship management systems and databaseFluent in Russian (preferably mother tongue) and English. Other languages like French, German, Spanish or Italian is a plusSelf- starter with the ability to work on own initiative when requiredAbility to work to deadlines",,0,1,1,Full-time,Mid-Senior level,,Airlines/Aviation,Sales,0
10602,Field Supervisor (Head Coach),"US, CA, San Francisco",,,"At Atlas Lift Tech, safety always comes first!  We are a fast growing company with an innovative vision of making Safe Patient Handling and Mobility (SPHM) programs available to hospitals systems nationwide. ATLAS is the first company offering safe patient handling and care staff training programs that protect healthcare workers and patients from the dangers of manual patient handling. Our unique team brings together bedside training, education, and program management to empower care givers to reduce workplace injuries, improve patient care, and create a culture of safety.","At Atlas Lift Tech, safety always comes first!  We are a primary provider of customized Safe Patient Handling and Mobility (SPHM) quality programs designed to prevent employee injury and improved patient safety.  ATLAS is the first company offering turn-key lift team and minimal lift programs, care staff training programs, and safe patient handling software protecting healthcare workers and patients from the dangers of manual patient handling. Attention Field Supervisors Ambulance; EMT Trainers &amp; Supervisors; Healthcare Safety Coordinators; EMSATLAS is an exponentially growing company with many advancement opportunities Nationwide.  Were looking for an effective field supervisor to join our ATLAS team as a Head Coach. ATLAS has several full-time Head Coach positions available in the San Francisco Bay Area region.  ATLAS Head Coaches are the SPHM subject matter experts and key points of contact in the hospital.  Responsibilities include coordination, management and training of strategies and procedures of ATLAS safety programs. The Head Coaches ensure the execution of company policies, procedures and programs to achieve improved safety, client savings, client satisfaction and sustainability of education practices to hospital staff. RESPONSIBILITIES AND DUTIESClient Relationship ManagementEstablishes and maintains productive, professional relationships with Key Points of Contact (KPC) in the Hospital to ensure an overall positive customer experience. Proactively assesses, clarifies, and validates customer needs on an ongoing basis.Provide SPHM solutions or other services the customer requires to ensure the SOW in the contract is fulfilled and manages Atlas team members responsibilities, schedule, data, and payroll to fulfill  the SOW.Reports progress, issues and opportunities through designated processes on a regular basis using a variety of reporting structures (DOO weekly, Periodic QA Audits, Report Training result, etc.)Collaborates with team members, management, nurses, hospital, and administration staff regarding SPHM.Safe Patient Handling and Mobility Program Management &amp; Training Participates and leads (as appropriate) Committees, Task Forces, Department/Unit Meetings, Work Groups and any other teams in the Hospital and/or delegates as appropriate to Senior Lift Coaches.  Reviewing employee injury reports related to patient handling.  Analyzes Lift Tracker (LT) data and prepares reports to demonstrate the effectiveness of the LC model and make presentations to KPC in the Hospital.Coordinates, plans, documents and participates in SPHM Hospital staff score carding to ensure compliance with AB 1136 and meets all OSHA requirements.Update SPHM training programs to address the specific requirements of the facility assigned.  Manage the delivery of New Hire Orientation, return to work and any other training to your client group that is needed. Management &amp; AdministrationAccomplishes objectives by interviewing, selecting, orienting, training, assigning, scheduling, coaching, counseling, and disciplining employees; termination; communicating job expectations; planning, monitoring, appraising, and reviewing job contributions and enforcing policies and procedures.Educate and train both new hires and LCs on SPHM body mechanics, mobility assessments, lifting techniques, proper use of lift equipment and any other processes or systems related to SPHM.  Ensure that all LC are compliant with any and all mandatory training. Manages all Administrative duties in (1 or 2 Business Days per week) and responds to all communication in a timely manner. Responsible for at least one floor shift a week (or more) as needed to ensure that the minimal acceptable profit margin is achieved.Performs Audits and completes requisite reports concerning: employee use of PPE in the facility; hand-washing &amp; infection control measure for Atlas employees, LC interaction with care staff and patients; and/or LC delivery of SPHM curriculum at the bedside and in the classroom.","Qualifications:Basic Qualifications2 or 4 year degree from an accredited college and/or the equivalent combination of education and experience.Two or more years supervisory experience.CPR/BLS Certification required. (Must maintain currency)Three or more years direct or related experience working in an health care clinical setting.Working knowledge of personal computers and mobile devices and various software programs (Microsoft Windows, Word, Excel and PowerPoint) and familiarity with scheduling and Time and Labor software.Experience working with communications practices, principles and procedures for both on-site and on-line presentations.Other QualificationsDemonstrated ability to effectively train others. Strong active listening, oral, and written communication skills; demonstrated ability to work collaboratively, sell ideas, and influence outcomes across an organization.Ability to assess complex issues, strong analysis and problem-solving skills.  Good numeracy skills.Able to work independently, good organizational skill, proactive and highly self-motivated.  Strong decision making skills and the ability to take the lead.Experience conducting Safety risk assessments, job site analysis, product evaluations and injury prevention program development, implementation and training.Background in Safety Management, Development and Training preferred.Physical requirements include long hours on your feet and the ability to lift 35 pounds unassisted. Note: This posting describes the general nature of the duties and requirements of the position.  This is not intended to be an exhaustive list of the position.","At Atlas Lift Tech we are innovators and we value individual contributions! We encourage continued education, priding ourselves on offering re-certification assistance. We offer a competitive compensation package based on your valuable experience.Other benefits include:Health Insurance for full-time statusSick / Vacation / HolidaysFlexible Spending AccountCommuter BenefitsPromotional opportunities for driven employeesFree Health ScreeningsFree Yearly ImmunizationsInnovative environment",0,1,1,Full-time,Associate,Associate Degree,Hospital & Health Care,Training,0
13224,Outside Sales Professional-Des Moines,"US, IA, Des Moines",,,"ABC Supply Co., Inc. is the nations largest wholesale distributor of roofing and one of the largest distributors of siding, windows, and other exterior building products. Since our start in 1982, we've grown to become a national organization with over 450 locations in 45 states. Our mission is to be the biggest, best, and easiest service company distributing exterior building products. We fulfill that mission by stocking the brands and products contractors need at competitive prices, operating a state-of-the-art delivery system, and actively listening to our customers. We know that we will only be successful when our customers are successful. Thats why we make good on our promises every day.","As an Outside Sales Representative, you must have excellent sales talents as well as the willingness to learn the ABC Supply sales approach. It will also be very helpful to your role as an Outside Sales Representative if you have existing knowledge of exterior building product lines such as siding, roofing, and windows.  This will not only to lend credibility to your sales presentations, but also to assist the Branch Manager in the selection of new product lines. It is also vital for your role as an Outside Sales Representative that you have empathy for your customers and their needs, and always provide them with the best possible service.","Track Record of Sales Success  B2B or B2CNo minimum experience required, but specific industry knowledge is strongly preferredFamiliarity with product lines and the overall building contractor process is strongly preferredPast Experience in a production-measured environment, highly desiredValid drivers license, clean driving record, and own reliable vehicleExceptional verbal and written communication, interpersonal and public speaking skillsProfessional appearance and demeanorSuperior time-management and planning skillsExcellent attention to detail and organizational skillsPositive, team-oriented attitudeSelf MotivatedHigh school diploma or equivalent; college degree preferredStable job historyWillingness to travel within territory, as neededAutomobile and Insurances to company standards","As an Outside Sales Representative, you will receive paid sales training, which will include professional development sessions with veteran ABC sales representatives! You will also receive consistent product training, particularly when we adopt new products and product lines. You will find there is plenty of opportunity for growth as an Outside Sales Representative, both within the role itself, and possibilities in Management. We value your hard work and professional dedication as an Outside Sales Representative, and will reward you with an excellent compensation package. We have a very competitive commission-based pay structure, and you will continue to receive commission on your established accounts with every purchase they make. You will also receive a comprehensive benefits package!",0,1,0,,,,,,0
8763,Technical Sales,"US, NV, Las Vegas",,,,"#URL_c914851eb385d8c6471d602008fc4e84780de02287ca6ee67080b5f24864592f# is a service for thousands of hi-tech companies.We are looking for a team player that loves chasing sales leads. This is a growth opportunity, and we will coach and train.Ideally you:Have high proficiency with the internetEnjoy a challengeAre prompt and reliableCan grow a sales pipelineCan meet the demands of fast-paced start-up",,,0,0,0,Full-time,,,Computer Software,,0
11409,UI / UX / Graphic Designer,"IN, TN, Chennai",,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI &amp; Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&amp;D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall &amp; excellence in the field of Business Intelligence &amp; Analytics by driving BI adoption with our innovative analytical solutions and applications.","The Graphic Designer would be responsible for supporting our website, collaterals and marketing operations. In this role, you willAssist in delivering appealing and professional images, icons and visuals for the website and marketing collaterals such as brochures, flyers, presentations, email campaigns, and banners in a way that is coherent with the company's branding or color schemeAssist in the creation of training videos or demosCreate dashboard mock-ups for presentations and roadshowsComplete designated training to obtain substantial knowledge of companys products and BI and Analytics","A strong passion for graphic designCreative self-starter who is eager to learn and experimentIn-depth expertise in Adobe Creative Suite is a must (Photoshop, Illustrator, InDesign etc.)Experience with video editing and animation software is an advantage.Working knowledge of HTML and CSSExperience with mobile UI/UX is a plusAbility to work in team environment with strong work ethics",,0,1,1,Full-time,Entry level,Bachelor's Degree,Computer Software,Information Technology,0
16531,Title Insurance: Title Assistant / Title Coordinator/ Property Research Examiner,"US, NJ, Newark",,,#URL_e7c9057d5e6f097876436d175031e95669ede4ebaab52b6be0957c837bc98343#,"A large national Title Insurance /Real Estate Firm is recruiting for an experienced Title Assistant, Title Insurance Coordinator and a seasoned Property Research Examiner for their Newark, New Jersey based operations. The ideal candidates will have prior Title Insurance or Legal Assistant experience with knowledge of Real Estate/Title Insurance transactions. Both residential and commercial experience preferred. Knowledge and familiarity with deeds, leases, easements, title and survey required. Candidates who have current or prior experience as a Title Examiner, Title Searcher or Real Estate Paralegal are encouraged to apply.This job requires excellent communication and organizational skills; superior attention to detail; ability to take direction and work with limited supervision; and the ability to work in a team oriented atmosphere, with representatives of administrative agencies, property owners and members of the general public.Excellent pay and full benefits. This is great opportunity for the right person! Drop us a line if interested.""Tags: ""title insurance"" ""Real Estate"" paralegal ""legal assistant"" searcher examiner",,,0,1,0,Full-time,,,Real Estate,Research,0
14646,"Process Controls Staff Engineer - Foxboro I/A - Tyler, TX","US, TX, Tyler",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Process Controls Staff Engineer is required having responsibility for implement and maintain advanced process controls installations such as multivariable controllers.  Job Responsibilities: Troubleshoot controls problems through tuning and implementation of basic or advanced regulatory control strategies. This involves the knowledge and use of Foxboro I/A system configuration tools, understanding of the plant process operating requirements, and communication with operations engineers and console technicians. The expectation is resolved problems that are corrected by improved tuning or controls design, as reviewed and agreed to by the relevant operating area.Implement and maintain advanced process controls installations such as  multivariable controllers.This is done through the knowledge and use of AspenTech DMCplus tools/programs and Foxboro I/A system configuration tools, understanding of the plant process operating requirements and communication with operations engineers and console technicians. The expected results are successfully implemented advanced control applications that have a high service and reliability factor.Participate on project teams as the lead process control engineer and provide technical support in developing new control strategies and developing technical specifications for new advanced process control installations. The expected results from this effort are projects that function as designed originally, without redesign / rework of control strategies at the end of the project.Oversees Vendor development on new advanced controls applications, ensuring compliance with the technical specifications. This is done through auditing vendor engineering design and implementation, and review of the implemented application versus the design.The expectation of a post audit of vendor provided solutions is a standard for site documentation purposes.Participates as part of the process control on-call group on a rotating basis.This requires knowledge of the site automation and safety systems,  Will be able to provide solutions to on-call problems, or at least contact the correct subject expert personnel for advanced levels of support beyond what the control engineer is able to provide.","Bachelor of Science degree in Chemical EngineeringAt least 10 years of degreed professional experience.Minimum of 6 years of work experience as a Process Controls Engineer in the Olefins, Petrochemical or Refining industry in a manufacturing plant setting.Experience with Foxboro I/A, AspenTech DMCPlus and TriconexSIS systems (Although preferred, can be acquired through developmental training)Strong technical knowledge in the fundamentals of controls engineering and controls systemsBasic knowledge of the various types of pressure, level, temperature, and flow instrumentation.Must have general computer skills and have generic competencies of analytical thinking, communication and interpersonal understanding, customer focus, entrepreneurial acting/initiative, team leadership/collaboration, results orientation, organization awareness, and change orientation / management.Educational Qualifications:Company recognizes institutions of Higher Education which are accredited by the Council for Higher Education Accreditation or equivalentWe Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,,,0
6156,Executive Assistant,"HK, , ",,,"At Hayes-Corp, we create the fun stuff.  With a focus on software development for mobile platforms, we are constantly looking for talented people that can think outside the box logically and creatively.  Whether you define yourself as a coder, a marketer, or a number cruncher, we give you the resources to excel at what you do best. If you dont see a position you like, but think you have what it takes - just send your resume to #EMAIL_eaa37b5bf6cd5f435db52ea17edfd1f61c685afdf336f31ca36d3514a139e79e#.","At Hayes-Corp, we break molds. Whether you define yourself as a coder, an avid gamer, a painter or even a ninja, we give you the respect and resources to excel at what you do best. Hayes-Corp has an opportunity for the right candidate to join our Hong Kong office.","Responsibilities:Organizing every aspect of the CEOs business schedule and ensuring reminders are set and deadlines are notedTaking instruction at a very fast pace, for phone calls and E-mail during various hours of the day and evening.  Must have flexibility with work hours, and on-call with email monitoring during the evening and weekends.Schedule meetings and travel arrangements and liaise with all offices to ensure preparations are arranged in a timely mannerPrepare interview, internal meeting and legal documents in a detail oriented mannerAnticipate CEOs needs and providing resources and information to fulfill those needs Requirements:Minimum of 2+ years executive assistant in a global, fast paced global environment; previous experience supporting an executive is requiredBachelors required. MBA or other Masters degree desirableMust be comfortable with constant communication with CEOMust be able to provide quick turnaround of last minute requestMust be proficient with Windows, Microsoft Word, Excel, PowerPoint, Outlook and Apple IOSExceptional listening skills and attention to detail Exceptional oral and written skills in Chinese and EnglishAbility to work in high-pressure environment ",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Administrative,0
15921,Senior Systems Administrator ,"GB, RIC, Twickenham",,,"With an exceptional record of over 50% growth in each of the last 5 years, weve become the UKs largest online retailer of products for the home and garden. We employ over 200 staff, offer more than 500,000 products on our sites and achieve sales in excess of £70m a year. Were increasingly renowned as one of the UKs most exciting ecommerce success stories and have ambitious plans to become a household name in home and garden retail.","About WorldstoresWith an exceptional record of over 50% growth in each of the last 5 years, weve become the UKs largest online retailer of products for the home and garden. We employ over 200 staff, offer more than 700,000 products on our sites and achieve sales in excess of £70m a year. Were increasingly renowned as one of the UKs most exciting ecommerce success stories and have ambitious plans to become a household name in home and garden retail. Weve enjoyed huge commercial success over the past five years but are still hungry for more. In order to achieve our ambitious growth plans for 2015, we are rapidly expanding our IT department!DescriptionWe are seeking a dedicated and experienced System Administrator with at least 3 years experience. The candidate will be required to manage our fleet of IT systems. This will include; monitoring systems, specifying, designing and implementing hardware and software solutions, working with other providers and our managed hosting providers.The candidate must understand fundamental and core concepts, be dedicated, very organised, have excellent communication skills, be honest and dependable. They must also be prepared to work out of hours when required. Main Duties:-Management of network and comms equipment.Design and implementation of hardware and software solutions.Management of our Active Directory (Currently Samba, but moving to MS).Deployment of software to workstations.Management of our software suite and ensuring licensing is legal and adequateManagement of backups, snapshots and restorations.Custodian of IT DR Plan.Dealing with IT Supplies, Procurement and Purchasing.Management of Desktop support team (Currently small team of two).Monitoring of Infrastructure and responding proactively to issues. Personal QualitiesWe need someone who is individually motivated and can work in a team environment, someone who can stay proactive and positive in highly diverse environment. The role will suit someone who wants to make their mark by bringing organisation and structure to a seemingly diverse configuration. They must be dedicated and energetic with a positive nature. ","Extensive Hardware Knowledge.Extensive Server Operating System Experience:- Linux (Centos/Ubuntu), MS Windows Server, Virtualisation, VMware, P2V conversionsExtensive comms experience:- Routing, Firewalling, VPNs, WANS/LANS, Load balancing.Database management: - Configuration of MYSQL replication, Backup and restoration of large databases.Excellent knowledge of monitoring tools such like PRTG.Scripting Skills:- Bash shell scripting, Automation.Excellent Remote Management Skills with Applications such as:- Puppet, Chef.Mail Server Management: - Mail routing, Office365, Analysing and Diagnosing mail issues.Soft skills:- Must be very organised, Excellent communication (written and verbal) skills. ",,0,1,0,Full-time,Mid-Senior level,,Information Services,Information Technology,0
8128,Sales Executive,"US, FL, Pompano Beach",,,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#","Company: Lamark MediaTitle: Sales ExecutiveLocation: Pompano, FLCompensation: Base + Commission Company Information:Lamark Media Group is a full scale marketing, advertising and consulting company that offers complete business solutions combined with innovation to accelerate business productivity. We specialize in developing marketing and advertising platforms that facilitate revenue growth, stability and profitability. Using custom and proven strategies, along with the most up to date targeting and research, we make it possible to capitalize on any new business opportunities. Primary Responsibilities:Identify sales leads, pitch goods or services to new clients and maintain a good working relationship with new contactsIdentify marketing opportunities by identifying consumer requirements; defining market, competitor's share, and competitor's strengths and weaknesses; forecasting projected business; establishing targeted market sharePlanning and preparing presentationsAccomplish marketing and sales objectives by planning, developing, implementing, and evaluating advertising, merchandising, and trade promotion programs; developing sales action plansSustains rapport with key accounts by making periodic visits; exploring specific needs; anticipating new opportunitiesAssists in coordination of major campaign and project activities.Actively participates in strategy and planning meetings involving high profile athletes/celebrities and major brands.Attends meetings as required with clients and prepares reports of the proceedings.Prepares proposals and budgets.Assists in the day-to-day development of client campaigns and communications activities with approved plans.Develops and maintains thorough knowledge of clients business so that marketing and communication problems and opportunities may be anticipated.Coordinates the development of the communication activities in accordance with the clients objectives and budget limitations.Supports national and local advertising/marketing customers.Protects organization's value by keeping information confidentialPerforms all other duties as assigned. ","Desired Skills:3-5 years minimum experience in selling media and/or sponsorships and integrated marketing campaigns.Ability to effectively organize and manage multiple tasks and projects simultaneouslyStrong phone skills a mustEffective time management skillsThe ability to exceed expectations in a fast-paced, results-oriented environmentProfessional written, verbal communication and interpersonal skillsCollege degreeExperience in MS Office, including Word, Excel and PowerPoint and Sales Force",Some benefits offered,0,1,1,Full-time,Mid-Senior level,,Marketing and Advertising,Sales,0
13703,Full Stack Web Developer - Node.js ,"US, , ",,,"WillDom is a top notch IT Outsourcing Business Ecosystem, where each member contributes in creating world class value. With offices in Silicon Valley, Buenos Aires and Bolivia we work with the most demanding clients in the US, Europe and Latin America.","WillDom is looking for a passionate master of web-based technologies, specifically JavaScript (browser-based and #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#), HTML, CSS, and HTTP, with a keen understanding of scale-out technologies.You will be responsible for building web products at scale leveraging the latest horizontal scaling solutions such as NoSQL and #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#. As a full-stack web developer, your duties also include substantial client-side development.In this role, you will:•Write and maintain JavaScript code that will be executed on both the browser and Node.•Understand the nuances between browsers and provide advice and guidance to other developers on handling those nuances.•Be accountable for code quality and writing unit tests.•Contribute to architectural discussions.•Perform code reviews and participate in suggesting and supporting coding best practices.•Perform other duties as assigned.","Do you have what it takes?•A passion for cutting-edge Web Technologies •5+ years professional development experience •3+ years professional JavaScript experience (#URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# experience a big plus, Coffeescript a plus) •Very strong understanding of all aspects of Web-Browser Performance •Very strong understanding of Web-Browser Technologies, both new and old •Very strong understanding of Object-Oriented Design •Strong communication skillsDesired Qualifications:•Experience with client-side MVC frameworks (Backbone, Ember, Angular)•Experience with server-side MVC frameworks (Ruby-on-Rails, Python/Django)•Experience with CSS languages (Less, Sass) and frameworks (Bootstrap, Foundation)•Experience with SQL and NoSQL technologies (Cassandra, Redis)•Experience with Git/Github•Strong understanding of best practices for security",,0,1,0,,,,,,0
12364,Software Engineer in Test,"GB, LND, London",Engineering,,"We experiment with new digital products, retail experiences and business models at M&amp;S.comWe experiment with ways to make shopping really easy for our customers: we want our customers to browse, discover, and buy in ways that suit them.We experiment with new business models: we question the needs and wants of our customers and reimagine how to fulfil them from the ground up.Our team have mostly come from well known European startups and we love working with innovators who want to make a difference!Our business is changing. We have over 22 million customers. By investing heavily in technology, in the last year alone our online sales have gone up 16.6% and sales via mobile have rocketed over 200% following the launch of our dedicated mobile apps. But we dont want to just transform our own business; we want to revolutionise retail. Which is where you come in.","Are you passionate about test first development and test automation? Do you want to work with the latest technologies applying state of the art engineering principles?Were building some exciting digital propositions for 22m customers at M&amp;S , and need talented software development engineers in test to join our growing agile engineering team. The team has traditionally built stuff using .Net, WPF and MVC, and were looking to expand our tech stack to include HTML5 and javascript.We are looking for multiple talented, self-motivated Software Engineers in Test to join one of our many agile product teams.About The RoleUsing your BDD and automation skills you will ensure quality automated testing within the agile team, and high test coverageUsing Selenium/Web Driver to run the tests you will help us drive quality developmentsPair with colleagues to ensure there is a focus on testability and reusabilityHelp us achieve our continuous delivery aspirationsAutomate, improve and analyse extensive integration testingIncrease quality, security and performanceEnsure that high-quality software practices are adopted and encourage a team culture of continual improvement ","Essential:You will have come from a strong test automation background with BDD testing experienceYou are experienced at using Cucumber and GherkinYou have outstanding engineering talent proven by: great work results in complex environments, projects outside work, or excellent academic results. Your colleagues or friends come to you for the best adviceYou have experience of automation tools such as SeleniumYou are an experienced practitioner in Continual Integration and Continual DeploymentYou have an agile mindset and embrace changeYou take ownership of impediments and strive for continual improvementYou enjoy tackling hard problems, and like to complete tasks precisely and accuratelyYou have an excellent command of written and spoken English and are good at communicating both within and outside the teamYou are experienced with cloud infrastructuresHighly desirable:You have experience of working with other automation tools such as QTPYou have exposure to different technical stacks or programming languagesYou are experienced with GIT or another DVCS",,0,1,0,Full-time,,,Information Technology and Services,Engineering,0
2808,Art Director (Freelance to Full-time),"US, NY, Flushing",,,"As the premier design studio in Queens, we craft beautiful work using the latest technology and solve design problems that lead to satisfaction not just for our customers —  but for the design team as well. Clients such as Facebook, Reebok, and Ogilvy &amp; Mather come to us for our creative ideas and always-on-time reliability. From developing a web site that provides impactful business value, to producing a critical sales presentation on a tight timeline, we bring together gifted designers to deliver solutions that actually matter.In addition to the satisfaction of a job well done for industry-leading clients, we offer a full range of benefits for full-time employees including health insurance, vision/dental, 401(k), generous paid time off and a professional development program.","We're looking for an Art Director for immediate on-site freelance work.  Great pay, awesome people, interesting, high-profile clients. Agency experience is a big plus. You must be willing to jump on some production work as well as creative. If the chemestry is right and we all feel good about it, the position could lead to full-time employment. We're a small team so personality and attitude go a long way.  A passion for design and a creative spirit help as well. This is a real opportunity so if you think you're the right fit, fill out the application below and let's talk! ","We want you if you have: Pixel perfect attention to detail.  (yes, this is bold for a reason)A BFA or MFAYou manage yourself and your workload efficientlyFluency in Adobe Creative SuiteFamiliar with powerpoint and keynoteDeep knowledge of typography and information hierarchyCan clearly articulate design decisions","Why work for C42D? We craft beautiful work using the latest technology and solve design problems that lead to satisfaction not just for our customers —  but for the design team as well. Clients such as Facebook, Reebok, and Ogilvy &amp; Mather come to us for our creative ideas and always-on-time reliability. From developing a web site that provides impactful business value, to producing a critical sales presentation on a tight timeline, we bring together gifted designers to deliver solutions that actually matter.",0,1,1,Full-time,Associate,Bachelor's Degree,Graphic Design,Art/Creative,0
11196,Customer Service Associate (Part-Time),"US, OH, Cleveland",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Cleveland, OH. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Part-time,Entry level,High School or equivalent,Facilities Services,Customer Service,0
1124,Live in Caregiver-Lawrence MI,"US, MI, Lawrence",,,"""Our mission to our clients is to preserve their independence, enhance their quality of life, promote health &amp; wellbeing and have them consider us a part of their family.""","Home Sweet Home In-Home Care has a rewarding position as a Live-In caregiver for client's with developemental disabilities. We are seeking individuals who have a heartfelt desire to help them live safely, independently and happily in the comfort of their own homes. A live- in caregiver would in effect live in the clients home for 3-4 days at a time, providing care and companionship as needed.",,Competitive Compensation with performance reviews Opportunity for Advancement Mileage Reimbursement                                                                                                                                                                      Paid Orientation and Training ,0,1,1,Other,,High School or equivalent,Hospital & Health Care,Health Care Provider,0
83,Edinburgh Fragrance and Beauty Promotional Staff,"GB, , Edinburgh",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","We are currently recruiting for an exciting Sales &amp; Customer Service role. We are looking for someone with a passion for sales, beauty and fragrance who is enthusiastic and driven.The ideal candidates will have the ability to demonstrate a fresh approach to sales and be able to work in a fast paced and versatile environment.If you are looking to build your career in Beauty, Promotional work, Sales and Customer Service apply now.The role would suit anyone with the following experience:* Great communication skills* Positive attitude* Be able to hit targets and achieve goals* Be able to work in a customer facing role* The ability to build and maintain strong relationships with clients and customers* Beauty training or make up artisty* Fragrance or cosmetic counter experienceFull product training will be available to all successful candidates.",Experience in fragrance and sales.,Bonuses are available.,0,1,1,Temporary,Associate,Unspecified,Cosmetics,Sales,0
14618,Freelance Authors and Editors (m/f) for Norwegian Courses,"DE, BE, Berlin",Didactics,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","We are looking for freelance authors and editors (m/f) for Norwegian courses.Responsibilities:Designing Norwegian courses with Babbels editorial team writing and reviewing manuscripts: choosing vocabulary, writing dialogues and example sentences, explaining grammar",Teaching experiencePreferably also a background in editingNorwegian as a mother tongueFluent German (all Norwegian texts need to be translated into German)Excellent spelling (Bokmål) and computer skills Reliability and an independent way of workingTax number for freelance work in GermanyPreferably living in Berlin,Flexible work schedulesMost work from home (but training in our Berlin office)Competent training and supportA friendly atmosphere and a great teamWe would appreciate concise and relevant applications exclusively in digital form sent to the attn. of Ms Nadja Hantschel referring to the position as Freelance Author/Editor (m/f) for Norwegian Courses.Please note: We do not accept applications from recruiting agencies.,0,1,1,Other,,,,Writing/Editing,0
6197,Technical Architect (Adobe AEM aka CQ5 CMS),"GB, CMD, London",Technology,60-75,"The Technical Team and offering at VML London has a very strong heritage dating back to the mid-nineties when the London office was founded. Back in those days we were one of the first few purely digital marketing agencies in London; and back then things were a lot more technically driven than they often are today; as such the Technology team here continues to enjoy a strong strategic influence on the work that the agency undertakes and the clients that we engage.It just works.Technology is brilliant when you dont have to think about it. Thats what we strive for in our solutions. Our technology team has a strong strategic influence on the work we undertake and are involved as soon as the brief lands. They stay involved throughout strategy, creative concepting and design. Acting as important enablers, we bring new and emerging technology to the table to successfully marry the requirements of our clients with the vision of our creatives.Open standards, open minds.We aim to deliver the most elegant technical solution for your budget, and maintain a technology agnostic approach to development in order to achieve that. Technology can be expensive, both in terms of hard costs and costly mistakes, so were as careful with your money as we are with our own.   Perhaps thats why some of our clients have been with us for over 10 years. When faced with a new problem our first instinct is always to see if someone else has already solved it. As such, the solutions we design are built on the rich eco-system of open source software (OSS); the proven and battle-tested kind that we know will not just do the job quickly and cost-effectively, but also robustly. Where OSS isn't appropriate we always favour solutions that are built to open standards that we know will integrate well with other technologies.Strong partnerships.Our work is complemented by strong partnerships with technology vendors and suppliers.   Proven over time, our established strategic relationships with many of the leaders in software, hardware and other technology solutions help ensure were able to continue to offer best-in-class technical solutions for our clients.What we do:High availability and high performance website architecture &amp; developmentWeb content management solutionsCreative technology ideationContent optimisation, search and analyticsHigh volume, multi-locale email campaignsMobile optimisationLocalisation","The increasingly complex web applications, mobile sites and applications, and digital experiences we build require novel user interfaces that work more like applications than websites, and are built upon the market leading Adobe Experience Manager platform (formerly known as CQ5). The Technical Architect for AEM CMS leads members of the VML Technical team in the creation, specification, costing, production and post-production of CMS driven web development projects. The Technical Architect in particular bridges both the client side and server side of our applications, helping to make a reality VMLs goal to apply the same quality processes used to build enterprise server applications to build enterprise JavaScript applications on the client side. The complexity of VMLs AEM site builds varies from simple editorially driven websites using out of the box AEM features, to transactional websites integrated with backend services using client side or edge side page assembly, to data driven websites integrating with data services using OSGi bundles. Some VML AEM sites use extensions for mobile device support or social communities, and increasingly VML is required to integrate AEM sites with wider features of the Adobe Marketing Cloud product suite. The software we build runs business critical systems with high performance, high availability requirements for some of the UKs leading brands, taking millions of pounds per day in sales and being design to cope with tens of thousands of page impressions per second. As such, VML is looking for an engineer and architect who favours disciplined development and testing practices to the more trial and error approach that is often applied to campaign related digital marketing work, and applies techniques such as continuous integration, continuous testing and static code analysis to maintain quality. The successful applicant will have a minimum of 7 years of experience with a range of enterprise Java technologies and content management systems, with at least two years experience with AEM/CQ5 and ideally familiarity with client side technologies as well, especially MVC pattern JavaScript applications. They will be confident at leading groups of developers to a successful project conclusion.","Required Knowledge and skills:Design and management of production of JSP templatesConfiguration and fine performance tuning of AEM configurationIntegration of external search and tuning of the lucene search indexingCRX / JCR performance tuningSoftware design and architecture using recognized patterns and object oriented designDevelopment of AJAX heavy single page websites on the AEM platformPre-deployment configuration and security checklists for AEMLinux and Windows operating systems system administrationCode control using Git or SubversionOSGiFamiliarity with Apache technologies including Felix, Sling and Lucene, and the Tomcat and Apache Web Server productsUnit Testing and Test Driven Development of AEM sitesTesting and defect management using Jira or similarExcellent customer facing communications, presentation and documentation skills Useful extra knowledge and skills:Similar Java CMS such as Magnolia, Hippo, Liferay, Alfresco, Vignette.Net CMS especially SiteCorenginx web server configurationAdobe Social Communities pluginHybrid application development using PhonegapBest practices for Integration Management, Release Management, Configuration Management, Estimation and other software engineering processesAgile software development using Scrum and similar, and tools such as Jira AgileLine management and coaching of junior members of staff Key responsibilities:Take on overall responsibility for technical delivery of the AEM applicationsDefine system architectures and technical solutions cognizant of performance, security, authoring, administration, usability, searchability, maintainability, testability and efficiency of solutionReviewing code contributed by other team members and maintaining a high standard for code allowed to be committed to the product code branchMeet third parties to negotiate, define and document any interfaces, protocols, processes and procedures needed for the projectTake part in creative discussions and steer those discussions in the most technically appropriate direction, recommending best technical approachWork with the project team to define and document project scope, specifications and plans requiredWork to plan the technical delivery, and help Project Managers track progress against thisProvide accurate cost, assumption and risk information in a timely manner based on varying qualities of brief.  On an ongoing basis, communicate effectively with Project Managers, providing up to date information on project status and risks as the project progresses.Assist with the recruitment of the team onto the project.  Brief team members and manage them in the production of work to the brief.Ensure that knowledge gained is always shared outwards to the teams and the company as a whole.Ensure that the project is delivered to high standards of quality in all aspects including, but not limited to code, documentation, configuration management, source code control and best practiceContribute directly towards the project deliverables, including coding and documentationOwn the VML coding standard for AEM JSP components and standard architecture for integration and preproduction checklists and help to coach staff in applying itTroubleshooting and Problem Resolution Challenges of the role:The Technical Architect is regularly found in both internal and client facing meetings where they will be called upon to provide technical guidance and expertise. The Technical Architect is one of the representatives of the technical offering of the agency and as such they need to be strong &amp; articulate communicators, charismatic and convincing thought leaders. Key relationships:Technical DirectorThe Technical Architect needs to communicate regularly with the Technical Director to report project progress, discuss changes and improvements to working practices and strategy for the technical teams development.Project ManagerThe Project Manager is responsible for the success of a project, and as such requires regular updates from development teams working on their projects. The Technical Architect inputs heavily into the project planning process to detail the technical delivery work undertaken.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Engineering,0
11507,Senior SW Project Manager,"US, CA, San Francisco",,,"Ixonos is a global design + technology consultancy based out of Finland; With design studios in London, Helsinki, Košice, and San Francisco, we work with retail, media, and OEM companies to design user experiences of interactive products and services. We…Dream, Design, DeliverOur design philosophy is firmly based on the technical expertise we have gained since our start in 1994 as an IT company and it has strengthened during the years with a global network of top designers.We are forging a new breed of design studios where design meets technology in an unprecedented way. Youll be working with a small team of designers that value creative freedom and exploration.","We are looking for skilled and experienced Senior SW Project Manager to join our team of highly skilled professional project managers.Together with our talented experts, you will work in different phases of the delivery chain to provide world-class solutions to our customers. In addition to leading your projects successfully, your responsibilities include customer consultation, high-level architecture and service design as well as sales support.    ","Mandatory Requirements1 At least 6 years of experience in software project management2 At least 3 years of experience in utilizing standardized project management processes in projects (ITIL v3,   Agile or similar)3 Proven skills of successfully leading software delivery projects for external customers utilizing at least   two of the following domains: UX, mobile platforms, web applications, backend   services4 Hands-on experience in use of  Agile methodologies in software delivery projects5 Technical background and understanding in software domain either6 Pro-active working style in leading projects7 Can-do attitude to tackle also difficult challenges promptly and analytically8 Competence and willingness to create high-level architecture and service design as well as to work in a   consultant role for customers for sales support activities9 Master of Science degree in Software Engineering or similar10 Fluent in English, both written and spoken11 Ability to work and join meetings outside standard office hours regularlyNote: Candidates must have proper authorization to work in the United States",,0,1,0,Full-time,Mid-Senior level,,Computer Software,Design,0
9973,Controller,"US, UT, Salt Lake City",Accounting,,,"About the Company: EventBoard (#URL_d92e56692206b4d5d56bda4b7b524138245744cf3857d4257482f21ad1b549ea#) started out as a simple concept to use the iPad as a meeting room display, indicating whether a room was occupied or available. Upon that concept, we have grown into one of the most exciting VC backed startups in Utah. EventBoard has been implemented by over 500 different clients across the world. Today, were focused on building EventBoard into a predictive analytics platform that will allow companies to track and optimize the workplace. The platform provides companies with the tools and software needed to track and analyze key data on company resources, allowing them to become more aware and more efficient. Weve seen the amazing ways companies are currently using EventBoard and are excited to see how companies will take advantage of the platform as it develops.To handle our rapid growth, were looking to add amazing new talent to our team. We believe in collaboration—we work hard together and we have fun together. Its a team thing and we like it that way. We want someone who is self-driven, detail-oriented, and consistently achieves their goals. Sound like you? Awesome, keep reading.About the Role:EventBoard is seeking a Controller. In an office full of fun and creative people, youll maintain the semblance of financial process and order.  Working directly with the CEO, youll help us navigate the financial landscape affiliated with a fast-growing SaaS company based in downtown Salt Lake City.  Responsibilities:You will be working with our outside accounting and CFO firm to:Oversee all accounting activitiesAssist in producing timely and accurate financial statementsManage A/P and A/R processesWork closely with Sales team to calculate monthly revenue goalsDevelop financial processes and procedures as neededOversee the month-end close process and daily operation of the accounting departmentReview month-end financial results, balance sheet reconciliations, and journal entries and accurate management reportingCollaborate with other departments on special projects as neededPrimary control owner for ensuring all accounting-related controls and processes are effective both in design and operationProvide and communicate accurate and timely information for decision making to managementPerform other special assignments, projects and tasks as requested","Bachelors Degree in Accounting3+ plus years of experience as a controller or assistant controller and/or in public accounting working in or with software companies, preferrably SaaSAble to act independently, exercising independent decision-making and fulfilling responsibilities with minimal supervisionSelf-driven individual with proven track record working in high-growth fast paced environmentExcellent teamwork skills, ability to deal with others in a professional mannerExceptional organization and time management skillsWell-developed professional judgment and the ability to interact with all levels of the organizationExcellent communication skills both written and verbal","Bring your awesome self and your passion for amazing products to help build this company into something incredible and well provide sweet things like a competitive salary, unlimited PTO, 100% paid health insurance, snacks and drinks, and Pizza Fridays (and sometimes Tuesdays or Thursdays). See the particular job requirements and then apply below.",0,1,0,,,,,,0
10996,Marketing Intern,"GB, LND, ",,,"Fabrily makes it easy for campaigners/communities/charities/causes/artists. etc… to raise funds and awareness with custom merchandising (T-shirts, Hoodies, iPhone covers, etc…) Users design a merchandise with Fabrilys help and sell it to their network/community. Fabrily handles the printing and shipping and give the profits back to the sellers. It is absolutely free for the sellers to use.This 60 second video explains how it works  #URL_39f7a1fd2a9c4e06693f732e976b7ec6011a6484aa0363b7a85cf5f2968742d5#"," Are you looking to join an exciting and high-growth start-up? Are you up for a challenge? Do you enjoying working hard and making a dent in the universe? If you answered yes to these questions, then please read on….Fabrily is on the hunt for two brilliant marketing / business development interns to join our existing team. We are looking for someone who is energetic, intelligent and full of good ideas to join our friendly and hardworking team to assist us in getting the word out about our fantastic new service. What is Fabrily?Fabrily is a start-up that makes it easy for campaigners to raise funds and awareness with custom merchandising (T-shirts, Hoodies, etc…). Whether they are artists, musicians, charities, sports teams, sportsmen, celebrities, or anything else you can image, we can help.Our services are absolutely free for sellers to use, and the profits can go to any cause, be it charitable or for profit. Fabrily handles all the printing, shipping, and customer service, and gives the profits back to sellers. Users design merchandise themselves or with Fabrilys help, and sell it to their network, community, friends or family.The foundation of our business was built on the success of charities, causes, events and small interest groups in raising funds through our services. Our ambition is to expand our presence in this segment, and we are looking for a couple of driven, creative and enthusiastic marketers to help us do it! This 60 second video explains how our business model works  #URL_850ac80fb1cdb4e0a89c79a9ce7a3d03c57c733c45af5bb20455dd30755cc8b3#  The opportunities are endless, and we have helped people from everywhere, and every walk of life. We have already seen an amazing take-up of the service and have big plans for the future. We have helpedThe internship will be for 3 months with a potential full time job offer at the end. Key Responsibilities•               Undertaking marketing and business development related activities to get new campaigners on board•               Figuring out new types of audiences nationally and internationally which might find Fabrily useful•                Lead generation  both online and offline•                Liaising with potential campaigners to get them on board•                Networking in the community to get people excited about Fabrily","•                Self-motivated, proactive, well organized and efficient•                Thinks from a customers viewpoint and anticipates their needs•                Good networker with impeccable written English•                Should take the initiative, pick things up and run with it•                Some experience with marketing and business development is desirable but not necessary",•                Work as a paid intern in an exciting new start-up•                Be a part of taking a fast paced start-up to the next level•                Lots of learning and networking•                Potential inputs and involvement in other parts of the business•                Develop your own marketing ideas and implement them,0,1,1,,,,,,0
12243,Implementer ,"AU, WA, Perth",Squiz ,,"Squiz is one of the world's leading web solutions companies. We design, build and manage engaging websites and online applications using our Web Experience Management Suite, the Squiz Suite. Our clients include many household names and range from large global organisations to Government bodies and charities. We have an international network of offices in Australia, the UK, USA, New Zealand and Poland, and employ over 250 permanent members of staff.As a team, we are talented, motivated and enthusiastic. We live and breathe the web and our passion is finding new and innovative solutions using Squiz products alongside the latest web technologies. Our staff give 100% and are offered a high level of autonomy, responsibility and opportunity in return.","We are an Australian owned, multinational software and professional services company in the web engagement space. It is a very exciting time for us at Squiz as we are growing and opening a new division in Perth. This is your chance to make an impact and be there from the beginning! Our Content Management System (Matrix) is listed on the Gartner Magic Quadrant and we have a number of other exciting technologies including Funnelback (a specialist Enterprise Search Company) and Roadmap (an ideation and social collaboration solution). Ideally we are looking for a web developer who is passionate about:Implementing websites using Content Management Systems (CMS).Integrating Squiz Matrix with data/content from other repositories using external web APIs (REST and SOAP) and exchange standards (XML, XSLT etc).Delivering the best solutions for our customer and never settling for second best. The skill set we are looking for includes:A strong knowledge of Javascript, HTML and CSS3 The ability to deliver professional documentation of requirements and technical specificationsAppropriate tertiary education Although not a requirement, exposure to the following areas would be highly regarded:Expertise in Software Engineering concepts and practices (e.g. version control, object-oriented programming). PHP, SQL and Perl programming skills will be well regarded.Business analysis experience/exposureAn understanding of the importance of user experience, usability and IA research &amp; analysis in a successful web project What are you looking for that we can offer? To work with the latest web technologies  tick. To spend time with innovative and awesome people  tick. Ongoing training and development  tick. Growing global company with genuine career development prospects - tick. Dont hesitate  apply now by sending a copy of your resume and cover letter. Additionally, if you have samples of your previous work please send these along with your CV and cover letter.",,,0,1,0,Full-time,,,Information Technology and Services,Production,0
16787,Retail Assistant Everyman stationery store,"GB, BIR, solihull",,,,Were looking for a part time Retail Assistant for our Everyman stationery store in Solihull. ,"Everyman sells stationery and unique art products.Our Solihull / Olton store is often full of excited customers of all ages discovering new and old confectionery favourites, and enjoying a fun retail experience. You will have excellent customer service skills, excellent understanding of written and spoken English, and proven reliability and timekeeping.  The store can get busy: we require staff with strong interpersonal skills and a good sense of humour combined with confidence. Previous retail experience is essential! Priority will be given to applicants able to be flexible about hours. Please only apply if you are: Available for immediate start Available to work Monday and Saturdays Please apply with a CV and covering email including details of your current availability, and why you would like to work for Everyman. ",,0,0,0,Other,,,Retail,Sales,0
2495,General Manager - Indianapolis,"US, IN, Indianapolis",Operations,,"Shyp is the easiest way to send anything, anywhere.Here at Shyp, were building the #1 brand consumers and small businesses trust for shipping their packages, and in the process fundamentally disrupting a 220 year old #URL_5e3cdedac8b912c62b6523c7af01360e03d0cb98631134035b2ddbaecdee14dd#re building a customer-centric organization that encourages customer service to permeate the decisions and attitudes of the entire company. Our culture is collaborative, focused and fast-paced. We have an incredible team and are well funded by some amazing investors. Come join us.","Shyp is looking for the best of the best to lead each city. General Managers run their city, as such, we rely on our GMs to make key decisions on the ground, foster Shyp's culture, and take direct ownership of growth and success. Our GMs are a blend of product manager, marketing guru, and logistics and supply chain expert with a past history of success as an entrepreneur and leader. General manager's lead the local ops team and are responsible for local strategy, onboarding the city team, building out facilities, maintaining the utmost quality of service, on the ground customer support and local PR/marketing.","5+ years experience with building, managing, and inspiring high performance teamsStrong sales and marketing experience, with the network and ability to lock down partnershipsExperience with developing products/running operationsEntrepreneurial experience, whether in a startup, small business or side projectDemonstrate strong analytical thinking and abstract problem solvingNot a quitter  able to work until the jobs doneManagement consulting, Invetment banking, General management, or MBA a plusExamplesConsulting or investment banking background with data driven decision instincts and the ability to leadMarket lead for multi-city business with direct responsibility for multiple functions Start-up manager that's built, led &amp; managed a 100+ person team",Opportunity to play a huge role in a unicorn startupWork with an incredible team that never settles in their pursuit of excellenceGreat perks you'll want to tell your friends aboutFull-time salary negotiable based on experience and equity compensation,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Logistics and Supply Chain,Management,0
9645,"Clinic Assistant, Preston","GB, , Preston",,16500-18500,"Newmedica is a dynamic, innovative UK healthcare company that works in partnership with the NHS to deliver specialist clinical eye care services safely and effectively. Newmedica is growing rapidly and operates services in a number of locations around the UK. Newmedica clinics operate in both fixed and mobile settings, in the community and on NHS Trust sites.","Clinic Assistant, Preston (initial 9 months FTC with potential to extend)Full or part time hours (minimum 4 days per week - including Monday and Friday)£16,500-£18,500 per annum pro rata Newmedica is a dynamic, innovative UK healthcare company that works in partnership with the NHS to deliver specialist clinical eye care services safely and effectively. Newmedica is growing rapidly and operates services in a number of locations around the UK. Newmedica clinics operate in both fixed and mobile settings, in the community and on NHS Trust sites.We are currently looking for a Clinic Assistant to support our clinic in Preston.Clinic Assistants are the public face of Newmedica and are integral to the successful operation of the clinic. They are responsible for performing clinical tests and supporting the clinical assessment of each patient and for ensuring that all patients understand their treatment. They are also responsible for ensuring the smooth and efficient administration of the clinic, including ensuring that appointments are booked and that clinics are full, retrieving patient notes, recording and filing clinical outcomes and utilising Newmedicas electronic patient records.Combining a friendly and accessible personal manner with basic clinical testing skills, they pride themselves in delivering great customer service and their ability to work within small teams to maintain and enhance processes to maximise quality and efficiency. No clinical knowledge is assumed (full training will be given) but intellectual curiosity is required. It is expected that the companys growth plans will provide ongoing challenges for the post-holders as well as appropriate career development opportunities.","GCSE grade C or above in English and Mathematics, or equivalentProven customer service skills with a passion for customer care.Ability to build a working knowledge of eye physiology and treatment and to build clinical testing skillsFully computer literate - comfortable with Microsoft Office products and the ability to learn new patient record systems.",,0,1,1,Contract,Entry level,Unspecified,Hospital & Health Care,Customer Service,0
7227,Senior Division Order Analyst,"US, OK, Oklahoma City",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","About the CompanyThis is an amazing job opportunity with one of the fastest growing companies in the Energy Industry! Opportunities for advancement are extensive as the company is currently in the process of doubling in size. Strong compensation and benefits packages are available for qualified candidates who want to join the largest player in the Bakken Shale and leader in the Oklahoma Shale plays. Contact us today for an opportunity to join one of the Industrys leaders in the mission to achieve American energy independence!Essential Job FunctionsProvide full scope and oversight of JV and Royalty Owner set up and Division of Interest (DOI) maintenance.DOI set up and maintenance includes, title analysis, interpretation of related documents and contracts, ownership preparation and changes to record title ownership of minerals, working and royalty interests.Responsible for a full range of duties to include the most advanced-level assignment in support of the administration of division orders.Research and compile information and reports of title status, timing deadlines, etc.Proficient with review of title opinions, curative resolution, complicated lease, contract and/or legal instruments to determine, monitor, and implement ownership changes.Set up and revise ownership decks and prepare and/or approves division orders.Resolve suspense accounts.Learn and comply with company Division Orders data guidelines and procedures including Quality Assurance.Work independently. ","Education: Bachelor's degree required; degree in Energy Management preferred.Experience: 8+ years of recent, relevant experience with an active Oil &amp; Gas exploration company or a combination of education and relevant work experience required. Experienced with land data systems such as SAP, QLS, etc. Excellent communication and interpersonal skills. Strong computer skills, including MS Office. Proven team player.* Military experience is highly valued by the employer; candidates with military experience can expect some flexibility in terms of requirements, so long as fundamental skills and competencies are present.",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Oil & Energy,,0
15187,CDL Driver-Cicero,"US, IL, Cicero",,,"ABC Supply Co., Inc. is the nations largest wholesale distributor of roofing and one of the largest distributors of siding, windows, and other exterior building products. Since our start in 1982, we've grown to become a national organization with over 450 locations in 45 states. Our mission is to be the biggest, best, and easiest service company distributing exterior building products. We fulfill that mission by stocking the brands and products contractors need at competitive prices, operating a state-of-the-art delivery system, and actively listening to our customers. We know that we will only be successful when our customers are successful. Thats why we make good on our promises every day.","As a Delivery Driver, you will operate your truck and deliver building materials in a safe, efficient and professional manner. It is important that you not only make your deliveries on schedule, but that you also do so in a manner which takes into account the needs of both contractors and homeowners and which represents ABC Supply in the best possible light. The trucks with which you make your deliveries as a Delivery Driver are large and heavy, and you must take care to operate them in a way that does not cause damage to driveways, buildings, or other areas of the job site.Your specific duties as a Delivery Driver may include:Conducting a safe work zone during each job site delivery (includes setting out cones and caution tape and wearing hard hats as well as harnesses when appropriate)Adhering to assigned delivery schedule and following all job directives precisely (including the route to take to the job site, where to unload materials on the job site, and so forth)Documenting every step of each delivery by taking and uploading photos with our camera phone systemUsing your discretion to avoid damaging job site property (particularly driveways) when unloading materialsTreating customers in a friendly and professional mannerObeying all regulatory agency and company safety directives, particularly with regard to operating near electrical wiresWorking in cooperation with the Roof Stocker in positioning boom over roof, determining where to set the materials, and physically unloading the materialsCalling dispatcher to handle difficult contractors when necessary and to report any instances of customer dissatisfactionCompleting a thorough load check on your truck before leaving branch, ensuring that all delivery materials are firmly secured and all parts of your truck are in compliance with all federal, state, and local regulationsObeying all traffic laws and weight limitsCalling dispatcher and reporting any problems or irregularities with your truckCompleting and filing all logs and required government paperwork in a precise and timely mannerProviding the customer with an invoice on completion of each delivery and collecting the entire due amount on COD deliveries","As a Delivery Driver, you must be focused on safety, attendance, and punctuality. There is no minimum education or experience required for the Delivery Driver position  the important thing is that you are able to handle a truck well and that you are a skilled enough driver to drive every different type of vehicle in our fleet. You must also have an excellent attitude, personality, and interpersonal skills, since you will be the face of our company to most of the customers to whom you deliver. It is also vital to your role as a Delivery Driver that you display a solid work ethic and dedication to providing excellent service.Specific qualifications for the Delivery Driver position include:Valid Class A or B CDL license and a clean driving recordThe ability to lift 75-100 pounds repeatedly (your most common cargo will be 70 pound bundles of shingles)Ability to pass a drug test on hire and then to pass random testsAbility to pass a background check as well as a driving record checkBoom truck experience is a plusHazmat experience and endorsement a plus","As a Delivery Driver, you will receive on-the-job training, which may include being paired with a veteran driver for a short time to orient you to your new duties. Since we prefer to promote from within, you may have opportunities for advancement. We value your hard work and professional dedication as a Delivery Driver and will reward you with a competitive compensation package, including benefits. Your benefits package as a Delivery Driver may include:Health, dental, and vision coverageLife insurance401(k)Flex spendingBonus opportunities2 weeks vacation after first full year of employmentPaid personal daysPaid sick daysPaid holidays",0,1,0,Full-time,,,Building Materials,,0
16765,Customer Service Specialist in our Promotions Department,"US, OH, Cincinnati",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Do you want to be a part of something special? Do you want to leave your fingerprint on an amazing, growing company? Do you love to engage people in conversation? At LEI Home Enhancements, we are revolutionizing the way home improvements are sold  and we need your help! Don't have a ton of experience? No worries! If you are smart, willing to work and learn, we are willing to teach! Your hours can be flexible to work PART-TIME or FULL-TIME, around classes and life.DUTIESAs a Promotions/Customer Service Specialist, you will- Engage with customers all over the area who wish to know more about our promotions and products- Schedule appointments with our customers to speak with our awesome sales team.- Provide top notch customer support and service.- Work to grow our business and bring fresh new ideas to the table.- Help with various company-wide projects as needed.","QUALIFICATIONSWe are looking for people who want to make a difference and- Are willing to start on the ground floor and work their way up in our growing company.- Have the desire to work hard and be available for extra hours.- Are equipped to think on their feet.- Enjoy ""big picture"" thinking and support ""little picture"" execution.- Want to ""come as you are""! We consider candidates with various work backgrounds and experiences. If you don't have home improvement experience, that's okay!- Have the desire to learn. Are you willing to learn from everyone on our team and share your unique experiences?- Are passionate, great communicators, creative, self-motivated, have a positive attitude and a competitive spirit!- Want to grow themselves and their careers. Marketplace Homes promotes from within so your job is to find someone to do your job so you can move up!",We Offer:-Base plus commissions (approx $15-$18 an hour plus any commissions earned)-Paid training-Full Benefits-Quick advancement,0,1,0,Full-time,,,,Customer Service,0
11257,Marketing Manager,"US, TX, Grand Prairie",Marketing,55000-65000,"AutoAmerica is trying to make the worst consumer experience fast, easy and enjoyable. It is no easy task, but we are out to disrupt the automotive industry and change it forever. In order to do that, we need a diversity of perspectives and experience. If you are energized by thinking creatively and working for a company where you will be able and expected to make a meaningful impact from day one, then we are the place for you.","Implements marketing and advertising campaigns by working alongside the corporate management, preparing marketing and advertising strategies, plans, and objectives; planning and organizing promotional presentations.Tracks product sales and costs by analyzing and entering sales, expense, and new business data.Prepares marketing reports by collecting, analyzing, and summarizing sales data.Keeps promotional materials ready by coordinating requirements with graphics department; inventorying stock; placing orders; verifying receipt.Supports sales staff by providing sales data, market trends, forecasts, account analyses, new product information; relaying customer services requests.Researches competitive products by identifying and evaluating product characteristics, market share, pricing, and advertising; maintaining research databases.Monitors budgets by comparing and analyzing actual results with plans and forecasts.Maintains communication with local vendors on current marketing plan and advertising options.Accomplishes organization goals by accepting ownership for accomplishing new and different requests; exploring opportunities to add value to job accomplishments.","Required Skills: Direct Marketing, Email Marketing, Market Segmentation, Marketing Research, Coordination, Project Management, Reporting Research Results, Understanding the Customer, Process Improvement, Initiative, Planning, Financial Skills, Social Media Management, Customer Engagement. Advanced knowledge of Microsoft Excel and willingness to learn other analytical tools. Passion for the creative process and willingness to voice opinions.Qualifications: To be considered for the Marketing Manager position, you must love helping people and possess the drive to achieve superior customer satisfaction. You must be goal-oriented, enthusiastic, energetic and self-motivated. The Marketing Manager will be the local liaison to the Corporate Marketing Team based in another state, and possess the ability to communicate effectively with all levels of the organization.Bachelors degree is requiredThree years work experience in a customer facing team environment, preferably eCommerce, SaaS, or an Internet-centric organizationExceptional written and verbal communication skillsAbility to multitask and prioritize effectivelyFlexibility and initiative in the face of fluctuating project requirementsAptitude for acquiring skills in various technical applications and an eagerness to learnEducate others about product changes, new initiatives and quick tipsStrong computing skills including proficiency using business softwarePossess the energy and drive to accomplish goals, meet deadlines, and find solutions without little directionStrong willingness to give and receive feedback and encourage professional growthHiring is contingent on passing a complete background check and drug screening","Medical, Dental, and Vision benefits stating on day 91Access to opportunities to expand your skill set and share your knowledge with othersCompany culture of promotions from within, with a start-up atmosphere allowing for varied and rapid career development",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Automotive,Marketing,0
669,Outside Sales Professional-West Chicago,"US, IL, West Chicago",,,"ABC Supply Co., Inc. is the nations largest wholesale distributor of roofing and one of the largest distributors of siding, windows, and other exterior building products. Since our start in 1982, we've grown to become a national organization with over 450 locations in 45 states. Our mission is to be the biggest, best, and easiest service company distributing exterior building products. We fulfill that mission by stocking the brands and products contractors need at competitive prices, operating a state-of-the-art delivery system, and actively listening to our customers. We know that we will only be successful when our customers are successful. Thats why we make good on our promises every day.","As an Outside Sales Representative, you will develop and maintain a growing book of sales accounts and will provide them with the best possible customer service as you supply them with a full range of products and services to supply their contracting needs. Your ultimate goal will be to set up meetings with prospective and existing customers, yourself, and your Branch Manager and to have those meetings result in product sales and, in the case of prospective customers, new sales accounts.Your specific duties as an Outside Sales Representative may include:Examining daily company sales reports to look for sales opportunities in customer buying patterns (client relationship management)Making cold calls to prospective customersMaking visits to job sites of existing customers to talk with foreman and independent installers and probe them for leadsSetting sales appointmentsFielding client complaints and coordinating solutions with Branch ManagerTraining client contractors new sales people in our product lineGetting client signature on credit application and submitting application to branch officeChecking in at branch office every morning to meet with contractor clients as they pick up their materials for the day and seeing if they need any additional productsProviding accounts with marketing gifts such as sweatshirts, caps, and so forthMaintaining a professional appearance and demeanor at all timesConferring with clients to ensure that past due bills are paid and receive payment from them when necessaryManaging your client relationships by contacting each of your existing accounts at least once a month","As an Outside Sales Representative, you must have excellent sales talents as well as the willingness to learn the ABC Supply sales approach. It will also be very helpful to your role as an Outside Sales Representative if you have existing knowledge of exterior building product lines such as siding and roofing, not only to lend credibility to your sales presentations, but also to assist the Branch Manager in the selection of new product lines. It is also vital for your role as an Outside Sales Representative that you have empathy for your customers and their needs, so as to provide them with the best possible service.Specific qualifications for the Outside Sales Representative position include:High school diploma or equivalent; college degree preferredNo minimum experience required, but specific industry knowledge, including both familiarity with product lines and the overall building contractor process, is strongly preferredValid drivers license, clean driving record, and own reliable vehicleExceptional verbal and written communication, interpersonal and public speaking skillsProfessional appearance and demeanorSuperior time-management and planning skillsExcellent attention to detail and organizational skillsPositive, team-oriented attitude","As an Outside Sales Representative, you will receive a weeks sales training, which will include Q&amp;A sessions with veteran ABC sales reps. You will also receive occasional product training, particularly when we adopt new products and product lines. You may find plenty of opportunity for growth as an Outside Sales Representative, within the role itself as you establish more accounts and in terms of opportunities to sell new product offerings. We value your hard work and professional dedication as an Outside Sales Representative and will reward you with an excellent compensation package. We have a very competitive commission-based pay structure and you will continue to receive commission on your established accounts on every purchase they make. You will also receive a comprehensive benefits package.Your benefits package as an Outside Sales Representative may include:Health, dental, and vision coverageLife insurance401(k)Flex spendingBonus opportunities$500 per month vehicle allowanceFuel allowanceExpense account2 weeks vacation after first full year of employmentPaid personal daysPaid holidays",0,1,0,Full-time,,,Building Materials,,0
16025,Software Engineer,"US, NY, New York",,,"Shapeways is the leading 3D printing marketplace and community, empowering designers to bring amazing products to life. By giving anyone the ability to quickly and affordably turn ideas from digital designs into real products, Shapeways is fundamentally changing how products are made and by whom.Through Shapeways, designers gain access to the best industrial 3D printing technology, capable of manufacturing products with complex designs in a wide range of high-quality materials. 3D printing turns raw materials into original products, from wedding rings to rocketships, model trains to iPhone cases, and prototypes to industrial engineering parts. The Shapeways community can sell their products, share ideas, and get feedback from creative consumers and other designers around the world.Headquartered in New York, Shapeways has factories and offices in Eindhoven, Queens, and Seattle. Shapeways is a spin-out of the lifestyle incubator of Royal Philips Electronics, and our investors include Union Square Ventures, Index Ventures, Lux Capital, and Andreessen Horowitz.","Shapeways is looking for Software Engineers to join our growing development team. We are driven technologists who possess a blend of business savvy, product intuition, and superb coding skills. If you are interested in 3d printing, eCommerce, big data, and disrupting the physical product market, we want to meet you. This position will focus on LAMP technologies.ResponsibilitiesApp Performance and UptimeCode Quality and System ArchitectureEventual ConsistencyUnit and Functional TestsGraceful DegradationItemization / Estimation / ExecutionCollaborate with Product Team on features and improvementsManage your own time commitments and deliverables","4+ years or as a software engineer in a consumer facing e-commerce websiteBachelor or higher preferably with a major in computing science or similarFamiliar with PHP, Apache, MySQL, VCS, caching, Javascript, HTML, CSSIntimate knowledge of software development methodologies and processesAbility to read code in any languageAbility to collaborate with Product team on project scope and functionalityPreferred QualificationsExperience with E-commerce, marketing, acquisition and retentionExperience with ERP and MES component and system architectureExperience in an agile development environmentExperience in an automated testing environment","Why join our team?Shapeways is breaking new ground in the field of 3D printing. With our website and marketplace for designers, our goal is to give everyone access to the revolution of additive manufacturing. This isnt your typical web business  we have a physical product at the back end. If youd like to work in a dynamic, collaborative, respectful environment where colleagues share ideas and encourage each other to think creatively, Shapeways is just what youre looking for. Fun is also part of our DNA  a sense of humor is a must! Youll also have the coolest desk toys anywhere.Stock options in the companyMedical Benefits (individual at 100%)Vision insurance at a cost to the employee.Dental insurance at a cost to the employee.Flexible Spending AccountCommuter Benefits401(k)Four weeks of PTO (20 days total/year) in addition to national holidaysFive sick days/yearFlexible schedule and work-from-home optionStocked fridge (free food, drinks, beer etc), free lunch at LICWorking with some of the most talented, passionate, creative innovators in NYC. Best culture out there.",0,1,1,Full-time,Associate,,Information Technology and Services,Engineering,0
3976,Corporate Accounting Manager,"US, GA, Atlanta ",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)GENERAL REQUIREMENTSResponsible for managing operational data and ensuring data integrity and accuracyAssist the Controller in producing accurate and timely financial statements in accordance with Generally Accepted Accounting PrinciplesQualifications:CPAFinancial statement preparationStrong Month end close skill setReady to take on your next challenge and grow with a company.Revenue Recognition experienceCorporate tax preparation, including multiple state allocationsStrong knowledge of GAAP &amp; Federal taxesFamiliarity with job cost &amp; percentage complete accounting",,,0,0,0,Full-time,,,Accounting,,0
6188,Sales Associate,"US, , ",,,"At TeeSmile we make it easy to raise money with t-shirts and rally supporters for anything you're passionate about.TeeSmile is building products that help you inspire and make an impact. We could use your help. TeeSmile is based in Burlington, MA and if youre interested in joining us, check out these opportunities:","TeeSmile is a fundraising platform that helps individuals, schools, sports teams, non-profit organizations or anyone raise money for their causes with t-shirts. We based in Boston and are launching across the United States.We are seeking energetic and entrepreneurial Sales Representatives to join us and transform how people raise money to support their causes. This position is an entry point into a high growth technology startup with great potential.If you are a confident and highly motivated individual looking for a great sales opportunity and a great work environment, join our Sales team at TeeSmile.You will be responsible for identifying individuals, organizations or companies that are looking to raise funds one or multiple causes. The ideal candidates will have:Strong communications skills.Consultative and confident approach to calls.Leverage our CRM systems to manage prospects.Identify people passionate about a cause that are looking to raise money.Manage your converted leads to continue generating revenue.Explaining how TeeSmile works and helping them start a campaign.Working with the campaign owner to help them promote their cause by providing TeeSmile material and consulting on best practices.Participation in sales and marketing team meetings, projects and activities.Provide feedback to our management team and help us improve our offering. ",Some of the attributes of a top seller with our company are:Self-motivated individual.Excellent communication skills.Does not take “no” personally.Takes 100% responsibility for their results.Are intensely goal-oriented.Never gives up.Passion and desire to excel and be the best.An entrepreneurial spirit.,One of the strongest commission plans in the industry.Fast payment of commissions through direct deposit.Residual income opportunities as you build your customer base.,1,1,1,Contract,Entry level,,Internet,Sales,0
13090,Fisheries Observer,"US, FL, Panama City",,,"Riverside is an internationally recognized engineering, science, and information technology company with more than 25 years experience providing innovative information technology solutions for environmental decision support systems, using a variety of different environmental data sources, from satellite sensors and imagery to stream gauges in local rivers.RIVERSIDE QUICK FACTSFounded in 1985Corporate headquarters in Fort Collins, ColoradoCompleted over 1,200 projects in more than 30 countriesNCBR Mercury 100 List for “Fastest Growing Companies Over $2 Million”SBA “Small Business of the Year Award” recipientNCBR 2011 “Environmental Entrepreneur Award” recipientRiverside has successfully implemented more than 1,200 projects in over 30 countries, and maintains a strong worldwide customer base, including U.S. government agencies such as USAID and USTDA, and international donor organizations such as the World Bank, Asian Development Bank, and FAO.Since 1985, Riverside has built relationships and partnerships with a variety of clients including the U.S. Geological Survey (USGS), the National Oceanic and Atmospheric Administration (NOAA), the U.S. Department of Agriculture (USDA), and the Colorado Water Conservation Board (CWCB) — providing products, services, and solutions meeting their long-term needs.","COMPANY DESCRIPTIONRiverside Technology, inc. (Riverside), a leading international engineering and consulting firm providing scientific analysis, scientific applications development, systems engineering, and program analysis support to NOAA, Federal agencies, international clients, and state and local governments, has an immediate opportunity for multiple Fisheries Observers taking direction from NOAAs National Marine Fisheries Service labs in both Panama City and Miami, FL. JOB DESCRIPTIONSuccessful candidates will collect data for either the National Marine Fisheries Service Pelagic Observer Program (POP) in Miami, FL or for Shark Drift Gill Net, Shark Bottom Longline, and Reef Fish in Panama City, FL. Observers will deploy from fishing ports along the Gulf of Mexico and the east coast. Candidates do not need to reside in Panama City or Miami, FL, but must be located in the southeastern United States and be available to travel to assigned fishing ports. Reliable transportation and a current drivers license required. Trips vary in length from 1 to 45 days.Specific tasks include:Estimating species composition of fish and sharks caught, retained and discardedMeasure selected portions of catch including incidentally caught marine mammals, sea birds and sea turtlesBiological samplingMonitoring of compliance with fishery regulationsEnvironmental monitoringCollection of information on vessel and gear performance and characteristicsCollection of information on costs associated with fishing operations.Work can be performed on a variety of vessels including small boats without facilities such as rest rooms or bunks; commercial fishing vessels with a minimum (sometimes crude) facilities in less than optimal weather. Observers may use interpersonal communication skills to contact fishermen and schedule observer sampling trips, and observe and document compliance with fishery regulations.Observer training is tentatively scheduled to begin January 2015 with deployments starting shortly afterwards. Date subject to change.","Essential: Education and experience in marine fisheries. Able-bodied for at-sea duty. The observer must reside in an Atlantic Coastal State (including the Gulf of Mexico).B.S. Degree in Marine Science or related field of study.Knowledge of applying basic concepts, principles and methodologies of fish or a related biological field sufficient to independently perform scientific tasks using standard methods and techniques.Proficient in the use of fish, invertebrate and protected species identification books and keys; computer literate and experienced with common word processing.Desirable: Experience/knowledge with commercial fisheries practices. There is preference to have observers who live relatively close to the main ports of departure (Houma, LA; Panama City, FL; Ft. Pierce, FL; Wanchese, NC; and Barnegat Light, NJ).CPR and First Aid certifications are required before attending training.Knowledge of southeastern offshore fishery species.Ability to operate Government-owned or personally owned vehicles and sampling equipment.","Pelagic Observer Program Location: NOAA Fisheries Lab in Miami, FLGillnet and Shark Bottom Longline Observer Program Location: NOAA Fisheries Lab in Panama City, FLRiverside is an Equal Opportunity Employer offering very competitive compensation and exceptional employee benefits.",1,1,1,,,,Fishery,Science,0
10182,Junior Loan Officer / Junior Mortgage Banker,"US, CA, Beverly Hills",,,"Carlyle Financial is a mortgage bank based in Beverly Hills, CA offering a full range of home loan products. We concentrate on providing a high level of personal service with an emphasis on developing long-term relationships with our clients rather than treating them simply as a single transaction. As a mortgage bank and direct lender, we control all aspects of the home loan process, from origination to funding. This means that we operate more efficiently and have less paperwork than our competitors, and close most home loans in fewer than 30 days, which translates to superior service and pricing for our clients.We are a fast-paced, tech-focused company. We are looking for intelligent individuals with a strong work ethic as we are rapidly expanding into new regional markets.","Carlyle Financial is seeking a highly motivated Junior Mortgage Banker (JMB) to join our team. This is an excellent opportunity for an individual who is looking to build a career in the mortgage industry. As a JMB, you will partner directly with a top-performing Senior Mortgage Banker for a period of 12 to 18 months, during which time you will receive training on how to develop your own book of business and will begin to build relationships with referral sources like real estate agents, CPAs, attorneys and finance professionals.Under the direction of a Senior Mortgage Banker, the JMB will originate home loans from various lead sources, follow up with phone calls and emails, and provide exceptional service while guiding clients through the home loan process. You will be responsible for analyzing clients' financial data and using that information to provide them with home loan product options that are tailored to meet their individual financial goals. You will evaluate purchase contracts, appraisal reports, escrow instructions, title reports, and other real estate data. As a JMB, you will maintain constant communication with clients, vendors and other staff to preserve clear expectations throughout the closing process.A real estate salesperson's license from the California Bureau of Real Estate and a NMLS license endorsement are required for this position. If you lack one or both of these licenses at the time of hiring, you will assist the Senior Mortgage Banker while pursuing BRE license and NMLS endorsement. Duties during that time will be limited to loan processing, database management, and learning our internal processes until both licenses are obtained.We maintain a professional work environment and dress code. The Junior Mortgage Banker position will be based primarily in our Beverly Hills office with regular business hours of 9:00 a.m. to 6:00 p.m. We prefer candidates with the ability to work late evenings, as well as attend weekend open house events on occasion. Travel to other locations for training or industry events will be required periodically.  About Carlyle FinancialCarlyle Financial is a mortgage bank based in Beverly Hills, offering a full range of home loan products. We concentrate on providing a high level of personal service with an emphasis on developing long-term relationships with our clients rather than treating them simply as a single transaction. As a mortgage bank and direct lender, we control all aspects of the home loan process, from origination to funding. This means that we operate more efficiently and have less paperwork than our competitors, and close most home loans in fewer than 30 days, which translates to superior service and pricing for our clients.We are a fast-paced, tech-focused company. We are looking for intelligent individuals with a strong work ethic as we are rapidly expanding into new regional markets.Carlyle Financial is an Equal Opportunity Employer. Carlyle Financial, a division of Mortgage Capital Partners Inc., is licensed to make and arrange loans in California by the California Bureau of Real Estate as a real estate broker, Lic: 01858965, NMLS ID: 878787. We are an Equal Housing Lender.","A successful JMB candidate should possess the following skills and traits:Bachelor's degree or equivalent experience is preferredMortgage, finance, sales, real estate or related financial services experience preferredExperience using Google Apps for Business Knowledge of Calyx Point and/or other loan origination systems a plusExperience with database management systems (i.e. ACT, Surefire, Salesforce) a plusFamiliar with Fannie Mae, Freddie Mac, FHA, and HUD guidelines a plusHigh energy and positive attitudeExcellent written and verbal communication skillsSelf-starter, ability to multitask with limited supervisionDesire to work in a team environmentThe willingness to accept constructive criticism, coaching and advice in order to become a more effective team memberCal BRE Salesperson's License (current or planned with the next six months)NMLS License (current or planned within the next six months)",Base salary determined by experience. Carlyle Financial offers commission compensation highly competitive to the industry. JMBs will begin to earn commission after both BRE license and NMLS endorsements are obtained.,0,1,1,Full-time,Associate,Bachelor's Degree,Financial Services,Finance,0
3608,Software Engineer - Strong in JavaScript,"GR, , ",I.T.,,"For more than a decade, we EXIS I.T. provide services &amp; solutions that give our clients competitive advantages. We closely work with enterprises and organizations delivering added value in the area of operational efficiency and flexibility. We achieve this combining experience and skills in both business and ICT domains.Following this business model we are proud to serve distinguished clients in sectors as: - Telecommunications / Service providers- Energy / Utilities- Contact Centres- Tele - sales / research / campaigns - Debt Collections- Fast moving consumable goods- Credit services &amp; FinanceWe support our customers with international best practices as also practical and realistic implementation methodologies. We develop innovative business applications that enable cost savings and effectiveness.Typical areas of competence include customer support, dealers' commissions management, debt collections, telesales, order / contract management, loyalty management, promotions / sales, web self-care, credit control etc.Products of EXIS I.T including eXray ® CRM, fleXprint and EXIS Network Inventory offer flexibility and advantages at key operational challenges.#URL_ea0753e92ecfe8191f102eb59bece6f96537016fd38b6b6c5159d1b4c86d7858#","A unique opportunity to become a part of a skilled and creative product development team designing, developing and delivering the next generation of Enterprise Risk Management Applications.Our client is building an enormous Cloud Platform from scratch using technologies such as HTML5, Full Duplex Communication using web sockets, Object Oriented Javascripting, in-memory Computing, Enterprise Data Warehousing etc.","Skills:2-5 years hands-on experience with commercial software applications development.Thorough understanding of, and experience with .Net UI and Web technologies.Proficiency with content-rich Internet Application development, UI technologies and Frameworks such as JS Frameworks - knockout or similar, Object Oriented Javascripting, HTML5, #URL_c5591c960537d84ff7889f414633cdbc8e7d36f3fe042a096d374e4e058388d5# MVC3, JQuery, JSON, CSS3, AJAXProficiency with C# will be of help.Awareness of software development lifecycle processes and Agile development methodologyExcellent oral and written communication skills and interpersonal skills.Masters in Computer Science/Application or Bachelors in Computer science/Engineering from a top universityRequirements:Skills in web technologies using OO JS, JSON, #URL_c5591c960537d84ff7889f414633cdbc8e7d36f3fe042a096d374e4e058388d5# MVC3, HTML5, JQuery, .Net, CSS3, C# and a breadth of U.I technologies.Proficiency in Object-Oriented design, development techniques and direct UI development programming experience.Knowledge of U.I and Application Design Patterns.Experience with distributed computing, #URL_c5591c960537d84ff7889f414633cdbc8e7d36f3fe042a096d374e4e058388d5# MVC3, SVG and HTML5 Canvas, authoring custom JQuery widgets, templates, animation is highly desired.Experience with Test-Driven Development (TDD) and related tools and technologiesAbility to multitask, prioritize, take the initiative and respond quickly in a fast-paced environment.Must be a team playerMust work well as part of geographically diverse teamsAbility to travel and work on-site at the client's premises stay (e.g. USA, Europe, etc.)",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
17770,Data Entry Clerk,"US, DC, ",,48000-55000,"DRS Technologies is a leading supplier of integrated products, services and support to military forces, intelligence agencies and prime contractors worldwide. Focused on defense technology, the Company develops, manufactures and supports a broad range of systems for mission critical and military sustainment requirements, as well as homeland security. The Company has been recognized as one of the fastest growing defense technology companies in the world and holds leading market positions in thermal imaging devices, combat display workstations, electronic sensor systems, power systems, rugged computer systems, air combat training systems, mission recorders, deployable flight incident recorders, environmental control systems, telecommunication systems, aircraft loaders, military trailers and shelters, and integrated logistics and support services. DRS strives to provide quality products and services and stand behind them, to invest in research and development and new market opportunities, and to leverage existing core defense programs and business areas.","DRS Technologies is committed to recruiting and retaining the best talent in the defense and aerospace industry and niche commercial technology areas. We offer an exciting and challenging work environment, a competitive salary and benefits package, and a business culture that rewards performance. DRS Technologies is seeking for administrative and executive assistants to receptionists, data entry clerks, and customer service representatives.In the Data Entry Clerk role, you must be able to multitask and pay exceptional attention to details. We are seeking a dedicated individual with excellent customer service and communication skills. You must be able to work independently, learn quickly, and exercise sound judgment. RESPONSIBILITIESPerforms a wide variety of activities, which may include one or more of the following:-Processing invoices and data entry-Matches and distributes A/P checks-General Ledger Entries -Bank reconciliation -Handling Transactions-Maintain reports in Excel-Inputting information from a variety of sources-Clerical duties-Data entry skills + 10 key helpful","Minimum of High School DiplomaSelf-starterResponsible &amp; dependable with good prioritization skillsProficient in Microsoft Word, Excel and OutlookProficient with QuickBooks and/or other Accounting softwareUnderstanding of customer service protocolsStrong phone presenceGeneral Math SkillsThoroughness and attention to detail","Benefits include:Medical, Rx, and Wellness Benefits.Dental and Vision Plan Options.Short-term Disability.401(k) Retirement Plan.Holiday Pay.",0,1,0,Full-time,Entry level,High School or equivalent,,Data Analyst,1
1137,Community Manager (f/m),"DE, BE, Berlin",,,,"Blloon is an exciting new eReading service launching soon in the UK and US.Currently we are looking for an outgoing, eloquent and passionate Community Manager to look after our highly valued young (18-30 yrs.) user group.You will be actively involved in the development of an exciting, fledgling company in the eBook space and play an integral role in the development of the image that we present to the world.What you are supposed to do:Understand the Blloon brand and core values in order to establish an appropriate tone for all copy and communications.Create, build and manage social media channels (Twitter, Facebook primarily, perhaps also Tumblr) with interesting and engaging daily posts.Increase and maintain brand presence through social media and encouraging interactivity with users across social media.Assist with customer support requests (particularly those which originate through social media) and evaluate feedback.","You should be highly interested in books and literature, with a natural affinity for and experience with blogging and social media. You should have a passion for communication and an interest in conversing with people across Facebook, Twitter, email and blogs. A fluency in English is essential. You should enjoy working independently, as well as being part of a team in our Berlin office. What you need for this position:Strong interest in reading books, short stories and magazinesWork experience in the book and/or magazine industry would be a big plus!Experience in blogging and social media and evidence of a strong presence across multiple channels should be shown (dont apply if you have 18 followers on Twitter)Experience in online community management is requiredExperience in building a community from scratch using effective and lean tacticsExperience with social media management tools for posting, private messaging and analyticsSolid understanding of Facebook and Twitter mechanics (open graph, social graph, EdgeRank, Likes, RTs, @, #, DMs, …)Confident writing skills are essentialYou should be outgoing and enjoy networking and connecting peopleFluent English required, native speakers highly appreciatedA hands-on attitude: you should be committed to engaging with the community and encouraging it to growLove of good design and usability is a plus","What Blloon offers to you:We can offer you a highly varied and challenging role, with the promise of being involved in many different areas of a quickly growing company. You would work with a young and passionate team, with international colleagues in New York and London. You would benefit from working in the vibrant center of the Berlin startup community.If this sounds like the role for you, we invite you to send us your application including work samples you are proud of. Please email to #EMAIL_de229916252f91cbac6b4ac749792f54a7355c3ce2bfca6c62dd96cd3c0b6762#. ",0,1,0,Part-time,Mid-Senior level,Professional,Internet,Writing/Editing,0
5326,SQL Developer ( MS SQL Server) ,"US, PA, ",,,"RHB Recruitment Ltd is a business process outsourcing company with its state-of-the-art delivery center (existing capacity of 110 positions/ seaters) in the prestigious building of Nexteracom in Ebene City, Mauritius. RHB Recruitment Ltd is a tailor-made and customized portfolio of services to clients in the areas of recruitment, HR and resource management, Bagatelle Recruitment has a unique combination of local knowledge and global expertise. Our focused and committed approach to contract staffing, interim management and permanent search and selection recruitment services, means we always supply high quality candidates to our worldwide client base. .At RHB Recruitment Ltd, we have a highly trained workforce who are multilingual (French and English as main languages) and we enable clients to save up to 50% on costs through global economies of scale, operational efficiencies and good risk management. Coming soon: (1) Expanding capacity to 250 positions by October 2013, (2) Setting-up offices in Africa and Asia, and (3) Constructing a 40,000 Sqft state-of-the-art building in Ebene City by 2016 to provide Business Continuity services to clients across the globe.SpecialtiesRecruitment Services, Contract and Permanent Resources, Staffing Solutions, HR and Compliance Management, Project Resource, Oracle, Business Intelligence, Senior Management, Support &amp; Infrastrature ","Job title: SQL Developer ( MS SQL Server) Location: Wayne, PA Duration: 6+ months Requirement Details:SQL developer with minimum of 5 to 8 years experience working as part of a team developing SQL Application, Performance tuning and migration to newer version. Minimum of 3 years previous experience demonstrating skills listed below for Senior Software Developer required.Primary Skill:• The SQL/ETL Developer will be responsible for Ensuring standardization of SQL coding practices and adherence to coding standards, change control, and SQL best practices. • Translating Data requirements into SQL stored procedures and views . This includes coding, optimizing, testing and documenting the stored procedures. Developer will have a solid understanding of the business and the data model and will also be responsible for assisting the business with data analysis and database design changes.• Strong MS SQL server skills. SQL Queries, Stored procedures and internal workings of SQL server• Must have strong SQL programming skills and proven experience with mid to large data sets as well as query optimization. -Must also have good knowledge of relational database systems. • Strong skills and experience in performance tuning - Index, Table, Queries, and Stored procedures • Be responsible for availability and reliability of business data stored in production application databases, ensuring integrity of data • Database support for applications; in-house development, and purchased applications • Provide support and training to the development team • Participate in the correction of production data issues • Migrating SQL 2005 to SQL 2008 Experience in integration tool (SSIS, DTS etc.) experience ","Other requirements include:• Identifying and documenting data quality issues and data patterns. • Writing code for database access, modification and construction including stored procedures, triggers. • Strong MS SQL server skills. SQL Queries, Stored procedures and internal workings of SQL server.DESIRED BACKGROUND:-Excellent analytical and communication skills, both verbal and written, are mandatory. -Expectation is the candidate will have demonstrated the ability to work well in a team, collaborating with developers, customers, project managers and requirements analysts. -Curiosity, adaptability and the willingness to learn new methodologies will be a determining factor in assessing the fit between the candidate and our organization.",,0,0,1,Full-time,Mid-Senior level,,Information Technology and Services,Information Technology,0
14955,ASP.NET Web Developer,"US, GA, Atlanta",IT,,"#URL_25e85371e7108e279a4b25d6e965889a44917879b7b587c905714ef30f933cb8# is a young, growing e-commerce company with our eyes on the future.  Ever since our launch in 2005, we've been growing year after year.  Homegrown in Athens, GA, UberPrints runs its customer service and production operations just behind downtown.  We're now looking to expand our reach by opening an Atlanta office to house our business development, IT, and creative teams. ","Were looking for a talented #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# programmer to join our Atlanta-based business development team. Youll work with a small group of creative and talented individuals who enjoy pushing the limits of technology and design. We were working on a scratch-and-sniff website with some success, but we couldnt figure out how to apply the idea to t-shirts. Weve since abandoned that project.Were always looking to innovate and keep our website on the cutting-edge of functionality and design.  As it turns out, scratch and sniff wasnt the best idea, but wed love to find the right person to collaborate with on some better ideas!The office environment is fun and relaxed, and were happy to indulge most stereotypical developer behavior. Grow three beards if you want! Wearing t-shirts to work is not only acceptable, but actively encouraged. We are a t-shirt company, after all. We dont want to make it seem too relaxed, however - a strong attention to detail is required for this position, as were only interested in professional-grade programming. ","Expert C# coding skillsFront-end application development skills a plus (jquery, #URL_24af608067894e12e6fea7409bc304eab8b081f9a997620340783e8eb5641189#, #URL_93af3edc74fe6a0a648c8d08e6173f90d1d453a50d037a2b2914932147c4549e#, etc.)Experience with .NET MVCExperience with ORM technologies (NHibernate, Entity Framework, L2S)Solid understanding of programming patterns and best practices (N-Tier, SOC, Dependency Injection, Unit of Work, etc.)Familiarity with source control systems","An opportunity to join a young, growing company with a solid track record for growthCompetitive salary depending on experienceHealth insurance and matching 401kFlexible work scheduleA fun, creative place to work with people you'll (probably) love being aroundFree lattes and food",0,1,1,Full-time,Mid-Senior level,,Internet,Information Technology,0
8837,Maintenance Specialist,"US, TX, ARLINGTON",MAINT,23000-28000,"As the industrys largest supply contracting company, Novation serves the purchasing needs of more than 65,000 VHA, UHC and Provista member organizations and their affilliates. Novation delivers unmatched supply savings and value through its sourcing services, as well as information and data services. Through its affiliation with VHA, UHC and Provista, Novation represents the largest purchasing volume in the industry more than $40 billion in annual purchases.To help our alliance members meet the needs of their communities, our mission is to deliver to them unmatched savings and value. One of the ways we deliver on our mission is by facilitating the introduction of products and services that are designed to help members drive sustainable results, improve quality and reduce costs.","ResponsibilitiesResponsibilities:Responsibilities:- Shipping and Receiving dock processing- Internal mail sorting and delivery- Special meeting set-up and tear down- Will be required to work in multiple facilities when needed- Plan and organize work for team of associates- Will perform other tasks as needed- Motivate associates to improve performance by developing and implementing innovative recognition ideas- Coach, train and develop associates- Manage service contracts and escalate concerns- Assist with writing and administering work reviews- Communicates progress of associates to supervisor and manager- Will perform other tasks as needed- Work with vendors on various initiatives and maintain an ongoing relationship when needed","Minimum Qualifications:- Effective computer skills (Word, Excel, and Outlook)- Proven ability to work with all levels of management- Effective communication skills (oral and written)- Ability to work independently- Experience with demonstrated leadership abilities and direct reports- Ability to balance multiple responsibilities simultaneously- Good team building skills- Basic analytical and mathematical skills- Strong decision making skills- Proven ability to manage and develop timelines","Compensation and BenefitsNOVATION  offers a competitive salary, a comprehensive selection of benefit options including 401(k).Conditions of EmploymentAll job offers are contingent upon succesful completion of credit and background checks.",0,1,1,Full-time,Entry level,High School or equivalent,Mechanical or Industrial Engineering,Engineering,1
7855,Online Marketing Specialist,"RO, B, Bucharest",Marketing,,"#URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7# is a modern online travel agency that was founded in Athens in 2005. Today, our company is one of the leading online travel agencies in Greece with presence in over 25 countries in Europe, Asia and America; offices in Athens, Bucharest and Sao Paulo.","The Online Marketing Specialist will participate in the planning, implementation and management of marketing campaigns. He/She will be responsible for monitoring overall search engine performance. The Online Marketing Specialist  will work with the Marketing, Content, Development  teams  to implement search strategies and track their effectiveness across major search engines (Google, Yandex, Bing). The successful candidate is expected to create and manage reports, will be assigned analytic projects and produce independent analysis to help search engine strategy. The successful candidate should have experience in reading and understanding basic code, website architecture, website usability, content writing and organic link building. Qualified candidates have also knowledge of various internet marketing channels, search engines, statistical analysis and general marketing principles. The candidate will contribute to site SEO requirements and site changes that will increase quality traffic, improve organic search result listings, and ultimately drive value for the site.ResponsibilitiesDefine SEO requirements and recommend site enhancements that maximize ROI and increase rank of natural search result listings.Assisting in the management of marketing campaigns (affiliate marketing, paid search, email marketing, social media, etc.).Use analytics tools (Google Analytics) and reporting tools (QlikView) to monitor and analyze quantitative and qualitative data to translate them into an actionable SEO plan.Provide competitive analysis of top ranking competitor sites and ranking of our site.Develop and maintain SEO reports.Keyword updates, on-page SEO and landing page optimization suggestions and analysis.Internal linking optimization and external link building.  Conceptualize, research, and execute link building campaigns.Stay on the cutting edge of internet technology, best practices and changes within Google and other search engines as well as with all search engine algorithm changes and SEO industry trends.Monitor sales performance.Analyze campaigns at various intervals and develop extensive reporting for our internal team.","Strong understanding of Google and other search enginesPrevious experience of one to three years in SEO and generally in online marketing.Strong HTML knowledge.Advanced CMS knowledge.Advanced knowledge of English and an additional European language. Russian and/or Polish and/or Turkish will be considered a plus.Strong communication skills both verbal and written.Strong analytical skills and ability to come up with solutions.Self motivated, detail oriented and able to prioritize daily work efficiently.International working experience will be considered a plus.","Attractive Remuneration Package.Work in an international, dynamic and fun atmosphere among young and smart peopleHuge learning experience in using best practices and cutting-edge technologiesChallenging engineering projects in an interesting and complex travel industry.",0,1,1,,,,,Marketing,0
9320,Conference & A/V Room Coordinator - Part Time,"US, CA, San Francisco",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Conference &amp; A/V Room Coordinator will be based in our San Francisco, CA client location.  The ideal candidate will be an integral part of talented team, supporting our continued growth.Duties &amp; ResponsibilitiesProvide turnkey A/V room set-up servicesProvide turnkey conference room set-up servicesTest, troubleshoot and provide Tier 1 support for Audio-Visual conference room equipmentLog all services performed in internal service delivery systemsLog trouble tickets in remedy systemAssure conference rooms are neat &amp; presentableProvision conference rooms with required supplies: pens, markers, notepads, etc.Deliver, set-up and retrieve portable A/V laptops and projectorsAct as on demand user support for emergency A/V requestsSafely store and distribute up to 20 training laptopsCommunicate both orally and verbally to managers, tech support personnel and internal clientsRequires walking between 3 buildings in downtown financial districtAdditional duties as required at direction of manager","Skills &amp; QualificationsHigh school diploma or GED required, some college or technical training preferredMinimum two years Conference Room Coordinator experience in professional environmentMinimum two years Audio-Visual support experience in a professional environmentExcellent computer skills including MS OfficeFamiliarity with facilities/work order remedy ticket systemsMinimum two years customer service experienceExcellent verbal &amp; written communication skillsAbility to sit, stand, walk continuously for periods up to 4 hoursAbility to lift up to 40lbs without accommodationAbility to work overtime as needed",,0,1,0,Part-time,Entry level,High School or equivalent,Facilities Services,Customer Service,0
15559,Digital Marketing Manager - SEO/SEM,"HK, , Hong Kong",,144000-192000,"At Hayes-Corp, we create the fun stuff.  With a focus on software development for mobile platforms, we are constantly looking for talented people that can think outside the box logically and creatively.  Whether you define yourself as a coder, a marketer, or a number cruncher, we give you the resources to excel at what you do best. If you dont see a position you like, but think you have what it takes - just send your resume to #EMAIL_eaa37b5bf6cd5f435db52ea17edfd1f61c685afdf336f31ca36d3514a139e79e#.","Hayes Corp is looking for a Mobile Marketing Manager with experience in SEO/SEM/ASO to work with our marketing team.ResponsibilitiesTechnically optimise projects to attract and engage the target audienceOversee social media campaign strategyBuild systems and protocols internally for content optimisation across all platforms (websites, mobile, social, blogs, etc.)Help set and follow understandable SEO performance indicators that complement marketing and business objectivesStaying ahead of, and sharing changes in search engine signals and market changes","1 - 2 years SEO/SEM/ASO work experience is required. 3 - 4 years preferred.Strong verbal and written English and detail-orientedA thorough knowledge of search ranking factors and critical updatesFamiliar with industry-standard bid management and SEO softwareHave personally built and optimized a pay-per-click account that met or exceeded specific business rules (such as CPA goals)Have managed or lead a team of content writers, link builders, and/or social media marketersComfortable working with APIs, advanced and integrated reportingNice to HaveSocial Media and Blogging PortfolioAnalytical and Reporting Skills","MPF and Medical Insurance ProvidedOpen working environmentCompetitive Salary and BenefitsA lot of new technologies, such as Google, Android, Apple, and so on",0,1,1,Full-time,Associate,Bachelor's Degree,Computer Games,Marketing,0
17334,Release & Build Manager - CodeSealer ,"DK, 84, Valby ",,360000-500000,,"JobDevelopment and Maintenance of CodeSealers technical platformExecution of relevant test of buildsResponsible for build and configuration, including version controlInteraction with customers and delivery of releases to customersCoordination with development and test management teams","Technical &amp; Domain SkillsExperience with build, configuration and deployment of changes, including CI principles, theory and practicesKnowledge to tools such as Jenkins, Buildbot, Maven, Scons, Tomcat etc.Configuration management and version controlArtifact management (artifactory, Nexus, npm-registry)GIT and GIT branching models is not a requirement but seen as a plus (feature branching, release branching, GIT Flow)Development within Java, C++, JaveScript, Cross PlatformScripting, Bash, Python, GroovyRelease planning and managementSystem administration, virtual network, server, exchange, back-up, asset management, IT support etc.Personal SkillsAbility to work in a structured mannerAbility to see new solutions and constant improvement as a daily routine and work on automation of processes and activities is a mustHigh level of qualityResponsible and work under delegationSelfgoingKeep commitmentsTeam player in a smaller organization, with a high level of respect for other personalities and peopleAbility to handle frequent changesBackgroundSoftware Engineer (Datalog)","CodeSealer  tilbyder  dig: -Et  godt  arbejdsmiljø  med  dygtige  og  engagerede  kolleger,  stor  faglighed  og  højt  humør og  måske  ikke  mindst  en  uformel  tone  hvor  der  er  plads  til  forskelligheder-Muligheden  for  at  blive  en  del  af  et  hold,  der  arbejder  på  et  unikt  produkt    -Store  faglige  udfordringer  med  mulighed  for  at  arbejde  med  de  nyeste  teknologier  -Fleksible  arbejdstider  med  plads  til  familien-Konkurrencedygtig  lønog  forhold  som  frokost  og  frugtordning-Fremtidig  mulighed  for  at  arbejde  med  kunder  og  leverandører  på  tværs  af  landegrænser-Arbejdsplads  i  Valby,  tæt  på  Valby  st",0,1,0,Full-time,Mid-Senior level,Unspecified,Information Technology and Services,Consulting,0
680,Customer Service Associate (Part-Time),"US, OH, Cleveland",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Cleveland, OH. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Part-time,Entry level,High School or equivalent,Facilities Services,Customer Service,0
17317,IntelliBright Sales Consultant,"US, TX, Austin",,,"IntelliBright was created to leverage enterprise level online business practices to generate exclusive leads on behalf of our medium and small business clients across a wide variety of verticals. Our founder comes from a background where websites and online marketing programs utilize advanced analytics and conversion tactics to maximize revenue. Outside of these competitive industries, though, its rare to see the effective use of analytics and optimization that is the hallmark of a successful online marketing strategy.On a local level the use of these business practices is even rarer. Our team of highly skilled search engine optimization specialists is here to bring a system of measurable results (leads and sales) to boost your websites success. To complement our organic website efforts we employ the most talented experts from their respective fields (SEO, SEM, Website and Graphic Design, Development, Analytics) to implement a digital marketing strategy designed to increase market share and deliver the highest level of performance in relation to revenue generation on behalf of our clients.What truly sets us apart is our consultative nature.  All businesses are not equal.  We work with our clients to improve their internal business processes to increase lead conversions.  Our clients truly love us.  Join us and you'll see why.","IntelliBright is growing fast and we need your help to keep the pace. Want to work for a company you can really believe in? Want to be part of the team that forged a company that changed its industry?We guarantee our service pays for itself in 3 months or it's free until we prove it does!  How easy is that to sell???What youll get from us:Rockstar?  If yes, there could be some equity in your future…Paid  Well!Intelligent, friendly co-workersA chance to develop a strong portfolio of workAn environment where your input really mattersAs a company that is a Capital Factory darling youll get:Work in a highly-collaborative environmentDaily meet-ups and eventsAccess to pre-release major movie screeningsExposure to mentors, experts, VC, brilliant peepsFully stocked kitchen, 1-2 weekly free lunch/breakfastRooftop pool and on-site gymFriday happy hoursAccess to special events","We need an Sales Star with proven results. Lets talk if you:Want to make $100K+ with 1 sale per weekNeed to believe in the product you sellHave a proven sales track recordThrive on being an active participant and contributor to fast-growing companyProvide insight that adds value and ROI to client accountsCan identify opportunities and concerns with programsCreate local-optimized campaigns via Google AdWords, Bing Adcenter, Facebook Ads, Display network, and other networksCan provide C level management reports of success and initiativesAre adept in cost per lead/sale analysis to include phonesHave an eye for conversion-focused design of campaign and test elementsAre very detail orientedHave 3+ years of experienceOur SEM Manager/Analyst works closely with our SEO Manager and executive team on strategies and goal alignment. Get in with a great team and have fun while contributing to our skyrocketing growth.Please address Dr. Karen Parker, director of operations in your cover letter.",,1,1,0,Contract,Mid-Senior level,Bachelor's Degree,Internet,Marketing,0
365,Intern,"GB, , London",,,"We believe cities are complicated. And your mobile device should save you from the everyday challenges of living in them.We're a small dedicated team based somewhere in London with backgrounds in transport, Google, apps, design, investing and academia. We're venture capital backed by a number of fancy pants investors including Balderton Capital, Index Ventures, Greylock Partners, Connect Ventures as well as some angels.We're motivated by solving hard fundamental everyday problems that improve the quality of people's lives. We like simplifying complexity, reinventing user experience, working with data, shipping fast, and humanising a serious space.We're hiring now!","We're open to recruiting a variety of skill sets: developers, designers, marketers, customer service, office assistant. Anyone that is passionate about what we do and wants to help.Paid opportunity. Rates depends on the person.",Based in LondonFull-time,Get to be part of something great.,0,1,1,,,,,,0
6932,Java developer,"IN, , ",,,,"We are looking for a Java Developer with experience in building high-performing, scalable, enterprise-grade applications.You will be part of a talented software team that works on mission-critical applications. You will be responsible for Java/Java EE application development while providing expertise in the full software development lifecycle, from concept and design to testing.",,,0,0,0,,,,,,0
13405,Market Analyst,"US, NY, New York",,,"Recombine provides clinical genetic testing. CarrierMap, the first product created at Recombine, is the most comprehensive, cost-effective clinical genetic test, currently being provided to thousands of patients around the world through our network of physicians. Our company was founded by experts in fertility, clinical genetics, bioinformatics and computer science brought together with one goal in mind: to improve health outcomes based on actionable and responsible genetic testing.","Recombine seeks to develop the most comprehensive and patient- and physician-friendly genetic tests. CarrierMap, our first product, helps identify couples at risk of passing a genetic disease to their children, and is currently being provided to thousands of patients around the world through our network of physicians. Our company was founded by experts in fertility, clinical genetics, bioinformatics and computer science brought together with one goal in mind: to improve health outcomes based on actionable and responsible genetic testing.Your Role• Analyze data to inform internal and external decision-making.• Identify, summarize and report key sales-, operations-, product- and research-related metrics.• Perform data analysis for abstracts, presentations, and publications.• Assess trends in data, determine implications, and make recommendations.• Work closely with sales, operations, product and research teams to identify key metrics.• Work closely with engineering team to record and extract key data from databases.","• Bachelors degree in quantitative discipline• Background in statistics, operations research, financial engineering, appliedmathematics or related discipline• 1+ years of experience in an analyst or consulting position• Experience with programming languages and statistical software preferred• Ability to synthesize and interpret complex data to make recommendations• Excellent written and oral communication skills• Highly analytical and detail-oriented• Proven initiative• Tech savvy: Microsoft Office Suite (Excel, Word, Powerpoint), Google Apps (Docs,Spreadsheets, Presentations), and CRM Tools","Participate and contribute to an environment where collaboration &amp; cross-over of domain expertise (genomics, computer science, medicine, data-science, finance, clinical genetics) helps to drive an industry forward to improve healthcare.Comprehensive benefits package including health insurance and matching 401(k).Group outings, lunches, happy hours and co-workers who inspire you every day.",0,1,0,Full-time,,,,,0
5654,Senior Benefits Analyst,"US, CA, Redlands",HR,,"Our passion for improving quality of life through geography is at the heart of everything we do.  Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them.Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more.","Be part of a team involved in reshaping the way benefits are delivered to Esri employees. We are seeking an experienced benefits professional to play an important role in key projects and day-to-day operations of group benefit programs. Youll provide customer support on complex employee issues to ensure excellent customer service and will also have the opportunity to apply your knowledge and skills in benefit plan design and operation, project management, vendor management, and data and process analysis.Specifically…Oversee daily benefit plan administration including but not limited to medical, dental, prescription plan, life insurance, AD&amp;D, and LTD enrollments and changes; COBRA process; retirement plan administrative processes; and leave of absence administrationApply continuous improvement philosophy to processes to improve administration, reduce costs, and increase efficiencyEnsure compliance with applicable government regulationsAnalyze data to determine underlying drivers of benefits claims expense in support of short- and long-range expense managementDesign and manage company/vendor data interchanges; resolve issues as neededPrepare budget projections for all health and welfare and retirement budgets to support corporate objectivesLead or support benefit plan audit workContribute to successful implementation of global benefits implementation and design changesManage vendor relationshipsProvide excellent customer service to internal and external customers","EDUCATION: Bachelors in business administration, human resources, accounting, or related fieldEXPERIENCE: 5+ years of experience in a benefits analyst or leadship role, with experience supervising and leading a teamStrong analytical skills and a thorough knowledge of health and welfare and retirement plan designs and administrationAbility to manage several complex projects simultaneously while working under pressure to meet deadlinesKnowledge of all pertinent federal and state regulations and filing and compliance requirements affecting employee benefit programs including ERISA, COBRA, FMLA, ADA, Section 125, workers compensation, Medicare and Social Security, and DOLProven ability to work effectively in a team environmentExcellent written and verbal communication and organizational skillsProficiency with the Microsoft Office Suite (Word, Excel, PowerPoint, and Access)","Our culture is anything but corporate—we have a collaborative, creative environment; phone directories organized by first name; a relaxed dress code; and open-door policies.A Place to ThrivePassionate people who strive to make a differenceCasual dress codeFlexible work schedulesSupport for continuing education College-Like CampusA network of buildings amid lush landscaping and numerous outdoor patio areasOn-site café including a Starbucks coffee bar and lounge areaFitness center available 24/7Comprehensive reference library and GIS bibliographyState-of-the-art conference center to host staff and guest speakers Green InitiativesSolar rooftop panels reduce carbon emissionsElectric vehicles provide on-campus transportationHundreds of trees reduce the cost of cooling buildingsIncentives for employees who walk, bike, or carpool to workCalifornia-grown produce available for purchase in Esri Café ",0,1,0,Full-time,,,Information Technology and Services,Human Resources,0
16907,Marketing Representative Positions,"US, OH, columbus",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Duties and Responsibilities:  1. A marketing representative is required to attend regular meetings with upper management weekly 2. He/ She have to attend sales meetings regularly in order to understand the services he has to market. 3. He/ She have to conduct set up appointments with interested clients 4. He / She has to update reports5. Their goals are learning product knowledge, consistency, and client knowledge. Their responsibilities include lead generation,  promotional marketing advertising, campaigns of the services for the Field Sales Representatives. 6. Office work and travel involved 50/507.  A marketing representative will be dealing with clients on a daily basis",Job Requirements: Education: High School Diploma/GED and/ or Marketing/Sales preferred.Very strong marketing skills  Good oral and written communication skills. Confidence and interpersonal skills  Motivated and must have a drive to excel in this competitive environment Capable of working in stressful situations.,Base plus commissionsFULL Benefits providedPaid TrainingAdvancement Opportunites ( we are a growing company),0,1,0,Full-time,,,,,0
8975,English Teacher Abroad (Conversational),"US, IL, Champaigne",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500+ monthly ($200 Cost of living)Housing providedAirfare providedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferred, but not necessaryCanada/US passport holders only",See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,Education,0
11515,Senior Territory Manager,"US, GA, Georgia",,,"Recombine provides clinical genetic testing. CarrierMap, the first product created at Recombine, is the most comprehensive, cost-effective clinical genetic test, currently being provided to thousands of patients around the world through our network of physicians. Our company was founded by experts in fertility, clinical genetics, bioinformatics and computer science brought together with one goal in mind: to improve health outcomes based on actionable and responsible genetic testing.","Recombine is advancing personalized medicine by translating developments from the fields of genomics and computer science into cost-effective, cutting-edge genetic diagnostics. We develop new products in a way that is ethically upstanding, medically meaningful, clinically actionable, and practically useful for patients and providers. Our sales team is looking to add the talent of a Senior Territory Manager to help develop and nurture close, productive, and collaborative relationships with fertility clinics and Ob/Gyn offices around the country.What You Will Be DoingResponsible for bringing on new clients and following up on all potential leads. This entails providing potential clients with information about our test and services and answering questions from physicians and nursing staff. Participate in high-level product design &amp; implementation of sales strategyNurture existent base of business while help grow into new areasParticipate and contribute to an environment where collaboration &amp; cross-over of domain expertise (genomics, computer science, medicine, data-science, finance, clinical genetics) helps to drive an industry forward to improve healthcare","3-5+ years experience working in a national or international sales force.  Successful employment with a medical diagnostics company a plus, however proven success with other companies in the REI/Fertility space will be strongly consideredExpertise in the IVF/REI space a critical success factorProven closer with track record of successProven success with not only acquiring new business, but growing and maintaining existing business just as criticalProven self starter and ability to think on your feetCompetitive, passionate and positive driveExcellent Written, Verbal and Phone Communication SkillsTech Savvy: Microsoft Office Suite (Excel, Word, Powerpoint), Google Apps (Docs, Spreadsheets, Presentations), and CRM ToolsAbility to Identify Goals, Meet Deadlines, and Contribute in a Fast-Paced, Startup EnvironmentExcited to be a part of a fast-growing team of passionate individualsNot just open to, but passionate about innovation and change. This applies not only to our R&amp;D but to the way we operate","Participate and contribute to an environment where collaboration &amp; cross-over of domain expertise (genomics, computer science, medicine, data-science, finance, clinical genetics) helps to drive an industry forward to improve healthcare.Comprehensive benefits package including health insurance and matching 401(k).Group outings, lunches, happy hours and co-workers who inspire you every day.",0,1,0,Full-time,Associate,Bachelor's Degree,Biotechnology,Sales,0
96,Senior Marketing Manager,"US, , ",Marketing,,"Industrial Color Software is a division of Industrial Color Brands, a family of vertically integrated creative production companies. Founded in 1991 from a culture of innovation, excellence and attention to industry trends and client needs, the brands operate independently and together to provide high-level holistic solutions for photography, advertising, retail, media, and production industries. Industrial Color Brands include GlobalEdit, Impact Digital, Fast Ashleys Studios, Industrial Color Software, and the M Project Gallery.","Senior Marketing ManagerOur photography and video company located in Manhattan is looking for an experienced Marketing Manager to lead our marketing team. With over 20 years of experience and growing fast, our company is looking for a marketing leader to executed a very ambitious and creative marketing plan.  This person will be responsible to manage a team of 3, and will be responsible for: -        Definition of marketing initiatives-        Budget definition-        Marketing automation management-        KPIs Tracking-        Online marketing-        Social media marketing-        Daily marketing deliverables (design, email marketing, CMS updates, social updates, SEO, PPC)Reporting to the VP Marketing, this person will need to have the below experience: -        3-5 years managing a small marketing team-        Hands-on marketing experience-        “Can-do” attitude in a fast-pace industry-        Start-up experience preferable-        Experience using and managing marketing automation tools (Market/Pardot)-        Indesign/Photosphop-        Saleforce experience preferable-        Great content marketing experience-        Website management (Wordpress or similar)-        SEO and PPC management (analytics and working through an agency) Full benefits and a fun environment are provided to qualified candidates.Contact:#EMAIL_6f5babe7330f88f53dee2b7cb38903d7b105afda37af31fffb2e1819e617cca0#   ","Reporting to the VP Marketing, this person will need to have the below experience: -        3-5 years managing a small marketing team-        Hands-on marketing experience-        “Can-do” attitude in a fast-pace industry-        Start-up experience preferable-        Experience using and managing marketing automation tools (Market/Pardot)-        Indesign/Photosphop-        Saleforce experience preferable-        Great content marketing experience-        Website management (Wordpress or similar)-        SEO and PPC management (analytics and working through an agency) ",Full benefits,0,1,0,Full-time,Director,Master's Degree,Internet,Marketing,0
6794,Front-end Developer,"US, CA, Santa Monica",,,"Were always looking for highly motivated “founder-types” to join us as we grow. Heres what you need to know.Our Core Values:Every member of our team brings something unique to the table, but we share the same values:Use your intelligence without arroganceTake initiative, and execute tenaciouslyPut the teams needs above your ownRespect customers, partners, investors, and co-workersFocus on results, but never compromise on qualityLive to learn, and share what you discoverBe honest, be transparent, and be goodNever, ever give up!Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort.Culture and Benefits:Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:Full medical, dental, and chiropractic coverage  even acupuncture.Daily company lunches catered in  plus snacks and drinks for days!Frequent team bonding events and company outings (including the occasional beach day!)Unlimited paid vacation time  because we trust you to hold yourself accountable.Gym membership with access to facilities all over LA.Flexible work hours  we know 9am isnt for everyone.Stock options  if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.A referral bonus program.Last, but definitely not least  dogs in the office! Bring your own furry friend, or feel free to cuddle with one of the The Mobile Majority pups.","The Mobile Majority is a rapidly growing ad tech startup based in Santa Monica, CA, with offices in New York City, San Francisco, Chicago, Sao Paolo and Ho Chi Min City. We have been honored as the 'Best Creative Technology' by the American Association of Advertising Agencies and won 'Best Series A' By the Los Angeles Venture Association. We have raised $21M, and do business with some of the biggest brands in the world (Chase Bank, Unilever, Paramount, Warner Brothers, State Farm Insurance, Hersheys, Clorox and Time Warner Cable, to name a few).Were hiring a front-end developer who can design beautiful and intuitive graphics for our company and advertising clients, develop pixel perfect front-end code, efficiently communicate current tasks, issues and recommendations on front end development tasks, effectively gather user input and bug reports and push updates accordingly, and use solid design principles to create superior, simple and effective graphicsThe Mobile Majority has a culture of engineering focused, data-driven decision-making, and demands business intelligence that is timely, accurate, and actionable.  As a key member of a small and versatile team, you will be responsible to design, test, deploy and maintain software solutions.","Our ideal candidate possesses the following skills and/or traits:HTML5 / XHTML / CSS3JavaScriptAdobe CS5, Photoshop, and IllustratorUI/UX Experience and UnderstandingWeb DesignImage maintenancePLUSSES:Experience with NodeJS, WordPress, and/or Wireframe.Objective C and/or JavaRuby on Rails, PostgresSQLMRAID Standard (#URL_9fb9e1ba8770599860a6b94b616c182a26e0722e59ce513a305f1d4532f4b83e#)VAST","Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:•    Full medical, dental, and chiropractic coverage - even acupuncture.•    Daily company lunches catered in - and snacks for days!•    Unlimited paid vacation time - because we trust you to hold yourself accountable•    Gym membership with access to facilities all over LA (and the occasional company hike!)•    Stock options - if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.",0,1,1,Full-time,Associate,,Internet,Engineering,0
8608,IT Consultant,"US, MN, Golden Valley",,,"Virteva delivers managed IT services and project-based consulting services that optimize our customers IT infrastructure and operations.  Headquartered in the Twin Cities and serving customers worldwide, Virteva services help our customers say “Yes” to the wide spectrum of information technology demanded by their business, employees and customers, securely and reliably, anyplace and anytime.  ","JOB SUMMARY:We are looking for an IT Consultant  who is extremely customer focused and has extensive experience with IT Infrastructure technologies. The IT Consultant will lead and, in some cases, execute various projects that center around the effective architecture, configuration and implementation of customized IT solutions. This person must have the ability to manage large and complex projects with capability to direct and advise as appropriate.ESSENTIAL DUTIES AND RESPONSIBILITIES:Designs, plans, architects, implements, documents and maintains of the following technologies:Active DirectoryExchange 2003/2007/2010/2013Microsoft Online Services (BPOS, Office365)OCS 2007, Lync 2010/2013Windows Server 2003/2008/2012SharePoint 2007/2010/2013• Architect, develop, package, and test operating system images and applications• Author and provide user instruction as needed• Research, evaluate, and provide feedback on problematic trends and patterns in customer support requirements• Provide customer and technical training, when required• Ability to prioritize assigned tasks to deliver all projects on time and within budget• Ensure complete and timely follow-through with all client contacts• Possesses effective written, verbal and interpersonal communication skills• Creates presentations for technical implementations to be given to customers and to internal staff• Participates in client sales meetings to understand the clients current technology state and future needs• Demonstrate analytical and problem solving skills• Maintain a high level of client satisfaction at all times by displaying a positive and helpful attitude","BE or BS Degree in one of the following subject areas: Computer Science, Business Administration, Information Technology or related field preferredAdvanced level expertise relating to Microsoft Windows Server 2003/2008/2012, Active Directory, OCS 2007, Lync 2010/2013, SharePoint 2007/2010/2013 and Microsoft Exchange 2003/2007/2010/2012, Microsoft Operating Systems including: Windows 7, XP, Server 2003, 2008, 2012Prefer packaging and scripting experience (WinBatch, Wise Package Studio, Prism, Radia, ZENworks, InstallShield, VB and batch scripting)Industry best practices in terms of implementation and operations of proposed solutionsBackground and/or certifications in ITIL, COBIT, or CMMI a plusProven design, planning and implementation experience with Windows 2003 and 2008 Server technologiesOne or more advanced professional certifications related to chosen discipline (MCSE, MCITP Enterprise Admin, etc.) is desiredBackground and/or certifications in ITIL, COBIT, or CMMIAbility to communicate, mentor, teach, and explain concepts at multiple levels with the capability to extract the business value related to the proposed solutions7+ years of experience focusing on the technology or equivalentConsulting experience a plus","We take pride in offering a competitive, well-balanced benefit program:Health BenefitsMedical InsuranceDental InsurancePrescription PlanLife InsuranceOptional Life InsuranceShort-term DisabilityLong-term DisabilityFinancial BenefitsTeam Member Incentive PlanReferral Bonus ProgramCompany/Team performance bonus programCompetitive Vacation and paid Holiday plan401(k) PlanSection 125:Premium Conversion PlanMedical Care Expense AccountDependent Care Expense AccountThe plan benefits, options, terms and conditions, and providers are subject to change based on industry trends and the needs of the company and our Team members.Virteva is an equal opportunity employer and a drug-free workplace.All applicants will receive consideration for employment regardless of their race, color, creed, religion, national origin, sex, sexual orientation, disability, age, marital status, or status with regard to public assistance.",0,1,0,Contract,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Consulting,0
17737,NICU RN  ,"US, NV, ",,,,"Find more jobs at #URL_4708e598004bb0a85bf09f9eecc0a8f1fdc0f496e68deb05ee2432ff63e13767#Apply to this job using below link#URL_4708e598004bb0a85bf09f9eecc0a8f1fdc0f496e68deb05ee2432ff63e13767#jobs-united-states/917713/watkins-staffing/nicu-rnNICU RN (per diem) -- Las Vegas, NVRequirements: 2 - year minimum acute experience in a hospital setting. Level III experience required. We're eager to fill positions immediately, please apply ASAP. Active Nevada State RN license.12 hour day/nights shifts available, 12 - 48 hours per week***Guaranteed HoursAdvantages of Per Diem Nursing:• Make extra money, depending on their commitment, which is the main advantage. • Pick your own schedules based on your commitment.• 24 hours a week, 36 hours a week - one weekend a month and one holiday a year - these are the nurses making the big bucks, while providing sorely needed coverage.• In most cases staff nurses must work every other weekend, two holidays and can't necessarily pick their schedules.• Nothing can match the flexibility that comes with per diem shifts. Higher PayPer Diem shifts are paid at a higher rate. The flexibility to pick up shifts as needed, combined with higher wages allows you to maximize your earning potential.Bachelor's Degree or Associates Degree in Nursing is requiredMust possess U.S. hospital experience; recent specialty experience within 1 year.Active American Heart Association BLS, ACLS cardWeekly pay rates will be determine based on experience. ***Please Note: If you are proactively looking for an opportunity, or would like to view our MANY openings, you may follow the link and instructions below to create your profile:To inquire, please contact:Mr. Sandy WatkinsRefer a Healthcare Professional and Receive up to $1000",,,0,0,0,Full-time,,,Hospital & Health Care,,1
15166,Manager of Finance,"US, CA, Los Angeles",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)#URL_94f805e93a6fa859cd8540e9767e187e295d764a384221d77f8880142b6416b7#-#URL_dbdb917780b325918ec611d0f47d6a0dff5ee871b96bc71180616d0d0570925b#Job Requirements:Ideal candidate will have a min. Bachelor's degree, 5+ years of relevant and progressive leadership/management experience in a complex healthcare environment. Master's degree is a plus along with prior experience in a leadership role with a health plan. Extensive experience in managing and analyzing utilization trends (claims) is a must. Medicaid exp is a plus but not required.Specific duties include managing financial relationship between Health Plan and Hospital System  including payables and receivables between entities; monitoring and assessing UM trends for various populations bands; prepare reports and present financial outcomes to a board level audience. Ensures and fosters a high level of collaboration in order to coordinate activities, review work, exchange information, and resolve problems.Position will report to the Vice President of Health Plan Finance and report indirectly to the executive director of the Hospital system. Position is based downtown.",,,0,0,0,Full-time,,,Accounting,,0
3355,UX/UI Designer (internship),"FR, O, Lille",,,We build and develop social networking platforms for professional audiences. We tend to replace our customer Web Sites by Social Network so that they can build better relations with their audience.,"Building great professional social networks is a tough job and we need the right candidate for it, hopefully you!We are looking for a talented UX &amp; UI Designer intern who will work with us on building better social networking platforms.You'll be in charge of designing simple, intuitive and engaging interfaces that will delight our end users.Your responsibilities as a UX &amp; UI Designer will be :User needs analysisBuilding great UXUser Interface implementation using HTML5, CSS3 and Bootstrap3You have :Strong understanding of the latest UX/UI best practicesStrong skills in HTML5, CSS3 and Bootstrap3 implementationSome experience building wireframes, user personas and prototypesA passion for improving your skills, Web, getting feedbacks, talking to the users and providing answers before we even ask questionsSome experience working with geeks in a start-up (#WeAreFrenchTech)",You need to be skilled in the following :UXUI conception and implementationHTML5CSS3Bootstrap 3,,0,1,1,,Internship,Unspecified,Internet,,0
2818,IT Security Consultant ,"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for an IT Security Consultant, fluent in English, to offer his/her services as an expert who will be based in Brussels. The work will be carried out either in the companys premises or on site at the customer premises. In the context of the first assignment, the successful candidate will be integrated in the Security team of the company that will closely cooperate with a major clients IT team on site.Your tasks:Development of security plans and documentation;Security gap analysis, performance and drafting impact analysis, risk analysis and assessments;Specification of security requirements;Definition and consolidation of specific security measures for information systems;Compliance with and complete security audits.","Your skills:Minimum 9 years of combined University Studies and experience in IT;Minimum 4 years of professional experience in Information System Security (ISS) policy development and with the ISO 27K standard family in particular with ISO 27002;Experience in the Organisation of Information System Security will be considered as an asset;Excellent command of English, both written and oral.","   800x600    Normal  0          false  false  false    EN-US  X-NONE  X-NONE                                 MicrosoftInternetExplorer4                                                                                                                                                                                                                                                                                                                            /* Style Definitions */ #URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0in 5.4pt 0in 5.4pt;mso-para-margin:0in;mso-para-margin-bottom:.0001pt;mso-pagination:widow-orphan;font-size:10.0pt;font-family:""Times New Roman"",""serif"";}Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (ISC/06/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
6017,Home Automation and Alarm Sales Office Launch - AT&T Digital Life,"US, CA, Los Angeles",Digital Life,,"Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.To setup an interview, call our recruiting department Monday-Friday from 9am-5pm @ (361) 400-2907","Argenta Field Solutions is a award winning authorized solution provider for AT&amp;T Products. Currently we have 2 slots available for our Sales Executive positions in Killeen/Temple/Waco area. Each position is very important to the overall success of the office and the applicants must be able to perform under pressure.Product- Innovative system that lets security talk to automation.- Transparent pricing and clean easy to understand packages.- Supported by AT&amp;T vast 3g network, yes it's wireless.- Everything from remotely locking your doors remotely, adjusting your thermostat and viewing your living room via your web enabled   device.Sales Position- 1 wk paid training. 3 week guarantee, monthly $300 gas allowance.- Income is not capped. Tiered commission structured base on closing percentage.- Variable bonuses from month to month.- New markets added each quarter creating a need for potential management positions.- Company iPad and Accessories- Office Space for lead generation, training and meetings- Salesmen is measured by closing rate and self generated leads to improve closing rate.- Preset appointments set by AT&amp;T throughout the week. Averaging 8 appoitments per week.- Required to set and attend self generated appointments weekly.*Must be able to fly to Atlanta, Georgia for training for 1 week, all expense's are paid*Interviews will be held via video conference using Go To Meeting. You will need to have access to a webcam in order to use this feature.*Training pay plus $200 paid for meals while in training in Atlanta.*","- 1 year alarm sales or security related experience.- General sales experience preferred.- Transportation, Cell Phone, Able to work Full Time Mon-Sat.- Licensed in security sales a plus.- Ready to learn and adapt as this is a new product for AT&amp;T.- Must be energetic, goal driven and hardworking.- Basic understanding and operation of IPAD required.- 1099, Paid Training","About Argenta Field Solutions:We are Argenta Field Solutions, an award winning AT&amp;T contractor for U-verse and Digital Life that focuses on the quality while bringing quantity. Environment, Compensation and Advancement are the foundation we build our salespeople up on. Visit our website at #URL_c3d9b931607ac471bac0fd728d3836556b8c1c538cc8413fe30a53c07aee5522# Find us on Facebook at #URL_553baae34748735c93fc4be421e025e0efebcf705590d73ac4151b3ec04f0753# Mission Statement Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.",0,1,0,Full-time,,High School or equivalent,Security and Investigations,Sales,0
2021,English Teacher Abroad (Conversational),"US, MI, Livonia ",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare providedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#We are looking for friendly people. If you do not plan to take part in a 3-5 minute interview, kindly do not waste your time applying :-)","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferredCanada/US passport holders only",See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,Education,0
7224,HR Research Analyst / Writer - Summer Contract (3-4 Month),"CA, ON, London ",,,"HRdownloads was founded in 2008, and since that time has evolved to become the leader in delivering strategic Human Resources solutions across Canada. We have been recognized over multiple years for both our business achievements and workplace culture, receiving Canadas Best Workplace Award, Profits Hot 50 and the Thrive Award. ","HRdownloads, Canadas fastest growing Human Resources solutions and support company, is looking for a dynamic and professional HR Research Analyst and Writer to fulfill a 3-4 month contract (depending upon candidate availability) with the company! This contract position will provide the successful candidate with hands-on experience in the research and development of human resources-related documentation including policies, procedures, manuals and job descriptions. The depth and breadth of the companys clientele also means the candidate will gain exposure to the human resources needs and challenges of companies across all provinces and territories and within a multitude of industries. As this position is afforded a high degree of autonomy to manage workload and responsibilities we are seeking self-starting and results-oriented individuals! Key Accountabilities:Research and develop compliance documents, tools and training materials within established timeframes.Audit client policies, procedures and other related documentation to ensure compliance to relevant legislation, accuracy and utility.Respond to client requests for a wide range of Human Resources-related documentation including job descriptions, policies, procedures and best practice guides.Liaise with colleagues, management, clients and external parties such as government agencies to understand specific requirements and provide specified deliverables.Maintain awareness of trends and current best practices in human resources and occupational health and safety to effectively manage client deliverables.Research and write articles on trending and relevant Human Resources topics for publication to the companys monthly client-facing newsletter.Effectively balance multiple priorities while producing professional and accurate work within an open and dynamic work environment. "," Undergraduate or Post-graduate Human Resources student or Degree or diploma in Human Resources.Strong research analysis and professional writing abilities are considered a prerequisite.Previous experience researching and developing HR programs, policies and procedures considered an asset.Detail oriented and organized, with exceptional prioritization skills to help balance conflicting priorities and tight deadlines.Strong interpersonal and collaborative skills. If you believe you are a standout candidate and can fulfill the above-mentioned requirements, then we want to hear from you! We encourage all applicants to visit HRdownloads website to learn more about who we are. Please find us at #URL_7d3835f03823b11c97e4f861639d8c2cc6aa323752e6192cd3d0deefff8a67c3# ",,0,1,1,Contract,Entry level,,Human Resources,,0
5516,Occupational Therapist,"US, , Monterey",OT,,"Mission StatementGood Life Home Care is committed to providing superior, safe and cost-effective home health services, so our patients can live as independently as possible. We also seek to coordinate community and agency resources, and to decrease the burdens of illness and the unnecessary duplication of services, resulting in lifestyle improvements and positive outcomes for our patients.Our VisionGood Life Home Care is committed to serving the home health needs of every community in the counties in which we are licensed. We emphasize honor, professionalism and excellence.Our Goals• To be the premier home health agency in every market we serve.• To treat every patient with dignity and respect.• To remain a family-oriented operation.• To continually grow in size and scope, while maintaining a high standard of quality.","Good Life Home Care, an established, family-owned and Medicare certified home health agencyseeks an Occupational Therapist to support with Clinical Evaluations and Treatments throughoutthe Monterey and Salinas Area!Good Life is known for its commitment to excellence in patient care and supportive workenvironment. Service territory is flexible to meet the needs of our employees.","PRN patient care provided on a per visit basis (~10-12 visits/week).Work with administrative and supervisory personnel regarding therapy visits to assurehigh quality and proper follow-up patient care.Participate in case conferences to ensure optimum communication within and betweendepartments and to discuss active issues.Report all events that vary from policies and procedures and/or standards of therapy careto the Therapy Supervisor.Follow physician orders for treatmentJOB REQUIREMENTSActive OT License in the State of CA.Current CPR, TB, Work Clearance Physical, Drivers License and Auto Insurance.Reliable transportation and comfortable providing service in the home environment.Excellent physical assessment and critical thinking skills.Excellent written and verbal communication skills",COMPENSATIONRegionally Competitive per visit reimbursement.Mileage reimbursement for travel expenditures.Supportive work environment.Personal Point of Care laptop for completing documentation.Continuing Education Allowance,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Hospital & Health Care,Health Care Provider,0
6299,Agile coach,"GB, LND, London",,,"Eviture is a professional services firm that specialise in leading enterprise agile delivery for complex business and IT challenges, with on-shore, near-shore and offshore team members working in collaboration. We work across all levels within organisations to enable business agility for our clients.We work with clients from vision and concept to delivery to ensure that programmes and projects start off right. At a fundamental level, it requires a significant change in mind-set, culture and approach. Collaboration is becoming increasingly important inside the business: empowering every member of staff to deliver the promise that youre making to your customers. Collaboration with customers and employees is vital to success. This transformation can be very challenging, particularly for large hierarchical organisations with a long history of traditional delivery.We help organisations and their people adjust to change, whether it is processes that need integrating into existing work habits or a strategic direction that depends on the understanding and support of stakeholders. With the right leadership and engagement, lean and agile can transform the future of a business and the people that form part of it. To support this, we build high-performance teams and highly collaborative environments spanning multiple locations, including near-shore and offshore.Eviture Resources focus on finding the right people for the right tasks for Eviture, and occasionally for clients with special needs.Our contact number is +44 20 3637 0415.","Eviture is a professional services firm that specialise in leading enterprise agile delivery for complex business and IT challenges, with on-shore, near-shore and offshore team members working in collaboration. We work across all levels within organisations to enable business agility for our clients. We are a team of passionate, hard-working agile enthusiasts. We just love what we do.The opportunityWe are looking for those who share our passion. We are looking for exceptional agile professionals with excellent interpersonal, communication and technical skills with the ability to effectively engage with a global stakeholder community. You need to have good skills and knowledge of servant leadership, facilitation, mentoring, situational awareness and conflict resolution. You will need to understand and appreciate the adaptation of the agile manifesto, the Scrum framework, Extreme Programming practices, the software craftsmanship manifesto, a DevOps mind set, and lean and Kanban.You will need to be a business focused people person doing whatever is required to make things happen as opposed to wanting to hide behind process.We are looking for applicants who have a passion for working side-by-side with customers and experience in coaching agile and lean concepts within scaled and near-shore and offshore environments, creating cross-functional, high-performance teams working to a strong agile ethos. We also encourage our coaches to pursue specialised areas of interest in their training, curriculum development, writing, public speaking, and thought leadership work. Our customer base is rapidly expanding, and we are now looking for both permanent staff and for contract associates. Due to current engagements, we especially value applicants based in London, Stockholm, Berlin and Amsterdam.What we expect from youA cultural change agent that champion and embody the agile mindset across both business and development teams, leading by example with the right behaviours.Be utterly pragmatic and resisting all urges to rely on dogmatic principles that you read or heard somewhere.Proactively collaborate, anticipate and manage impediments and risks, enabling the teams to deliver on the promises they make. Youll be expected to model the facilitation skills that make agile collaboration work.Ability to be instrumental in defining and shaping how agile can be adopted within an organisation and manage the associated risks.Promote, motivate and create an environment of team spirit, enthusiasm, communication, collaboration, trust, transparency and confidence in the teams abilities to achieve success.Help the teams establish and abide by a set of 'norms' that help them govern their behaviours and interactions.Promote learning, experimentation and knowledge sharing and encourage creativity and help the teams to continuously challenge the ways of working to ensure continuous improvement of process and behaviour through regular reflection and adaptation.Own and facilitate agility, responsible for enacting agile values and practices while advocating and influencing the adoption of agile methodologies to achieve time-to-value objectives.Work with other agile professionals to examine organisational impediments, to achieve a consistent framework across various delivery teams and to recommend improvements and assist in changes to best practice.Facilitate and encourage discussions to create consensus agreement and binding decisions and actively work on discouraging a blame culture, resolve conflict between people and promoting cohesiveness in the team to achieve common goals.Collaborate with the Product Owner to maintain a strategic product roadmap, facilitate the creation of Release Plans, coach the Product Owner with prioritisation, ordering and planning so that regular releases achieve strategic goals that fulfil parts of the product vision, feed back to the Product Owner on the readiness of the backlog items and assist the Product Owner and the team to identify and articulate acceptance criteria that capture the details of user stories.Develop a relationship with the business such that the team and the business act as one unit and communicate effectively with all levels of staff throughout the end-to-end delivery chain, building and maintaining effective relationships.Note to Applicants  we have has a 4-12 week interview cycle. This is due to our collaborative hiring model and coordinating interviews with the coaching staffs travel schedules. If you have a specific hiring timeframe, please highlight this in your cover letter.No recruitment firms please.","Essential criteriaExperience in leading migration from traditional waterfall methodologies to agile with a proven ability to work in complex working environments as an instigator of change and have demonstrable experience of driving change through influencing, coaching ability to fail fast, ability to adapt to new challenges and a track record that demonstrates helping teams and individuals become agile through care, consideration and collaboration.Track record of working with very senior level management within a large organisation with the emotional intelligence to guide customers in launching agile teams, programs, and portfolios in large organisations and an active and conscious awareness of the environment, sensing and anticipating situations and being able to ask the right questions at the right time.Shown the willingness and capability to integrate agile projects and programmes within wider, more traditional parts of an Client organisation.Experience of recognising and addressing barriers to the successful adoption of agile in large and/or complex organisations/situations.Excellent interpersonal skills and ability to work with diverse personality types with good listening, verbal and written communication skills, including the ability to analyse and communicate technical issues, lead discussions, create practical solutions and influence decisions to build consensus on solution design and delivery.Strong personal impact and good relationship building and communication skills with the ability to establish credibility with people very quickly, inspire confidence, respect and positive action to achieve common goals and ability to work with diverse personality types with good listening, verbal and written communication skills.A persistent energising and motivating influence, demonstrably putting people first, passionate about quality and agility and experience growing and facilitating highly motivated and capable teams to deliver innovative software products.Proven experience of facilitating end to end delivery and instigating and encouraging close collaboration with distributed teams (offshore, near-shore and on-shore) and cooperation across all roles and functions. Our customers trust our expertise and approaches that result in organisational change.Light-touch servant leadership (not as a Project Manager) that employs a highly collaborative approach and situational awareness to guide people without controlling them but employing authority when the situation requires, being all about leadership and people, not management and resources.Dynamic, charismatic leader (not manager) who has demonstrated the ability to challenge the status quo, recognise and accept genuine constraints and to drive change.Nice to havePrior consulting background. You will be working with multiple clients through on-site collaboration and remote support.Experience leading consulting engagements.Sales experience, working with account teams and sales teams on a frequent basis to deliver value to our customers and contribute to ongoing relationship management activities.Hands-on experience from continuous deployment.Willingness to travel. We work with clients across the EU and we frequently help our customers succeed by being in the right places at the right time.QualificationsTertiary qualifications are essential, preferably IT and/or business at a post-graduate level.Scrum certification from Scrum Alliance or #URL_eb33f3e87ac1203366e8701171cb1ef8a42857099f85613b3b7f029ffb751723#.10+ years or more experience in technology/software organisations.More than 5 yrs experience with leading and coaching agile teams, able to communicate at all levels of an organisation - from C suite down to all levels of the delivery team.",,0,1,1,Contract,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
1679,SummaView zoekt een Business Controller,"NL, UT, Amersfoort",,,"SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert ophet snijvlak van controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over eengereedschapskist vol met kennis, extra technische ondersteuning van developers ensoftware op het gebied van business intelligence. Oplossingen die SummaView biedt zijninventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun businessintelligence-activiteiten op een hoger plan te tillen.","SummaView is opzoek naar een (interim) business controller met een passie voor Business Intelligence. SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert op het snijvlak van controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over een gereedschapskist vol met kennis, extra technische ondersteuning van developers en software op het gebied van business intelligence. Oplossingen die SummaView biedt zijn inventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun business intelligence-activiteiten naar een hoger plan te tillen.Voor onze projecten zijn wij continue op zoek naar (interim) business controllers die onze passie voor Microsoft BI delen. Je bent een generalist, die zich als een vis in het water voelt bij complexe controlling en IT-projecten. Of het nu om financial, logistieke, marketing of sales-vraagstukken gaat, het maakt je niet uit. Je weet met je kennis en passie mensen ervan te overtuigen om jouw advies te volgen en ziet het als een uitdaging om met weerstanden om te gaan.Je doorloopt eerst een uitbreid trainingsprogramma voordat je bij de klant aan de slag gaat. Je leert werken volgens de SummaView-methodiek en wordt geïnformeerd over de laatste (technische) ontwikkelingen op het gebied van Microsoft BI. Ook vragen we van jou een actieve bijdrage in het bijhouden van je skills en delen van je kennis met anderen. Denk aan het bijwonen van congressen, schrijven van blogs en het geven van webinars.",Je maakt als SummaView Specialists deel uit van een team die de crème de la crème vormen op hun vakgebied. Wij hanteren daarom strenge selectie-eisen. Hieronder hebben wij ze op een rij gezet:Eisen:Academisch kennisniveau op het gebied van Controlling of BedrijfskundeEen passie voor MS ExcelGevorderde kennis MS Access / SQL / VBAMinimaal 7 jaar relevante werkervaring als business controller,"Je aanmelden als kandidaat voor interim-opdrachten via SummaView bied je tal van voordelen: Je mag kosteloos een BI-training volgen, waarvan je zeker de toegevoegde waarde zult ervaren, ook al ga je uiteindelijk niet via ons aan de slag.Wanneer je uiteindelijk via SummaView aan de slag gaat bij een bedrijf, kun je ons trainingsprogramma blijven volgen zodat je niet alleen up-to-date blijft over de laatste ontwikkelingen op het gebied van BI, maar we nemen je dan ook de diepte in, met meer complexe BI-oplossingen die je als controller kunt gebruiken.Hieronder de voordelen op een rij:Lid van een elite groep van business controllers met BI-passieEen uitstekend salaris/uurtariefToegang tot een vacatures/opdrachten bij gerenommeerde bedrijvenFocus op persoonlijke groei d.m.v. trainingen en bijwonen events",0,1,1,,,,,Business Analyst,0
8570,Keep in touch,,,,"We're an emerging technology agency, and we build breakthrough products through user-centered design. We're building a team of the brightest minds in creative, engineering, and business because we love working with smart people. ",Long-term recruits.Bucket for Amanda -- helps me keep in mind who I need to periodically reach out to and continue conversations with. ,,,0,1,0,,,,,,0
7504,Recruitment Consultant,"GB, NET, Washington",,,"Recruitment to Recruitment specialists, covering the UK.","My client is a global specialist recruitment consultancy, that has grown rapidly in the Oil and Gas market over the last 4 years, T/O £40m, 50 plus staff, and 5 offices in the world, HQ in Washington, Tyne and WearThey are looking for experienced recruiters that can demonstrate a successful track record to date, with proven sales and business development skills, training will be given in the oil and gas market. You will need 1/2 years recruitment experience and show a real desire to work in a vertical market.In return my client can Offer a basic of £25k with OTE of £35-£40k, their top earner makes £70k plus.This is a superb opportunity to get into the oil and gas market which is growing rapidly, so can offer you fantastic earning potential and superb career prospects.",,,0,1,0,,,,,,0
5476,Customer Service/Call Center,"US, FL, Jacksonville",Customer Service,,At Command we care enough to consistently place the right candidates in the right jobs. We have more than 50 locally-managed branches throughout the United States that serve as trusted partners to businesses and job seekers. ,At Command we care enough to consistently place the right candidates in the right jobs. We have more than 50 locally-managed branches throughout the United States that serve as trusted partners to businesses and job seekers.,"Responsibilities:Determines requirements by working with customers.Answers inquiries by clarifying desired information; researching, locating, and providing information.Maintains call center database by entering information.Keeps equipment operational by following established procedures; reporting malfunctions.Updates job knowledge by participating in educational opportunities.Qualifications:High school diploma or equivalentData entry skillsPrevious customer service or sales experience.Ability to multi-task and resolve problems quickly.Positive attitude and disciplined work ethic",Benefits:15/hr (non-negotiable)Medical/dental coveragePaid vacation and personal daysTuition reimbursement (after 6-months),0,1,1,,,,,,0
16380,Marketing Manager,"US, NY, New York",,,"Gust is a global platform for the sourcing and management of early-stage investments. Gust enables skilled entrepreneurs to collaborate with the smartest investors by virtually supporting all aspects of the investment relationship, from initial pitch to successful exit.We are a smart group of 30+ employees located in Chelsea, New York City. In our office, youll find an open layout of people that are energetic, move quickly, speak 10 languages, and collaborate incessantly. Breakfast on Mondays, lunch on Wednesdays, Company happy hours, and full-day outings are woven into our social fabric.We have fun, but are serious about providing the best experience for our users. Together, we make it happen. We recently won the 2014 SIIA CODiE Award for Best Business Directory and previously won 2013 and 2012 SIIA CODiE Awards For Best Collaboration/Social Networking Solution. Gust also won the UK Government's inaugural 2013 GREAT Tech Award for Best Finance Technology Start-up. Other awards that Gust has won include the 2012 SWIFT Innotribe Most Innovative Financial Technology Company in North America and Breakthrough Angel Product of the Year in 2011 by EBAN (the European Business Angel Network association). Our goals are clear, our ambitions are high, and our team is stacked.If you are interested in joining the Gust team, please select one of the current openings to apply.","Gust, the worlds largest online platform for entrepreneurs and early stage investors, is seeking a creative, results-oriented Marketing Manager to join our Marketing team.This position requires an experienced marketing manager to work across channels and diverse areas of marketing including awareness, acquisition, engagement, and retention.RESPONSIBILITIESUndertake a variety of roles on the Marketing team, including, but not limited to, community management, event management, content development, creative development, PR management, media/channel management, and other duties as assigned by the Director of MarketingExecute all necessary market research and planning effortsManage the CRM program and collaborate with product management team to increase user engagement and retentionEvaluate and optimize the website experience for acquisitionTest marketing channels and mix to maximize conversion from new and existing users to premium/paid products and minimize cost-per-acquisitionRepresent the company in suitable industry events and be an active presence in the startup/early stage investing ecosystemManage cross-functional and third-party teams to launch products and achieve designated marketing objectivesContinuously enhance current measurement and reporting of marketing activities to communicate marketing activities and efficacy","3-5 years of marketing experienceExcellent writing skillsExperience managing social media communitiesExperience working on cross-channel acquisition campaignsExperience analyzing and presenting marketing activities and performance dataExperience collaborating with teams and other disciplinesGenuine interest in the startup environment and culturePERSONAL ATTRIBUTESPassionate, committed, with high-level of energy and positive attitudeSuperb interpersonal skills; highly developed communication and negotiation skillsHighly collaborative personality to foster teamwork across divisionsAccustomed to and comfortable with bottom-line accountability",,0,1,0,Full-time,Associate,,Computer Software,Marketing,0
11511,LS17 6DJ Customer Service Apprenticeship Available Under NAS 16-18 year olds only!,"GB, , Leeds",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",This is fantastic opportunity for someone wanting to start their career in Customer Service. During the first 12 months you will work towards a Level 2 Customer Service NVQ and then be kept on in a permanent position. Ideal candidates  have excellent communication skills. If you are honest and hard working send your CV to #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee# ,Government funding is only available for 16-18 year olds as this job is an apprenticeship,Future prospects,0,1,1,,,,,,0
8718,FRONT END DEVELOPER,"AT, 5, ",Development,,"LOOP is an award winning interactive agency based in Salzburg with satellite offices in Copenhagen, Berlin, Sydney and New York City. We work on remarkable online campaigns in web, social and mobile specializations. Over the last few years our brilliant team has done outstanding work for internationally recognised brands such as Puma, Audi, Clearasil, Durex and many more. OUR SERVICES.We create digital experiences for brands and companies by using creativity and technology. From design to development, from mobile to social, from content production to monitoring and analytics. LOOP offers a broad range of services to help businesses and brands thrive in the digital age. LOOP works together with brands to craft strategies along with a unique and innovative digital approach. We thrive on collaborating with clients who are looking to push the limits and think outside the box.ENGAGING THE #URL_1cc142d21262b78a43aae9217a11ae2d5ebb9f2289a01a905afe6ffe361c4565#'S WHAT WE'RE HERE FOR.The common things expected from a digital agency are nice looking websites, branded social media profiles, some mobile apps and possibly a few microsites. At LOOP we aim for more. It kicks off with a brilliant idea and leads to a unique digital approach to draw in your target group. Contemporary advertising should be something that people really want to be part of. Engaging your audience, that is what we're here for.","LOOP is an award winning interactive agency based in Salzburg with satellite offices in Copenhagen, Berlin, Sydney and New York City. We work on outstanding online campaigns in web, social and mobile specializations. Over the last few years our brilliant team has done remarkable and innovative work for internationally recognised high-profile brands such as Puma, Audi, Clearasil, Durex and numerous others. But enough about us.FRONT END DEVELOPERAs a Front-end Developer you are responsible for breathing life into our designs. Create state-of-the-art website and web application templates for our international clients. Sit on the cutting edge of your field and be unafraid to push forward with new techniques and new technology. Stuffed full of ideas, which youre thrilled to put into practice, to create or optimize any website, as front-end development is more than just a job it is your passion. Get involved in projects from the ideation stage until final delivery and enjoy sharing your knowledge and experience with your international, interdisciplinary team.With advanced experience in HTML, CSS and in cross browser compatibility, a passion for innovative UX solutions through Javascript and JQuery integration, and design understanding, you'll have a great time at LOOP! Trust us, we know.REQUIREMENTSCreative problem solving skills and a thirst for knowldedgeEnjoy interesting challenges and solving problemsLove to work with international clients and within an international teamExperience with  JS-Frameworks or with BackboneJS, AngularJS and a flexible approach to new technologies like LESS, SASS, minification. Or a thirst for knowldedge to learn these technologiesAdvanced experience with Html/Html5, CSS/CSS3, JavascriptExperience with mobile development and responsive designBasic PHP knowledge is an advantageFamiliar with Subversion or GitStrong team player and willingness to work closely with the agencies organizational and design teamGood English language skillsRESPONSIBILITIESSignificant responsible for the technical conception of web projects to achieve exceptional, innovative resultsTechnical quality assurance for each projectAbility to keep track of own projects, timings and needed resources in all situationsEnsure a perfect project workflow and work as a part of teamAbility to flourish in a hands-on culture and know when your team needs supportOur flat hierarchy and exceptional team culture, is key to the personality of our agency. We believe that there is a wide range of talent in our team, so we won´t restrict passionate people from learning skills in new areas for professional growth. In fact, we will encourage you! We promote continual advancement in our staff by giving opportunities to attend tech conferences, meetups, english classes, workshops and further training. Plus were really fun people as well!So if you are motivated to join the LOOP Team, we are happy to offer you a full-time position as a Front-End Developer in Salzburg. Your entry level and starting salary will be based on your experience.To be considered for this role, send your application, CV and earliest possible start date to our Team.",,,0,1,0,Full-time,,Bachelor's Degree,Online Media,Production,0
11415,Assistant Account Executive,"US, TX, Austin",,,"INK is the agency of choice for brands big and small in the B2B and B2C tech and clean energy sectors. We take a boutique approach to communications: focused, personal, and insightful. We offer a wide range of services to a select set of companies for whom the delivery, reach, and impact of a well-crafted message is a critical success factor. Founded in 2004, INK is based in Austin and has won numerous awards for our creative and effective campaigns, our reputation with the media, and our company culture and work environment. See more at #URL_096a2fd9f0692eac808f92f91cac7539b60373c880e2e936ebe5b185904abb44#-pr.com.INK is growing with our clients and attracting new ones, and we find ourselves in constant recruitment mode these days. We are ALWAYS interested in hearing from top PR talent in Austin  and the increasingly Austinbound. You will be hardpressed to find a more welcoming, challenging, empowering agency environment. We do great work for tech and clean energy clients who consider us an extension of their teams. We invest in our employees and our clients, building relationships that last (our first hire and first client are still with us 10+ years later). We dont expect that of everyone and are also proud of our INKers who have gone on to lead communications for some of Austins most exciting technology brands. Were tough, no doubt, but were more than a good place to work  we are the best place to build your PR career. We want to hear from you!  Please send resumes, introductions, writing and design samples by applying to the appropriate link below.  ","An AAE at INK is responsible for tactical implementation of all types of account activities including media relations, analyst relations, social media, content creation, research and measurement. AAEs are expected to demonstrate an understanding of clients industry, business, goals and priorities through the creation of measurement reports, client and team status, participation on calls and in meetings with the client, as well as competitive and trend tracking. AAEs write press releases, bylines, blogs, speaking submissions and social copy. An AAE is expected to exhibit diligence to research and problem-solving, to deliver and reinforce new ideas for teams and clients.","We are seeking a strong writer and someone who enjoys building relationships with clients and media. Social media skills are required and technology experience is a plus.Bachelors degree, 2-3 years of experience in communications including internships","INK has been recognized as one of the Best Places to Work in Austin by the Austin Business Journal for the last three years running. We pay a significant portion of our employees' health insurance  (medical, dental, vision, life). We have bonus programs for company performance, new business acquisition and hiring referrals. We provide ample vacation and sick time, with a good chunk of time away from the office at the holidays. Flexible work schedules, lots of perks around the office and an all-Mac shop (including paid-for-all-inclusive cell service) make INK the place to be. ",0,1,1,Full-time,Associate,Bachelor's Degree,Public Relations and Communications,Public Relations,0
344,Quality Assurance (QA) Engineer,"GR, I, Neo Iraklio, Athens",Solution Test,0-1000,"Unify — formerly known as Siemens Enterprise Communications — is a premier communications software and services firms. Our solutions unify multiple networks, devices and applications into one easy-to-use platform that allows teams to engage in rich and meaningful conversations. The result is a transformation of how the enterprise communicates and collaborates that amplifies collective effort, energizes the business, and enhances business performance. Born out of the engineering DNA of Siemens AG, Unify builds on this heritage of product reliability, innovation, open standards and security to provide integrated communications solutions for approximately 75% of the Global 500. Unify is a joint venture of The Gores Group and Siemens AG","Develop Test plans, Regression Test plans for the functional areas to be tested and document themExecute test cases; enter defects in the bug tracking system, and follow-up on defectsBuild and maintain the test automation suite &amp; automation infrastructureDevelopment of automated functional test scriptsInstall and maintain test beds and test databasesReview product documentationWork with the Customer Support team to reproduce customer problems and to provide solutions to customers","Education, Experience &amp; Profile:BS/MS in Computer Science, Information Systems, or equivalentProfessional Experience : 2-5 yearsLanguages: Greek, EnglishSkills: Excellent communication and presentation and skills in both Greek and English.Ability to work under pressure and meet tight deadlines. Self motivation. Fast learner.Required technical skills:Experience in functional testing of web based business applications built using Java andJ2EE technologiesExperience in test automation - TestNG, Selenium, Sikuli, Hudson/Jenkins etc.Experience working in a fast paced Agile or SCRUM development methodologyWorking knowledge of Java and SQLKnowledge of web technologies like HTML, Javascript, CSS, HTTP, XMLExperience on Linux platforms - basic administration, builds, software deployment, scripting.Excellent command of software development lifecycle and processesAbility to accurately estimate tasks and meet aggressive schedulesAdditional skills to be considered as plus:German Language",Competitive remuneration packagePrivate insuranceWork in a challenging multinational environmentContinuous training opportunities,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Telecommunications,Quality Assurance,0
13063,Analyst,,,,"Red Canary is a computer security startup that provides managed threat detection to alert our customers when their business is under cyber attack.  We are obsessed with reducing the time from incident to remediation and thus decreasing the damage done. Our elite team is comprised of malware experts, engineers, and security analysts that collaborate to implement innovative and scalable detection methods for the worlds most diabolical malware.We are passionate about creating customers for life and cultivating an unmatched employee lifestyle through remote work, top-notch benefits, and employee trust. We take great pride in providing an excellent service to our customers that depend on us to help defend their business.LocationsWe work remotely. Our offices are in Sterling, VA and Denver, CO. If you live near one of those locations or want to, thats great. Our offices are designed to work for you whether you like to drop in once a week to work with your team or work hacker hours through the wee morning hours.Our Sterling office is close enough to Washington D.C. that you can head downtown for the evening but far enough away that you do not have to fight awful traffic. Our Denver office is nestled along the Rocky Mountains between Denver and Boulder with some of the best hiking, skiing, tech startups, and craft breweries in the country nearby.","Red Canary needs more cyber threat experts to join our team and detect malware threats to our customers. Our analysts are responsible for triaging, validating and communicating suspected threats to Red Canary customers systems.Our event data is derived primarily from endpoint- or network-based data sources, enriched using internally-developed and externally-acquired intelligence, and correlated to identify threats against both individual systems/users but also at the broader, organizational level.We need people who have a working knowledge of:Malware analysis and/or remediation on Microsoft Windows platformsMicrosoft Windows and Apple OS X platforms in generalMicrosoft Windows systems administrationComputer security best practicesYou will be able to hit the ground running even faster if you have experience in:Computer forensic investigations or analysisNetwork forensic investigations or analysisEnterprise information security or technology supportMalware reverse engineeringThreat intelligence collection or analysis",,,1,1,0,Full-time,,,Computer & Network Security,,0
9552,English Teacher Abroad ,"US, IN, Fort Wayne",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
15214,Sales - Account Executive,"US, FL, Boca Raton",,30000-40000,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#","Title: Account Executive (B2B)Location: Boca Raton, FLIndustry: Digital MarketingCompensation: Guaranteed Base Salary + Commission + BenefitsSummary:We are currently looking for aggressive Account Executives who have a background in outside sales, B2B, and business development.  If you are in sales and are confident in your sales abilities, then this opportunity may be for you.  We are currently seeking outgoing, confident, driven, and financially motivated Sales professionals. The ideal candidate will have a proven track record of success with B2B Sales. This position is perfect for the hard working, persistent and positive team player who knows how to use the telephone and email as lead generation tools.As a new Account Executive, it is vital that you display the ability to communicate effectively and to develop strong relationships with corporate decision makers, particularly C-level executives. You must also be able to construct well planned and constructed account strategy documents and present well to senior-level clients.Duties:Execute a minimum of 70+ outbound B2B prospecting calls per day with appropriate activity via e-mail to accompany those efforts.Schedule a target number of telephone meetings and appointments each week.Keep CRM updated with lead/opportunity details, tracking and correct marketing event codes.Work with sales leadership to identify qualification criteria.Meet and Exceed Monthly Sales TargetsUtilize Proven Sales Tactics and Business Savvy techniques to Overcome Gatekeeper ObjectionsCustomized Presentations to Decision Makers and Business OwnersIdentify prospects by utilizing creative Lead Generation techniquesAbility to Uncover Business Needs, Influence Others and Position the Appropriate Product for their business ","Experience:2-5 years experience selling to senior-level B2B buyers.Proven track record of hitting and surpassing sales targetsDigital Marketing Experience (Direct-mail, Opt-in Email, SEO/SMM, Mobile Marketing, PPC, and Social.) is PREFFERED, but NOT REQUIRED.Astute at closing new business and managing existing accountsGood communicator—excellent listening skills and ability to uncover the real “pain"" a client might be experiencingStrong capacity to develop relationships quickly over the phone.Bachelors degree preferred but not necessary. We will consider the right experience over a degreeHighly self-motivated and self-disciplined with ability to work effectively with little or no supervisionOutgoing personality with expertise at developing relationships, particularly with business owners, presidents and CEOsProficiency with the MS Suite, Internet navigation, and CRM applications",Base Salary + Commission + Benefits,0,1,1,Full-time,Associate,,Marketing and Advertising,Sales,0
2053,Accounting Specialist,"FI, , Helsinki",Finance,,"DealDash Oyjs fun &amp; exciting shopping platform, described as the fair &amp; honest alternative to traditional penny auctions, is used by more than 4,000,000+ US shoppers. The companys unique business model has allowed it to form strong partnerships with the worlds leading retailer companies like Walmart and Sears, who are today using the DealDash platform to move their excess inventory.Founded in 2009, the company is one of Finlands fastest growing e-commerce companies (Kauppalehti Kasvajat award 2013), having quickly grown from zero to 40M+ EUR revenue, 100% from the US market. In 2013, DealDash was awarded Finlands Ernst &amp; Young Entrepreneur of the Year award and has officially been recognized by both TEM and President Sauli Niinistö for its contributions to the Finnish economy.The DealDash team is made up of highly-motivated, analytical and entrepreneurial professionals who work collaboratively to provide top-notch recreational shopping experiences for our customers. We challenge each other in an open and candid environment, no matter what our job title is, so that we may all grow and improve together.  As the company grows, we believe we must grow at the same pace or faster to stay relevant in our positions. That means we need to invest in our team members development. You must share the desire to constantly develop yourself by reading, researching and asking for feedback from peers, and encourage your teams to do the same.","COMPANY DESCRIPTIONCome and work for the fastest growing e-commerce company in Finland! We are a venture-backed social shopping marketplace and the longest running site in the industry!DealDash has employees located all over the world, with two main offices in Helsinki, Finland and Minneapolis, USA. This position will be located in our Helsinki office.The DealDash team is made up of highly-motivated, analytical and entrepreneurial professionals who work collaboratively to provide top-notch recreational shopping experiences for our customers. We challenge each other in an open and candid environment, no matter what our job title is, so that we may all grow and improve together.JOB DESCRIPTIONThe successful candidate will join our 4-person Finance team. As the fastest growing e-commerce company in Finland, the Accounting Specialist will be responsible for the accuracy of our financial reporting and identifying efficiency opportunities. This may include contributing to the decisions of outsourcing services and/or implementing a new ERP system, as well as identifying other opportunities within the organization. The Accounting Specialist will also be a key contact with our suppliers such as Walmart and Sears regarding financial discrepancies.KEY RESPONSIBILITIESThe Accounting Specialist will have the following key responsibilities:- Ownership of financial statements for internal and external reporting purposes- Provide summaries of financial activity to be presented to the board- Key coordinator between our outsourcing partners (payroll, lawyers, accountants, etc.)- Internal control between suppliers- Meet internal deadlines for financial reports- Contribute/lead projects as requested","- Very strong Excel skills- Education in Accounting or Finance- A solid knowledge of accounting principles- Minimum 5 years of relevant working experience- Attention to detail and organized- Fluent English proficiency- Analytical thinking and ability to investigate financial discrepancies- Basic SQL skills are a plus but not a requirementWe are looking for someone who can take responsibility for their tasks and see them through from start to finish. You are analytical and driven to get things done accurately and on time. You are also technologically savvy, with a proactive attitude of learning new technical tasks on your own (Googling).You are able to give and take feedback, be open and honest, and have the ambition and motivation to contribute to this company.","We offer a market-based salary and opportunities to take on more responsibilities. Some of the benefits include:- daily breakfast in the office and weekly company lunches- soft drinks, coffee, tea and water available for you- comfortable office based in the center of Helsinki in Kamppi- dynamic work environment with young energetic management team",0,1,1,Full-time,Mid-Senior level,Unspecified,Retail,Finance,0
9730,Executive Assistant / Office Manager,"GB, LDS, Leeds",,27000-32000,,"Crisp Thinking is the worldwide expert in protecting major brands from Social Media and User Generated Content risks. A dynamic organisation, we use cutting edge technologies to deliver high quality and innovative solutions to global brand names in a fast growing market sector. Providing 24/7 moderation across client social media channels, we remove spam, offensive and unsuitable content, alert our clients should a potential PR crisis occur and deliver accurate sentiment analysis. Crisp Thinking offer the highest level of brand protection, letting companies focus on delivering a world-class social experience.This role will primarily involve providing assistance to the Exec in a range of activities relating to business affairs and principally the provision of highly efficient, responsive, organised and confidential support to the Exec for the purpose of managing them firmly and keeping them focussed on their priorities!Responsibilities will also encompass effective and successful office management.","Be the primary contact point for the ExecFilter all general information, queries, phone calls and invitations to the Exec by arranging, redirecting or taking other appropriate actionAnswer incoming enquiries and direct calls appropriatelyBook travel and accommodation for the Exec on an as and when required basisArrange all meetings and appointments for the Exec, ensuring that prior notice is providedBe comfortable in making challenging and last minute decisions/ amendments and driving things forward to conclusionCo-ordinate and organise all papers, presentations, agendas or briefings to ensure that the Exec are fully prepared for all meetings and engagementsOrganise and maintain Exec office calendarsCompile Exec expenditureAct as the representative of the Exec in their absence and make decisions or delegate work appropriatelyHandle sensitive information of a highly confidential nature and assess restrictions and levels of disclosure to others, being completely discreet at all timesDraft correspondence on behalf of the Exec as requiredPrepare reports and produce documents, briefing papers and presentations as requestedManage, prioritise and action where appropriate, incoming emails and post, highlighting urgent correspondence or tasks and communicating these forcefully and effectivelyWelcome all guests and ensure they are well taken care of, including offering drinks and refreshmentsManage office resources and ensure the office is maintained to a high standard",,0,0,0,Full-time,Mid-Senior level,High School or equivalent,Computer Software,Administrative,0
4135,Project Specialist,"US, CA, San Francisco",Business,,"Making Quality Metrics ActionableWe are revolutionizing the way nurses, physicians and front line staff improve the quality of care. By eliminating silos along the care continuum, Dabo Health helps increase collaboration, strengthen performance and save lives.Dabo Health is an information platform that simplifies data into an intuitive and interactive design, and facilitates collaboration between all members of the care team.We are looking for enthusiastic and creative entrepreneurs who want to make the world a better place, love team collaboration in a “lean” environment, and enjoy a good quality of life.","Interested in joining a passionate team and saving lives? Dabo Health provides hospitals the visibility into the quality of care they are delivering and enables hospital staff to collaborate in a centralized environment for care improvement. We are looking for project specialists committed to the mission and vision of the organization. Our growing team is looking for high caliber individuals who can support the implementation of projects and have the desire to work with healthcare professionals to define a new standard of patient care. Dabo Health has partnered and is collaborating with Mayo Clinic, the worldwide leader in medical care, research and education, to develop the clinical platform. Mayo Clinic has an equity stake in Dabo Health. The Dabo Health team applies the “Agile” Design and Development methodology, whereby every feature and function of the platform in informed by direct user feedback.  Your role will involve core support in a team in which planning, executing and monitoring implementation projects is critical across multiple health care settings. Most importantly you will represent Dabo Health in collaborating with front-line health care staff to drive adoption, increase utilization, and gather user insight that will inform further product direction.  You will support the project team with all aspects of assigned projects throughout the development project lifecycle including project scope, schedule, resources, quality, cost and change.We welcome creativity and team collaboration, and you will be influencing all aspects of implementation, from project planning decisions to implementation processes. You will be actively engaged with stakeholders in deciding how the product will improve the practice of health care. Join a great team at an early stage in our San Francisco, CA office.","Bachelor's degree required, preferably in education, public health, or healthcare related field.3+ years experience supporting project teams/managers in managing complex projects from start to finish.  Experience in supporting software implementations within health care settings preferred.Some knowledge of business or operations in an inpatient and/or outpatient setting preferred (i.e. hospital, health plan, clinic).Excellent follow up to ensure the timeliness of deliverables that are completed with a high degree of quality and accuracy.Beginning to intermediate level of knowledge of project management practices and methodologies preferred.Proficient in Word, Excel, and PowerPoint. Demonstrates willingness and ability to gain experience in new software programs as needed.  Experience with project management tools a plus.Ability to provide support to multiple teams/projects and thrive in a fast-paced/changing environment.  Ability to work well independently and within a team.  Detail oriented with excellent analytical, written/oral communication, and organizational skills.Ability to manage basic/general issues and tasks to closure with little direction from a Project Manager.Excellent interpersonal skills and ability to interact effectively with management, health care practitioners, and administrators.Ability to work in a fast-paced environment and handle multiple tasks, work with interruptions, and deal effectively with confidential information.Excellent time management and organizational skills; dependable, enthusiastic, self-starting, self motivated and reacts professionally under pressure. Ability to travel as required.Hands on, results-driven who can work with extreme efficiency, excited to learn new things, forge new areas of understanding, and enable other teams to manifest them.Passionate about improving patient care through the use of technology.","Health Insurance.Flexible time off policy; and we take pride in our work/life balance.Stocked fridge, snacks, italian coffee machine, and a kegerator.Great location.We value professional development.Competitive salary.Smart, passionate, and nice people.",0,1,0,Full-time,Associate,,Hospital & Health Care,Project Management,0
11303,Customer Service Apprenticeship available under NAS 16-18 year olds only!,"GB, WKF, Grange Moore",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","This is fantastic opportunity for someone wanting to start their career in Customer Service. During the first 12 months you will work towards a Level 2 Customer Service NVQ and then be kept on in a permanent position. You will be working for a Garden centre and the role will involve: -Working on the till-General cleaning and tidying-Speaking to customers-Helping in the antique centre, cafe, petting farm, gift shop, and also helping to sell furniture. Ideal candidates will be confident and have excellent communication skills. If you are honest and hard working please apply now!",Government funding is only available for 16-18 year olds as this job is an apprenticeship.,Career prospects,0,1,1,,Not Applicable,High School or equivalent,,,0
3706,Software Engineer,"GB, LND, London",,,"Launched in 2010, Lyst is an innovative way to shop for fashion online thats used by millions every month. Lyst partners with the worlds top fashion brands and stores - from Topshop, Balenciaga, Alexander Wang and Burberry to Saks, Net-A-Porter, Lane Crawford and Barneys - to provide people with a personalised way to discover the fashion they love. Lyst has raised over $20million from a range of all-star investors including Accel Partners (Facebook, Spotify), Balderton (Yoox, MySQL), DFJ (Skype, Hotmail) and the teams behind Michael Kors, Oscar de la Renta and Tory Burch.","The OpportunityLyst is looking for a Software Engineer to work on our platform and APIs. You'll help us:scale our increasing trafficbuild APIs for external developersbuild a distributed e-commerce platformimplement various machine learning algorithms (classification, information retrieval, analysis)We care deeply about helping the tech industry become a more inclusive and diverse place and we work hard to lead by example.","Appropriate, commercial experience with Python.Highly proficient in a Unix/Linux environment.Solid understanding of the full web technology stack (e.g. HTTP, cookies, headers, asset loading / caching).Understanding of CS concepts such as: common data structures and algorithms, profiling/optimization.Ideally knowledge of ecommerce.",,0,1,0,Full-time,Mid-Senior level,,Apparel & Fashion,,0
675,Customer Service Associate ,"US, MO, St. Louis",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The position is full time.  The schedule will fall between 7:00 a.m. and 7:00 p.m. Monday through Friday.  The Customer Service Associate will be based in St. Louis, MO. This position will support multiple sites within a 15 mile radius. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
11578,Durham Business Admin Apprenticeship Under NAS 16-24 Year Olds Only,"GB, , Durham",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Under the National Apprenticeship Scheme you must be 16-24 years old to apply for this #URL_2f796cae81c45f3393e1c3cff821714254307b7aabc594c5dfe73b228a171635# funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then you will be kept on in a permanent position.You will be working for a car dealer company and the role will involve:-Data inputting-Making outbound calls to existing customers-Updated the website and social media-Sending mail-Producing marketing campaigns-Assisting managersIdeal candidates will be organised and enthusiastic.If you are motivated and career minded please apply now.,16-24 year olds only due to government funding.Full time availability.,Career prospects,0,1,1,,Not Applicable,High School or equivalent,,Administrative,0
3832,Automotive Internet Sales Representative,"US, CA, Costa Mesa",Sales,,"About HitFigure:Franchised car dealers who represent major automotive brands are constantly on the lookout for used vehicles of the same brand as the new cars they sell. And consumers are always on the lookout for the most competitive price for their used car at resale or trade-in.Thats why HitFigure is so important.HitFigure lets you submit information about your used car so local same brand dealers can make you a competitive offer to buy it.Unlike trading in your car to a dealership of a different brand, same brand franchised dealers specialize in the car youre selling. That means you stand a better chance of getting the most competitive offer. HitFigure is quick, easy and free to consumers.","HitFigure is going thru Explosive Growth! Now is the time to join a growing Media Sales Team! We are looking for Account Managers to take us to the next level!ACCOUNT MANAGER: Job ResponsibilitiesAbility to handle a ""start-to-finish"" sales process on a significant load of high-quality, paid and organic internet leadsMaintain superior customer satisfactionSpend time with customers to determine their needs and discusses vehicle optionsCommit to becoming an expert and gain in-depth knowledge of our vehicles and technologySupport on-line customers through our eSales officeComplete quotes, return email/voicemail, other administrative functions to include running processing transaction paperworkFollow up with existing and potential customers to generate leads and close salesIf you are experienced in giving exceptional customer service, have the drive to earn unlimited income and want to continue a career as an automotive sales manager working with exciting new products, we look forward to talking with you. No Working Weekends or Nights! Apply to be a account manager of our winning automotive sales representative team! Apply Now!","Automotive Internet Sales Managers must have a strong background of automotive salesPrevious Automotive Internet Sales Manager experience preferred, but not requiredOutgoing personality with expertise at developing relationships (i.e., a “people person"")Excellent appearance, verbal/written communication, strong negotiation and presentation skillsMust be a strong closer and possess the ability to ask for the sale and follow through",,0,0,1,,,,,,0
14719,"Vendor Operations Manager, Supply Chain","NL, NB, Eindhoven",,,"Shapeways is the leading 3D printing marketplace and community, empowering designers to bring amazing products to life. By giving anyone the ability to quickly and affordably turn ideas from digital designs into real products, Shapeways is fundamentally changing how products are made and by whom.Through Shapeways, designers gain access to the best industrial 3D printing technology, capable of manufacturing products with complex designs in a wide range of high-quality materials. 3D printing turns raw materials into original products, from wedding rings to rocketships, model trains to iPhone cases, and prototypes to industrial engineering parts. The Shapeways community can sell their products, share ideas, and get feedback from creative consumers and other designers around the world.Headquartered in New York, Shapeways has factories and offices in Eindhoven, Queens, and Seattle. Shapeways is a spin-out of the lifestyle incubator of Royal Philips Electronics, and our investors include Union Square Ventures, Index Ventures, Lux Capital, and Andreessen Horowitz.","Shapeways is the worlds largest 3D printing marketplace and community. On a daily basis, we make thousands of unique products and deliver them to people all over the world. We create an online experience that ignites creativity and provides access to the best of 3D printing, at the lowest cost. We work hard to democratize manufacturing so that everyone—regardless of technical background or expertise—can make anything they want. The Shapeways community is global, revolutionary, creative, and incredibly dynamic, and we make ideas a reality by manufacturing objects in “factories of the future.” We are a cool start up and need someone to help keep the all this work moving and manage relationships with suppliers as we grow. Were passionate, committed, enabling, down to earth, and inspiring. So are you.As Vendor Operations Manager, you will cultivate and grow strategic partnerships with manufacturing vendors worldwide. Your goal will be to ensure the best quality and on-time delivery of goods from order to customer. You will own vendor performance, and work with them to develop their business with Shapeways.Develop and manage strategic operational relationships with manufacturing vendors around the world.Own the production service levels and quality of 3D printed products from orders and manufacturing through customer delivery, tracking performance through goals and metrics.Continuously improve our internal processes and relationships with vendors to help them to grow as fast as we do.Represent Supply Chain with the product and technology teams on projects that drive functionality improvements.Collaborate with other Shapeways teams to make sure the wheels are turning as quickly and efficiently as possible.","3-5 years experience in operations or supply chain, focused on manufacturing, and preferably vendor management. Inventory management and sourcing a big plus!Inveterate problem solver! You love a challenge and want to improve things, constantly looking for ways to make things easier and better, live and die by metrics and results.Super happy when youre knee-deep in operations and details.Strong analytics experience, great using data, Excel, and SQL to find things out.Brilliant multi-tasker, calm crisis manager who loves Getting Stuff Done.Committed to the best: youre willing to do whatever it takes to make it right. Always on top of and in-charge of your stuff, and hardwired to never leave any stone unturned.Comfortable in a fast-paced environment, ready to analyze and interpret information quickly.Great communicator, friendly, personable, and always customer focused.Natural leader who wants the opportunity to grow fast and help those around you shine too.Maker/artist a big plus++",,0,1,0,Full-time,,,Consumer Goods,Supply Chain,0
13618,Sales Development Representative,"US, CA, San Francisco",,,,"A Unique Job With a Great Company Do you want an exciting job you love, working with other superstars? Are you excited by making a real difference for customers? Join us as our Sales Development Representative! Were a unique and innovative marketing technology &amp; services company… And were growing! Our new Sales Development Representative position is a key role in our company, because youll be the first person our prospects speak to. You, and your efforts, will have a real impact on the company finding, partnering with, and retaining great customers.  What Do We Do? Our company has offers sophisticated “done for you” marketing technology and services to solo practitioner lawyers and boutique law firms. You see, all lawyers (and especially lawyers in small practices) have a problem  and thats there are only so many hours in the day. And each hour theyre working on their client work, theyre not marketing their practice  which means fewer clients tomorrow. And if theyre spending time marketing their practice, theyre not doing the client work that makes them money. On top of that, they still have to keep running an office and handling all the administration that goes along with it. So theyre always juggling between “doing the work” and “getting the work” … which keeps their businesses stuck in a rut. BUT  if they can break free of that tension, they can really grow their firms. How do they do that? Thats where our company comes in  handling their marketing for them. Weve created a proprietary combination of technology, marketing creative, and business processes that allow lawyers to plug in to our systems very quickly, and stop worrying where their next client will come from. We take that a step further and provide it as a done for you system so that our customers dont need to manage our systems. We manage it for them, so they can get back to practicing law. Our customers are great people who are a pleasure to work with  and we become real partners to their business, helping them meet their goals by providing something they want and need. Our company is a profitable start-up, but its not like other Bay Area startups you might read about. We're small but we have a service with proven demand, great customers, and room for you to make a meaningful contribution both to our customers businesses and our team. Were also not the sleep under your desk, constant chaos kind of place. We have a vision and a strategy for where we are going, and a clear path to get there. Were looking for someone who is motivated by our vision, and by helping our customers succeed.  What Will You Do? As a Sales Development Representative, you will review in-bound requests for information from prospective customers, and reach out to understand more about their businesses. You'll connect them with the resources they need. For prospects who are the right fit for our services, you'll connect them with our strategy team to see whether or not we can help them. If they're not the right fit for our services, you'll still help them by directing them to other resources. You see, this isn't just another ""sales role"". We are absolutely committed to adding value to every potential customer we interact with, whether or not we're the ones for them. And what that means is, this isn't the job for everyone-  This is the right job for you if you: ... Truly want to help customers find the right solution to better their lives and their business ... Are unflappably excited and positive (even if a prospect doesn't want the help you're offering them) ... Like being given clear guidance on benchmarks to hit ... Are sharp as a tack - and like working with other superstars ... Take pride in going the extra mile ... Like pitching in for the team ... Are always looking to improve your craft - how to present better, how to position better, how to sell better ... Are looking for a workplace to call 'home' for the long termOur Process Is Different We offer an extraordinary opportunity with a top-notch team. We'd rather not hire, than hire the wrong person. Because of that our hiring process is different. This isn't going to be sending in a resume, and a series of resume-reading meetings. So, expect that this process will take longer... But as a result, you'll know this is the place for you. We're in it for the long haul, and want the right people on the team.This position is full-time in our offices in downtown San Francisco. No telecommuting or working at home. ","1-3 years applicable work experienceA self-starter with a track record of successful, credible lead follow-up and sales developmentPre-call planningOpportunity qualificationCall structure and controlProven track record achieving measurable inside sales goals in an environment where accurate entry and management of lead data in a CRM system was requiredExcellent listening skillsExcellent writing skillsThe highest level of integrity and ethics4-year College degree required",Competitive salaryOpportunity for career growth,0,0,1,Full-time,Associate,Bachelor's Degree,Marketing and Advertising,,0
10303,Template (DO NOT DELETE),"TH, 10, Bangkok",Unknown,100000-180000,Smart Search delivers executive search solutions tailored for global organizations committed to the Greater Thailand market. We commit to securing the “best-fit” executive talent to lead and manoeuvre global businesses in the constantly changing dynamics of South East Asia. ,"Our client is a global advertising and marketing communications services company with over 410 offices in over 120 countries. With a diverse group of marketing services companies, our client offers its clients a 360 Degree service offering to market and enhance their sales with world renowned creative strategies. Recently our client has opened their Merchandising and Sales services group in the Thailand market and are looking for an experienced operations minded person to join their growing company","The Senior Client Service Manager will report to the organizations Group Account Director and assist in a variety of coordination and management roles in a marketing services agency. This will involve managing creative and implementation teams, sourcing and managing outsourced service companies to a high degree of service standards and strict timelines. Additionally the Client Service Manager will be required to meet clients occasionally with the organizations sales &amp; marketing teams to gain a better understanding of the client needs to ensure implementation is followed correctly to the clients specifications. The successful candidate will be continually multitasking and dealing with internal and external parties of a wide degree of levels and backgrounds and communication will be crucial to the success of the sought after Project MangerThe Senior Client Service Manager will be qualified to degree level in a related subject and have at least 5+ years of working experience gained preferably within multinational marketing services agency overseeing below the line marketing activities. The Client Service Manager will have a strong background managing below-the-line marketing actives either in a client service or strategic capacity, and be able to handle various aspects of continual activities that the position will manage. The successful candidate will also have good English communication abilities, a high degree of initiative and exceptional communication and presentation skills.","This is an extremely rare opportunity for the right candidate to become part of South East Asias most sought after marketing agency which empowers its employees and allows them to work in a non-political western company that offers exceptional promotional and development opportunities.Thai nationals, please apply below. ",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Marketing,0
15307,English Teacher Abroad ,"US, CA, Stockton																																																																											",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
3003,Junior Web Coder/Programmer ,"CA, ON, Markham",Web Development,,"Ravenshoe is a full-service digital and retail marketing company. We're obsessed about growing businesses and brands. We create, design, develop and grow your business StrategyWe get to know you and your business.We research, analyze and strategize for you.We collaborate and engage with you.Design &amp; DevelopmentWe design, develop and execute innovative marketing solutions. ResultsA professional and consistent brand across digital and print.More exposure for your business.Lead generation and sales growth.OngoingMonitoring and tracking performance.Continuously improving campaigns.Always bringing new ideas to the table.","The position is focused primarily on back-end development, you'll be working in ASP/PHP/MySQL. Candidates should know the basic best practices for security and be able to protect against XSS attacks, SQL injection, etc.Graphic design skills are not required, however the ideal candidate will also be comfortable enough with HTML, CSS, and Javascript to make simple interfaces and tools for users.","1+ year of work experience programming in PHP/#URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#.Experience with MySQL, MSSQL and other RDBMSProgramming with C#, Microsoft SQL Server, Microsoft #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# platform, Visual Studio.Exceptional writing and communication skillsPassion for technology, the internet and online cultureStrong creative skills and attention to detailOptional Skills:Exposure to front End Web development  HTML/HTML5, CSS3, XML, JavaScript, JSON and jQueryKnowledge of WordPress; integration background.Any experience with paypal/ ecommerce merchantsExperience working with existing products/frameworks like drupal, magento,zencart etc.Exposure to hosting, domains setup",,0,1,1,Full-time,Entry level,,Marketing and Advertising,Other,0
16801,Friendly Customer Service Employees,"US, TX, Carrollton",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Seeking Experienced Customer Service Representatives for our Promotional Home Division Looking for a change? We offer an exciting career with bonuses and advancement opportunities. Join our upbeat team of motivated and success-oriented people. If you have a positive attitude and are open to learning new skills, this may be just the opportunity for you!",We're looking for candidates who are: * Disciplined and capable to work in groups or as an individual * Good with people and comfortable speaking with customers * Willing to work in a team atmosphere and take on leadership roles  * Highly motivated for success with a desire to advance in our company  * Proficient on the computer,We offer competitive pay; benefits; training/continued training; pt/ft hours and a flex schedule.,0,1,0,,,,,Customer Service,0
2755,Advanced Developer - OBI,"US, KY, Erlanger ",,,amvinc is one of the leading staffing companies in detroit michigan usa ,"Role Description :Designs, develops, conducts full testing and supports production implementation as well as post implementation warranty period. Has a wider view of the system integration points and potential impacts for design decisions to new/existing systems and downstream processesDesired Experience : 5.00 yrs. General Description :Role will develop OBI reports per user requirements and support SDLC process form inception to production Requirements:OBI Development (Analytics), OBI Development (BI Publisher), OBI Development (Answers), Tableau (Not required), Data Modeling Informatica ETL (Not required) Skills &amp; Qualification : Good Written &amp; Verbal Skills Organized Team Player Self Starter ",,,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
14480,Networking Engineer,"US, TX, Temple",IT Services,40000-70000,"At McLane Intel, we believe that everyone should love where they work! We understand that our ongoing success is attributed to our remarkable staff. We are a company that promotes career growth, ongoing learning, and professional development for all of our employees.We have a wide range of positions throughout the company and accept and support a broad array of applicants—from recent graduates to seasoned professionals. If you are willing to follow our company values, enjoy learning new skills, and plan to succeed in your career—we want you to apply.Here are a few things we offer that others often dont:Autonomy  We offer a management team that treats their employees with respect and values their input, along with a team of skilled technicians that put their teammates above themselves.Mastery &amp; Growth  We promote from within and work each one of our technicians to help them get certifications and rise in the tiers experience.Purpose  We have a mission and a culture that every employee is strongly invested in working towards because we hand-picked people that love what they do. Its the difference between people in a row boat rowing as they see fit, and people rowing the same direction.Benefits  Our benefits are some of the best around. We have awesome health insurance and a great PTO policy. We have an Awesome Teammate Bonus, where employees nominate other employees weekly. Each full-time employee has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.A bit more about what we do:McLane Intelligent Solutions (MIS) is fast-growing and dynamic managed services provider located in Bell county in Central Texas. We provide a broad range of outsourced IT including design, installation, support, maintenance and consulting services to the SMB market. We have a rising need for smart, talented, hard-working, and capable team members who love challenges, want to know they are making a difference every day, and want to join a fast-paced, demanding and fun team of professionals.","At McLane Intelligent Solutions, we attribute our success to our remarkable staff.  We promote career growth, ongoing learning and professional development for all of our employees.  We also offer a level of dedication to our employees that is second-to-none. Ask yourself this:Are you passionate about working with computers?  Do you enjoy helping your family and friends with their technical problems?  Do you love learning and want to expand your IT skills?  Do you have experience with networks, workstations and servers? Then look no further, we want you on our team!  This is your opportunity to work with other people who are passionate about technology in an atmosphere that promotes challenge and teamwork, yet honors your life outside of work.What Do We Do?McLane Intelligent Solutions is a fast-growing managed services provider (MSP) located in Central Texas. We provide a broad range of outsourced IT services including design, installation, support, maintenance and consulting to the Small/Medium Business market. Why do you want to join us?Benefits - We have great health insurance and paid time off. We provide a weekly Awesome Teammate Bonus, where employees nominate other employees for exceptional work. Each full-time employee also has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.Growth - We work with each technician to help them become certified and advance in their knowledge of the field. We also promote from within because we believe in building our employees to be our future leaders.Extraordinary People and Culture - We pride ourselves on having great communication within our company. Each employee meets with their supervisor regularly to discuss their individual development and we have a company-wide weekly meeting to go over our companys progress.Values  Our company has five values that drive how we do business: Integrity, Service above Self, Work with Rigor at Work, Authentic Conversation, and Enjoy and be a Joy.Due to growth, we have the following positions on our Professional Services Engineering Team in Temple, TX:IT Project Manager - $40K-$70K DOE - Entry Level to ExperiencedOur Systems Engineers work as a team to design and implement solutions to complex business problems for our clients.  We are looking for the following skills:","Experience in providing customer service on-site and remote on a technical level to an end user clientExperience with LAN/WAN network technologies (i.e. installation of routers, switches, and network client software)Hands on technical PC support and service experience, desktop and laptop hardware and software troubleshooting, installation, configuration and upgradesExperience with desktop operating systems and application software products in a Windows environment.Excellent oral/written communication skills (emphasis on technical communication) in a business environment.  Must be fluent in the English language.Valid drivers license/good driving record, and reliable transportationCandidate must have ability to pass an extensive background check and drug screen.PREFERRED (but not required):Associates Degree in Computer Science, MIS, or similar discipline and a minimum of 2+ years experience.Certifications such as A+, Network +, MTA, etc., are a plus but not required.TCP/IP, DNS, DHCP and Cisco firewalls and switchesTerminal Services a plusMS Server experience a plusPHYSICAL REQUIREMENTS:Ability bend, crouch, crawl Ability to lift up to 75 lbs. Ability to use phone and phone headset Ability to type using a keyboard and mouse ","All qualified McLane Intelligent Solutions employees receive:Paid VacationAmazing Health, Dental, Vision, and prescription insurance Short-term and long-term disability benefits 401K Flexible Spending Accounts Company provided Life InsuranceAnd much more...Come explore what life in Central Texas is all about in a family friendly community and company!McLane Intelligent Solutions is an equal opportunity employer.  We consider applicants for all positions without regard to race, color, religion, gender, national origin, age, marital status, sexual identification or veteran status, the presence of a non-job-related medical condition or handicap, or any other legally protected status.",0,1,1,Full-time,Associate,Associate Degree,Information Technology and Services,Information Technology,0
6889,Senior Software Engineer,"DK, , Copenhagen",Engineering,,,"The increased accessibility and diversity of biometric sensors are changing the world within areas such as health care, education and sports  do you want to be part of an international team in central Copenhagen developing the market leading biometric research platform?iMotions is experiencing strong growth and is looking for a number of talented young developers as well as a number of experienced developers for our software development team in Copenhagen. You will be working with the latest biometric sensor technologies and leading technology partners in US and Europe within e.g. eye tracking, EEG, GSR and facial expression analysis. Our software product Attention Tool® is based on .NET, C#, WPF and SQL.You will be joining a rapidly expanding team where your inputs are appreciated and you have strong influence on your own work as well as the future organization we are building. Its an informal organization with team members that appreciate the opportunity to build best in class software that will change the world we live in. We invite you to join the team at our new location in central Copenhagen that we are taking over from Podio. Great location  great history  we are looking forward to move in September 1st.",,,0,1,0,Full-time,Mid-Senior level,,Computer Software,Engineering,0
16491,Media Planner,"AE, DU, Dubai",Marketing,,"MarkaVIP is the leading online fashion destination in the Middle East and North Africa. We build and curate amazing products and services for families that appreciate fine name brands at a reasonable price. Our team of talented stylists, technologists and creatives are leading the way in customer experience and innovative online retail solutions.","The Media Planner must have an inherent desire and need to bring something new to the table every day. Inspiration, application and leadership are going to be cost of entry. Were looking for someone equally hungry to teach and learn and that can elevate our small, yet mighty department. Think of this role as a hybrid between a strategic consultant and a digital media planner. He/She will be working in partnership with our Marketing Director to spot trends, declare the digital future and understand consumer behavior, while aligning these with business objectives to deliver performance based outcomes.  He/she will keep the department updated on competitive activity and make proactive recommendations regarding digital strategies, new technologies and measurement techniques in order to help MarkaVIP win the marketplace. Vital to our efforts is the compilation and assessment of results and trends that impact future initiatives.  We need someone who questions everything, reshapes assignments and always looks to work on the next big thing.","Leads strategic planning processes with Director, via development of strategic briefs and brainstorming sessions with individual channel, media and content teamsAbility to quickly tap into any of our licensed and/or priority competitive insight tools, knowing where and when data is valuable and able to inform strategic media and content opportunitiesSupports the growth of Planning &amp; Insights to meet revenue goals by building strategic and creative digital marketing programs in Display, Mobile, Social and other Digital componentsUse digital marketing knowledge to bring valuable customer and marketing insight to every plan/project regarding trends, behavioral shifts, attitudinal dynamics that can improve Marketing KPIsKeep current on new Ad technologies and innovative solutionsjTrafficking ad tags and creative using 3rd party as server tools (DFA/Mediaplex)Analyzing campaign performance and recommend necessary optimizationsExcellent presentation and analytical skillsDevelop and adhere to written documentation such as project plans, proposals, presentations and case studiesEffectively manage projects from start to finish, with the ability to self-prioritize and manage stressful time framesStay up-to-date with digital (Search, Display, Video &amp; Mobile trends and innovations)Discovering/introducing emerging platforms, technologies and opportunities for consumers to interact with our brand and add value to their journey.Responsible for weaving insights into strategies that inspire great and effective creative work. While digital may be at the core of his/her experience, the ideal candidate is passionate about brands and able to think strategically about applying brand-level ideas across initiatives through the funnelSkills &amp; Experience3+  years of business experience in Marketing, Advertising, or Interactive Consulting, Agency experienceDigital advertising/planning experience in research and application of marketing strategyFamiliarity and ability to apply syndicated digital researchProven ability interact and develop strong relationships across departments and internal stakeholdersUnderstanding of other digital media channels such as search, video and affiliate marketingAble to synthesize data / research into insight and media / content recommendationsExcellent presentation and face-to-face skillsSelf-starter; motivated; energetic; Strong written and verbal communication skillsDegree with preferred concentration in Marketing, Advertising, or Design ResearchFlexible and capable of managing multiple tasks in a fast paced, high volume environmentStrong organization and time management skillsFast learner/study and has a passion for lifelong learning",Competitive SalaryExciting and fast growing startup with a great cultureFull healthcare benefitsOne free return ticket per year,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Apparel & Fashion,Marketing,0
15635,Technical Support Specialist,"HK, , Hong Kong",IT,13000-18000,"At Hayes-Corp, we create the fun stuff.  With a focus on software development for mobile platforms, we are constantly looking for talented people that can think outside the box logically and creatively.  Whether you define yourself as a coder, a marketer, or a number cruncher, we give you the resources to excel at what you do best. If you dont see a position you like, but think you have what it takes - just send your resume to #EMAIL_eaa37b5bf6cd5f435db52ea17edfd1f61c685afdf336f31ca36d3514a139e79e#.","Hayes Corp is looking for a candidate with sufficient IT skills and knowledge to support the companys rapid growth.This position does not involve mobile app development.Your main responsibility is to support mobile development through certification and codebase management, and binary compiling.ResponsibilitiesCoordinate codebase and binary filesWork with various departments to ensure apps are launched quicklyCompile and upload via Xcode and GP/iOS platformsFixing simple ad hoc coding issuesGithub or Bitbucket troubleshooting and management","Diploma or above in IT, Computer Science or other related disciplines1 year or above experience in Technical Support Roles, practical experiences in programming is a great advantageInterest OR experience in iOS/Android development platforms, knowledge in mainstream codebase management systems (Git, Mercurial, Subversion, etc) is preferredKnowledge in systems, technology, system driven processesAbility to work in a highly challenging, fast-paced, and changing environmentAttention to detail and an ability to provide strategic insights in delivery and continuous improvementAbility to communicate effectively and demonstrate ability to deal with ambiguityAdaptable, flexible, open to new ways of thinking and diverse cultures and a positive results orientated attitudeFluent in English and Chinese Fresh Grads are welcome to apply as long as you have a relevant education certificate","Medical Insurance provided after probationCompetitive Salary and Benefits Open working environmentA lot of new technologies involved, such as Apple, Google, Android and so on",0,1,0,Full-time,Entry level,Vocational,Computer Games,Information Technology,0
15174,"Sr. Manager, Global Solutions Architects ","US, CA, Redwood City",IT,,"Equinix is the leading global interconnection platform, accelerating business performance by connecting companies to their customers and partners inside the worlds most networked data centers. More than 4,000 of the worlds most demanding customers trust Equinix to provide a place where they can run their mission-critical applications and grow their businesses.Equinix has a mission to interconnect the world and create a historically significant company in theprocess.","THE COMPANY: EQUINIX [NASDAQ:EQIX]Equinix is the leading provider of network-neutral data center and interconnection services and helps the worlds largest networks, content providers, and enterprises scale through a global data center footprint and unparalleled bandwidth exchange opportunities. More than 4,000 of the worlds most demanding customers trust Equinix to provide a place where they can run their mission-critical applications and grow their businesses.  Equinix has a mission to interconnect the world and create a historically significant company in the process.Highlights…~$2 billion company with close to 3500 employees worldwide, and quickly growing. Forbes named Equinix the #15 Fastest Growing Technology Company in America. Top notch leadership team with employees dedicated and committed to customers and each other.Equinix Corporate OverviewEquinix Ranked Number 271 Fastest Growing Company in North American on Deloittes 2012 Technology Fast 500Equinix to Join the NASDAQ-100 IndexOur Vision &amp; HistoryGreen Initiatives  Working Toward a Sustainable Future THE OPPORTUNITY: Sr. Manager of Global Solutions Architects (GSA)  The Sr. Manager of Global Solutions Architects (GSA) will manage a new Sales support capability for Equinix by providing consulting services targeted at companies with the most demanding network capacity, interconnection, and performance needs, such as digital content and media, gaming, content peering, and financial trading customers. The candidate will ideally be located in one of Equinixs existing U.S. cities, will report to the Sr. Director of Global Solutions Architects, and also requires significant cross-functional coordination with Sales and Product Marketing organizations. The position is a high-visibility leadership position both within and outside of the company, and as such, the ideal candidate will possess a strong balance of self-motivation, senior technical skills, advanced client handling, and prior experience supporting recognized Internet companies. Specifically…Providing leadership and guidance for a regional team of Global Solutions Architects.Act as a player / coach.Setting and managing quarterly MBO objectives for team members.Building a network consulting practice to grow the quality and quantity of the companys business focused on network interconnection and bandwidth delivery.Cross vertical focus on Network infrastructure, Data Center capabilities and Cloud/IT deployment models.Understanding how to intuitively work with existing sales, solutions architect, sales engineering, and product marketing teams and objectives.Conducting a focused effort toward a specific set of target customers.Understand the goals of the company and position, and effectively develop strategies to meet those goals.Bridge the networks, data center and cloud deployment models to ensure Equinix customers realize the greatest ROI on their partnership with Equinix.Continually refresh knowledge to maintain industry leadership.Develop SOWs to win consulting projects.Understand how to conduct requirements, design, implementation, and operations phases of a project.Plan, execute, and communicate the results of the work required in the SOW.Organizing additional technical resources within the organization to address client needs when necessary.Medium travel to Equinix HQ, industry events and customer engagements.","EDUCATION: BS or MS in engineering or related technical field.  Certifications highly desired.EXPERIENCE: 10+ years of proven experience in the areas of Network services provider for financial services sector, with background in pre-sales network architecture and planning role, interfacing with financial Exchanges and market data providers.Knowledge and/or experience with direct Exchange feeds, Reuters and Bloomberg, and any market data trading floor products.Substantial telecommunications experience required; technical and commercial knowledge of network operator topology and architecture and IP routing strongly preferred.Proficient in router and switch features and configuration, BGP and other routing protocols, performance measurement, tuning and scaling, and reliability and security techniques.Must have a thorough understanding of, and experience with, servers, storage, layer 3 networking and enterprise software.Candidate will exhibit confidence and influence when presenting verbal and written proposals to prospects, structuring consulting agreements, and be comfortable developing SOWs and related consulting deliverables.Candidate will be comfortable speaking at conferences, acting as an authority in his field, and prospecting from industry events.Candidate must be comfortable handling all phases of a consulting engagement, and will ideally show potential to attract and manage additional talent over time.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
12215,Product Owner,"GB, LND, Shoreditch",,,"ustwo offers you the opportunity to be yourself, whilst delivering the best work on the planet for some of the biggest and most innovative brands. A culture thriving on collaboration underpins what is an amazing work smart/ live well environment.We genuinely care about the work that we deliver and the people who help make it all possible. We only invest in projects, people and practices that we believe in, to ensure we remain excited about every opportunity. ","As a Product Owner you work as a part of a cross-discipline team to deliver groundbreaking and amazing digital products and services. You are the voice of the product and the bridge between the users and the business. You will help define the product vision and drive the product strategy through hypotheses, tests and iterative development in order to deliver well executed products that add real value to users and the business. You are a part of a team together with designers, developers, testers, coaches, and more, with a shared commitment and responsibility towards creating fantastic products. Each team member brings their skills and experience to the mix through cross-discipline collaboration. With your experience you help facilitate execution of the product vision as a part the team. You need to be a pragmatic in helping to make sure that the right decisions are made for the product.",• Facilitating production vision together with the business • Creating a product strategy that meets the vision • Clearly communicating the product vision to the team • Defining product assumptions and hypotheses to put them to the test • Work as a part of the product team as the leading light for the product • Being able to motivate and excite teams and stakeholders • Showing inspiring leadership for the product vision • Managing the relationship with and the expectations of the product stakeholders • Focusing on the business outcomes and prioritising the work accordingly • Promoting and facilitating cross-functional teamwork • Working with the team to develop testable hypothesis and help define the backlog of work • Working with the team on the design and analysis of appropriate metrics and analytics data to help make informed decisions,"Above anything we are a people centred company that strives to create the best opportunities for the best people in the industry. We just so happen to have an amazing studio space and great tools for learning and sharing.We offer a competitive package, including but not limited to company wide profit sharing platform, company pension, life assurance, private medical, training budget and amazing family policies.25 days holiday are offered as standard, inclusive of studio closure days over xmas.We also promote flexible working days.",0,1,1,,,,,,0
7563,Texas Communication Assistant,"US, TX, Lubbock",CSD Relay,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","Communication Assistants provide communication access for deaf, hard of hearing, and speech impaired consumers through the telephone network by relaying telephone calls using voice and text.Accept and place local and long distance relay calls for consumers.Follow desired method of billing instructions and enter billing information into the system.Translate electronic messages to voice messages and voice messages to electronic messages.Translate sentence structures and language patterns from American Sign Language (ASL) to English and English to ASL to ensure the correct message is relayed.Convey the callers actual feelings and emotions.Relay contents of the call as accurately as possible without intervening in the conversation.Maintain strict consumer confidentiality and professionalism.Please note that we recruit for this position on an on-going basis in order to build a talent pool of potential candidates. When a position becomes available, we will review applicants at that time. Due to the volume of resumes that we receive, only those candidates selected for interviews will be contacted. Thank you for your interest in CSD!","A minimum of a High School Diploma or equivalent.At least 18 years of age or older.Typing skill of at least 50 WPM using a personal computer.Ability to work various schedules including weekdays, evening and weekends.Ability to learn ASL syntax.","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career page.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, or national origin, including individuals with a disability and protected veterans.",0,1,1,,Entry level,High School or equivalent,Telecommunications,Customer Service,0
1163,Graphics designer,"IN, , Indore",Designing,,"Being into the IT domain for over 4 years, Walkover specializes in web based application development and mobile application development. It has been creating innovative products since it was founded and continues to introduce new products from time to time.Technologies we useCore PHP, Python, #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#, Android, Windows, open source software and powerful AWS servers. Walkover uses the best available technology - premium version of databases and so on, so that our products work smoothly.Key ProductsMSG91 (SMS solutions provider)MSG91 is an internet based service that allows you to send SMS and critical alerts to the masses.Product info:#URL_dec6f6c8d9574a0bc1a076d97338f24cd6025226211457c53c3687296348c0f4#UtterU (International calling)UtterU enables international calling by using mobile dialers, access numbers and other ways like two-way calling.  It helps you connect all over the world at very low cost.Product info: #URL_c7cee061daffb40439ee81a61a60ff7f68ca42dd3fd22258a611ff29d71dbae5#Giddh (Accounting software)Giddh is an extremely simple to use Accounting software that manages your day to day financial transactions in a systematic way so that it enhances the efficiency of your business.Product info: #URL_50c92cae3ee0f3f612d663ce1c86fd894e4c1a6247b98a273f0c828e13c68de2#Saveandsearch (Save your documents now to search them later)A web based tool which enables you to save anything and search later.Product info: #URL_cbcbf9e91b0d65022dfffc9fcab1f6005b8f6f42b967c08f21d13332ff197003#","Did you know that everything around you comprises of well-designed stuff? You, me, our Country, the roads, it is all designed. So it's a chic thing that you are applying for the post of Web designer!So, let's design this:If you are asked to design the next Android OS for the Samsung Note, what will it be like?Send us your portfolio, ONLY best work. It will help us to call you soon. Because we might just consider raw persons, but raw work? Nah!Must have's:Fair understanding of Graphical User Interface (GUI)Strong and creative Graphic designing skills.Web standards compliance and basic accessibility issues.Sound understanding of layout and symmetry.Ability to work on layout tools like: photoshop, corel draw, illustrator, fireworks.",Experience:1 year preferred.We welcome exceptionally creative fresh minds too.,,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Design,0
8417,Sales Executive,"LV, RIX, Riga",,,"Infogram is the data visualization product that brings out the best in your data. Our infographics and charts are quick to use, fast to share, and easy on eye. Our customers range from small businesses to global media organizations, and we've been awarded multiple times during our short but fast-paced history. You can check us out here and try out the product.","You have at least 2 years of experience in sales or account management, preferably in the field of technology, IT solutions or online services and a good track record in sales target execution and winning new clients.#URL_db4ad142460080576265b583c6db247cfc5f882a67d57d5b0f281109e16c872e# is the data visualization service that brings out the best in your data. Our infographics and charts are quick to use, fast to share, and easy on the eye. Our customers range from small businesses to global media organisations, and weve been awarded multiple times during our short but fast-paced history. We work have a freemium business model and are looking for additional talents in our sales team to sell our premium subscriptions and serve our great customers.As a Sales Executive you will be responsible for identifying and approaching potential customers with the aim of winning new business. Your customers will be global businesses, organisations, media companies and educational institutions. You will be a part of the #URL_db4ad142460080576265b583c6db247cfc5f882a67d57d5b0f281109e16c872e# sales team, located in Riga, Latvia.Your responsibilities include:Build in-depth expertise of Infogram data visualisation service and its use cases;Build in-depth understanding of business challenges and needs of our customers;Identify and approach potential customers with relevant business offers;Negotiate with potential customer until closing the deal;Fulfil monthly activity-based and result-based targets;Respond to incoming inquiries by potential customers;Represent the company in industry events - international conferences and exhibitions;Prepare and customise our sales materials to address the needs of our target customers.","BA/BS degree;At least 2 years of experience in sales or account management, preferably in the field of technologies, IT solutions or online services;Track record in sales targets execution or management of accounts;Track record in winning new clients;Ability to translate product features into customer benefits;Excellent communication skills in English, both verbal and written;Excellent presentation skills;High level energy and enthusiasm;Proactive and creative approach towards new business opportunities;Utmost responsibility towards the quality and results of your work.","A great, energetic office, in the heart of Riga, stock options in a fast-growing company, and the opportunity to shape the future of the organization.",0,1,0,Full-time,,Bachelor's Degree,Information Technology and Services,Sales,0
13182,"Make $32/hr to $88/hr All Professional Makeup Artists, Hair Stylists, Estheticians, and Massage Therapists","US, CA, Los Angeles, California, San Francisco, California, San Jose, California, Manhattan, New York, Las Vegas, Nevada, Phoenix, Arizona, San Diego, California",,,,"Glossifly is Hiring! Glossifly is looking for Exceptionally Qualified Makeup Artists, Hair Stylists, Estheticians, and Massage Therapists.About Glossifly:Glossifly #URL_40a1e94716225979a6d83d3ad32fd028d99ec9da65a795367a2f050bd1fb05e7# brings gloss on the fly, whether it is makeup, a blowout, a facial, or a soothing massage. A womans smile shines brighter when there is a touch of gloss added. We are committed to bringing beauty on-demand in the comfort of your own home, hotel room, office, party, before an interview, a photoshoot, or any location of your choice. Highly qualified Glossiflyists will cater to you and glossifly you whenever and wherever you are. Theres no need to drive to a spa or hair salon and deal with the dreaded wait time. All you need to do is download the app or set up an appointment online. You then wait to be glammed, dolled up, and luxuriously pampered by one of our qualified Glossiflyists.Why Work at Glossifly?Flexibility! You can work as few or as many hours as you want. You set your own hours. You can be a freelancer or already work at a prestigious salon or spa. Many of our Glossiflyists are already working at renowned spas and provide at-home beauty services to clients.Be a Leader of the mobile movement! Everyone enjoys the convenience of mobile these days and beauty on-demand is absolutely essential. You will be the forerunner of the movement by providing on-demand beauty services to clients wherever, whenever.Excellent Pay! Make anywhere from $32/hour to $88/hour!  We are high in-demand providing exceptional beauty services. You wont worry about being under booked.Rewarding! Beautifying and pampering clients will enable you to utilize your exceptional skills and truly make your clients feel better about themselves!No day is ever the same! Whether you are providing a soothing massage at a clients hotel room, or a blowout at a clients home, photo shoot, bachelorette party, or before an office interview, you will always be enriched with new sights and experiences!Requirements:All candidates must possess an esthetician license, stylist license, cosmetology license, or massage therapy license in their designated state. Candidates must pass a stringent background check to ensure there is no criminal history. Candidates must be upbeat, bright, and have a cheerful personality. They must be well-groomed and presentable at all times. Punctuality and reliability is required. They must also have excellent communication skills and cater to their clients needs at all times. It is essential for candidates to have reliable transportation as they will be required to serve clients within a 15 mile radius of their residence.Job Duties:Makeup Artist:Makeup Artist is required to apply makeup on clients and create various looks that enhance each clients individual features. Makeup Artist must supply their own makeup products and tools.Hair Stylist:Hair Stylist is required to perform blowouts for clients and create versatile looks. Hair Stylist must provide their own blow dryer and styling tools.Esthetician:Esthetician is required to provide facials to clients and must supply their own facial products.Massage Therapist:Massage Therapist is required to perform soothing massage strokes to clients and create an overall relaxing environment. Massage Therapist must provide their own massage table. ",,,0,0,1,Other,,,,,0
9326,Part-time Administrative Position,"US, AZ, Scottsdale",Office,,"LetterStream is an innovative printing and mailing company leveraging automation to assist our customers in accomplishing their communication needs.  We hire awesome people to join our team and to participate with us to accomplish our goals.We are known in the industries we serve as the leader in speed, excellence and customer service.  Our customers love doing business with us because we take stellar care of them.In order to offer such exceptional service, we need individuals who are committed to our goals, who can put customers and co-workers ahead of their needs and who can engage with our automated processes.If you are an likeable person who has a desire to do things right and learn new skills, you might be the right person to join our team.One of the great things about working at LetterStream is that you don't have to show up with all the answers, you just need to show up ready to join your fellow team members in their committment to making LetterStream a great vendor and a great place to work.Visit our website #URL_dd75b3e8ea18c4a6a4127c39b8c7fc73c0c7f2fd09f5cc4f2c2bf11ca66abfb4#Visit our blog #URL_41c2fe019cd82c57e7d9516f3f0409977e28fe56de847c276ab2131b250e0abb#","Part time position available immediately to assist in our admin (administrative) department.Tasks include:- getting, sorting and opening mail, scanning documents- entering information into our accounting software- labeling file folders and filing documents- light data entry work- and numerous other tasks that may be assigned.We are looking for someone who can work weekdays from 1:00 pm to 4:00 pm or 11:30 am - 2:30 pm, Monday thru Friday in our North Scottsdale location.This is a great job for high school or college students.  It also works well for parents who drop off and pickup up school children.Starting pay $9.00 DOE.",No experience necessary as we will train you to perform all tasks that are assigned to you.Must have reliable transportation and be able to show up when scheduled to work.Must have a friendly personality and be willing to accept training and instruction from others.This job requires someone who can pay attention to lots of details and who can focus on doing their job accurately each and every day.,We offer a friendly yet productive job environment. In this position you will be a part of a team and will contribute meaningful productivity to the organization.,0,1,1,Part-time,Not Applicable,High School or equivalent,Printing,Administrative,0
7350,Computer/Electronics Engineer,"GR, I, Penteli",IAASARS,,"The National Observatory of Athens (NOA) was established in 1842 by the Vienna-based national benefactor George Sinas. It carries out state-of-the-art basic and applied research in collaboration with other world-leading research centers.  The activities of NOA are organized in 3 institutes staffed with high quality scientific, research and technical personnel:  the Institute of Astronomy, Astrophysics, Space Applications and Remote Sensing, the Institute of Environmental Research and Sustainable Development, and  the Geodynamics Institute.NOAs research activities are focused on the terrestrial interior, the atmospheric environment and Space, from the interplanetary medium to the astronomical Universe. Basic and applied research is conducted by using observational data from hundreds of ground based stations and several modern space probes. The Centre, with its rich scientific outcomes and activities, is linked to entrepreneurship, culture, education and the popularization of science. NOA offers critical social services, such as a daily monitoring of seismicity and issuing earthquake alerts to the Greek State Authorities on a 24/7 basis, weather forecasting, forest fires monitoring, ionospheric activity recording, continuation of a 150 years long climatic dataset and operation of one of the largest European telescopes. It also provides the national gate to the European Space Agency. The research centre has also an important contribution to public outreach via its popular visitors centers at Penteli, Thission  and the Geoastrophysics Museum.","The Institute for Astronomy, Astrophysics, Space Applications and Remote Sensing (IAASARS)of the National Observatory of Athens invites applications for two positions related to softwareand engineering support for the instrumentation of the 2.3m “Aristarchos” Telescope. Bothpositions are funded by the “KRIPIS/PROTEAS” program of the Greek Secretariat of Researchand Technology.Computer/Electronics Engineer: The individual is expected to have a background in computerand/or electronics engineering. She/he will support all aspects of systems engineering,automation and control of the 2.3m telescope and its instrumentation. A degree from a TechnicalEducation Institution in the field is a minimum requirement, while previous experience insupporting scientific instrumentation is highly desirable. The candidate is expected to spend mostof her/his time during the observing season at Helmos Observatory, the site of the telescope,near the city of Kalavryta. The duration of the contract is 15 months with the possibility ofextension. The net monthly salary is €1300.For more information click here.",Degree from a Technical Education InstitutionBackground in computer and/or electronics engineeringExperience in supporting scientific instrumentation is highly desirable,The net monthly salary is €1300,0,1,1,Contract,Mid-Senior level,,,,0
10705,Front-End JavaScript Developer,"GR, , ",SW,,"Crypteia Networks delivers a patent-pending technology that identifies zero-day threats on their infancy along with misconfigurations on the already deployed defenses, as to provide visualizations of the threats paths and provide suggested mitigation actions (MOREAL™). This enables enterprises to add a new layer of security in their environment by expanding the intelligence of their already deployed security mechanisms, maximize the value of the logs they are already generating &amp; collecting without any change in their infrastructure. The solution is non-intrusive, OS independent and comes with zero integration pains. Crypteia Networks is a PCCW Global company. ","We are seeking for a javascript expert who is excited about delivering native like, awesome frontend functionality on the browser. You will be mainly consuming our big data analytics  API, developing the visualization layer in #URL_1d0f9eb2a7073ab63d5cfc0f9762fb40962b2b8ad1607a31c869aa4fd0382977#.","Strong javascript backgroundKnowledge of modern js frameworks, preferably #URL_5eeeab414a831563b0294f53edd871b2bc8fc7000cab17e6b33cf60192cdbc14#Git userFamiliar with js integration testingIn depth knowledge of HTML, CSS and JSPerfectionist, fast learner, communicative",Continues training and certifications enrollments.Pleasant working environment with young people.Ability to grow with the company.,1,1,0,Full-time,Mid-Senior level,,Computer & Network Security,,0
5171,Data Engineer,"US, CA, San Francisco",,,"Shyp is the easiest way to send anything, anywhere.Here at Shyp, were building the #1 brand consumers and small businesses trust for shipping their packages, and in the process fundamentally disrupting a 220 year old #URL_5e3cdedac8b912c62b6523c7af01360e03d0cb98631134035b2ddbaecdee14dd#re building a customer-centric organization that encourages customer service to permeate the decisions and attitudes of the entire company. Our culture is collaborative, focused and fast-paced. We have an incredible team and are well funded by some amazing investors. Come join us.","We're looking for an exceptional data focused engineer. Someone who can become one with not only our data but also our processes and products. An ideal candidate must be hungry to lead the charge in identifying useful metrics we are not tracking yet and articulating new questions we really should be asking. Then, embedding with the product teams to carry their insights into tangible improvement. ","Exceptional background and education in analysis techniques and toolsExperience with wrangling large data sets in multiple types of data storesStrong knowledge of experimental design and interpretation of results.Worked on collaborative projects with lots of moving partsKnowledge of performance and optimization techniquesExcellent problem solving, critical thinking and communication skills",,0,1,0,Full-time,,Bachelor's Degree,Logistics and Supply Chain,Engineering,0
12692,Business Development Executive ,"ES, , Barcelona",Operators,,"ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Barcelona, Dhaka and San Salvador. Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.","Business Development Executive (10 Month Contract)Location: BarcelonaAre you passionate about new challenges? Interested in searching for new markets and enjoy working in an international environment? If you are highly motivated, enthusiastic, proactive and love working as part of a large international team - this just might be the role youve been waiting for…Were looking for a talented Business Development Executive to join our International Operators team, who are responsible for approaching new operators and managing existing partners. Working alongside the teams in Dublin, Dubai and El Salvador you will be the main point of contact with our operators.On a day to day basis this role will include contacting existing and new mobile operators, working with different internal teams to ensure that any issues are dealt with quickly and using the highest standards possible, reviewing sales figures and coordinating marketing campaigns to push sales and create awareness about our product.This is a 10 month maternity cover contract, beginning in January 2015 and you will be based in our Barcelona office.  This is an exciting chance to Top-Up your career in one of Irelands fastest growing technology companies. An amazing opportunity not to be missed! Does this sound like you? You are target driven, love working on your own initiative and are strongly motivated by successYou get a kick out of negotiating and love to influence and build new relationshipsYou know how to follow a sales process, overcome objections and find solutions to maximise revenue  You have commercial awareness , understand business dynamics and have the ability to spot new sales opportunities and identify potential customersYou can coordinate creative marketing campaigns to increase awareness and drive revenueYoure customer focused and a natural problem solverSuper organised with great attention to detailBrilliant communication and interpersonal skillsPassionate about travellingBefore you hit apply, here are a few other things were looking for!A degree in Business or equivalent qualification and/or experienceExcellent B2B sales and account management experienceA whiz with MS Word, Excel and Powerpoint (you produce and present exciting presentations!)Proven diagnostic, problem solving and decision-making skillsAwesome analytical skillsFluent written and spoken English  P.SIf you could speak a second European Language that would also be great! (Russian in particular would be a distinct advantage) Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises. Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.ding*ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives. It is an exciting time at ding*, our CEO is the winner of the Ernst &amp; Young 2014 Irish Entrepreneur of the Year and were also the winners of the Deloitte Technology Fast 50 Award in 2012 &amp; 2013. Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Dhaka, Barcelona and San Salvador.   ",,,0,1,1,Contract,,,Telecommunications,,0
7999,Graduates: English Teacher Abroad (Conversational),"US, CT, Mansfield",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Excellent for student loans/credit cardsHousing provided (Furnished/Private)Airfare ReimbursedRemind us when you wanted to start when applying :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
74,Specialist Obs/Gyn,"AE, DU, Dubai",,,,A well-known hospital in Dubai is looking for a full - time Specialist Obs./Gynec. (having DHA/HAAD/MOH License) to join in the institution. Eligible &amp; Interested candidates can forward their CV to us and we will be in contact with you.,"Must have either any UAE License, DHA/HAAD/MOH. DHA &amp; HAAD are preferred. ",Attractive salary plus other benefits &amp; incentives.,0,0,0,Full-time,,,"Health, Wellness and Fitness",,0
16375,Mechanic ,"CA, , London",Fleet,,"Voyageur is one of Ontario's leading transportation companies, serving Southwestern and Central Ontario for over 34 years. Voyageur offers professional passenger transportation solutions in four different business segments: Non-Emergency Medical Transportation (wheelchair and stretcher transfers), School Bussing and Charters, Public Transit (Conventional and Para), and Checker Limousine. We also provide various Training Solutions including Red Cross First Aid and CPR and First Responder training, licence upgrading and various Health and Safety Programs. We continuously focus on safety and driver training. A careful recruitment process, a comprehensive orientation program, regular road evaluations, performance appraisals and defensive driving education programs assist us to maintain the standards of our drivers and the quality of the services they provide. Much of our management team and seasoned drivers have been a part of the Voyageur team for many years.","Together were going places!  Voyageur Transportation Services is currently recruiting for a Mechanic. With a large emphasis on training and orientation, Voyageur dedicates itself to supporting the growth of our employees by allowing them to develop the necessary skills in order to be successful. We provide our employees the necessary training tools in order to service our customers and clients more effectively. We remain true to our traditional family owned and operated values through our value added services, commitment to our community and our continued services provided by our long term standing employees.     ","QUALIFICATIONS:            Candidates should ideally demonstrate the following:-    Minimum 310S/310T licenceODP certification &amp; A/C experienceMinimum of 3 years work experience as a mechanicExcellent proven customer service experience in a Fleet EnvironmentMedium duty Fleet MaintenanceBe able to register as a Motor Vehicle Inspection Mechanic with MTODemonstrated leadership and team-building skillsExcellent organizational and problem-solving skillsEffective communication (both written and verbal)Has experience and working knowledge of Diesel, Gasoline and Propane systems with electrical experience, diagnostics &amp; repairBasic computer skills-Focus on Preventative MaintenanceDUTIES:Evaluate what repairs are needed to make vehicle safe and able to be back on road as soon as possibleUse parts from inventory or order parts necessary through Fleet Manager to make repairsComplete repairs in a timely manner-Communicate status of vehicle and estimate down time to Fleet Manager and Division Manager-Service vehicle in accordance with corporate policies and proceduresKeep work environment clean. Clean up spills as they occur and general shop keepingComplete required reports as directed (i.e. Sign-off on driver initiated repair logs and log books, PM service logs by division)-    With assistance of Fleet Manager, prioritize vehicle repair and service schedules as changes occur","Additional Information:This is a full time nights position, 40 hours guarenteed (11:00pm - 7:30am) Sunday - Thursday; No flat rate. Competitive wage, benefits, includes annual boot and tool allowance.If you require an accommodation due to a disability for an interview, please notify Human Resources directly to make appropriate arrangements when contacted for an interview. Voyageur Transportation is committed to provide accommodation for individuals with disabilities throughout the recruitment process. Accommodations are available upon request of the internal or external applicant. We look forward to working with you! Thank you!",0,1,1,Full-time,Entry level,,Transportation/Trucking/Railroad,Engineering,0
16631,Sales Representative,"US, IL, Chicago",Sales,,,"Work with a company that is focused on providing the most cutting edge products in the Insurance industry. If you're financially motivated and enjoy offering products and services that people want and need- we want to hear from you.  Our Sales Representatives receive first-class training, a high weekly base salary, plus commission and bonuses. If you are driven and eager to learn- we will train you. ",Basic Computer SkillsAbility to pay great attention to detailGreat phone voice/ettiquette Candidates must have a home computer with reliable Internet and a USB or corded Headset,"Weekly base pay of $500, Commission, and bonuses.Paid Training.",1,1,0,Full-time,Not Applicable,High School or equivalent,Insurance,Sales,0
10362,PLATFORM SOFTWARE ENGINEER,"GB, LND, London",Engineering,,"Pollen gives app developers faster access to revenues theyve already earned from the app stores, which can immediately be channelled back into user acquisition. This means precious venture capital can be funnelled into product development and growing the business. With Pollen, yesterdays sales fuel todays growth.Pollen was conceived by a team of mobile technology and finance entrepreneurs who have first-hand experience of the demands and challenges faced by early-stage technology businesses. We learned, often the hard way, that in the fast-moving world of apps, early access to capital is crucial to success and growth. Without access to funds for user acquisition, smartphone apps often disappear from visible app store rankings and fail to gain traction after the initial launch. With headquarters in San Francisco and London, Pollens leadership team encompasses significant expertise in the mobile industry and financial services sectors. We are incubated by YUZA, one of Europe's leading app experience companies. We are already working with app developers, helping them use Pollen to grow their app store revenues and chart positions. We would love you to join us and help us shape Pollen. #URL_8dd7fdaa3afc19f5fc54ef529aa22f87038e91538ffc93ab066310ca62baec82#  Follow us on Twitter: @PollenVC ","Pollen VC is looking to hire an experienced software engineer to join a talented and multi-disciplined team who have already created digital experiences that have made headlines around the world. We want to expand this team to enable us to increase productivity and allow for more projects to be simultaneously undertaken. This is an opportunity to work with like-minded individuals who share the dual goals of creating fantastic user experiences and rewarding commercial enterprises.This role sits below the Director of Software and CTO of YUZA, who are working to bring the engineering elements of the Pollen platform to full operational capacity.As a Senior Engineer, you will be expected to:Implement product features in a timely manner with a high level of qualityDraft software design specifications • Mentor junior engineersProvide technical guidance to stakeholders concerning design decisionsImplement product features in a timely manner with a high level of qualityIdentify design faults early in the development cycle and inform the DoSWork closely with QA team in tracking and measuring bug flowABOUT YOUYou are reliable and professional with an outstanding work ethic, with the ability to take control of a task and see it to completion efficiently. A proactive and pragmatic nature is necessary to efficiently work through issues without management involvement. You understand our philosophies and want to be part of a core team. You can be relied on under pressure, and you enjoy working in ego-less teams. With your team-centric and egoless approach to software development, become an integral part of our well-rounded team of professionals. Use your software development experience and programming skills to create software that is cutting edge, challenging and provides enormous job-satisfaction.","BSc/MSc in Computer Science, Engineering or a related subject3+ Years Commercial Software development experienceStrong background in programming languages to include Objective-CExpert knowledge of Xcode and debugging techniquesBroad experience and expertise with 3rd party libraries such as Parse, Facebook SDK, etcExperience with GIT and other source control systemsExperience with bug tracking methods and softwareExperience of both working within a team and individually to achieve goalsExcellent written and verbal communication skillsStrong organisational and time-management skillsGood knowledge of whats going on in the industry technically and commerciallyTECH STACK: Python, Django, Java, PostgreSQL, Amazon Web Services",Work for fast-moving and exciting start up at the forefront of the ever-changing London tech industry Work in the centre of SohoFlexible hours - core hours 10am - 6pm Monday to Friday but occasional additional hours / weekend work will be recompensedPLEASE NOTE THAT POLLEN VC ONLY RECRUITS DIRECT - WE DO NOT RECRUIT THROUGH AGENCIES.,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Engineering,0
10440,Accounts & Office Administrator,"NZ, N, Auckland",,,"Blender Design is an award winning product design consultancy based in Auckland, New Zealand. We are a team product design and development specialists serving a range of industries. We build successful products and businesses from great ideas.We design and develop: consumer electronics, architectural hardware, marine &amp; outdoors, consumer products, office furniture, animal health, clean-tech, electric vehicles, and more ...We partner long term with our clients to add value through design and innovation; providing a competitive edge in the market. From simple sketches or detailed drawings we offer our fresh creativity and technical expertise to develop market oriented solutions. ","We are looking for a bright, friendly, and outgoing person to keep our awesome office running smoothly, make our clients feel welcome, and to help organize our busy MD!Blender Design is an award winning innovative product design consultancy based in Auckland. We are a dynamic team of product design specialists serving a range of industries. We shape great ideas and build them into successful products.Blender is moving into a new phase of company growth - and we're looking for top notch people who want to be involved in something awesome!We are a dynamic company of young creative minded people specialising in product design and development of consumer electronics, marine equipment, outdoor equipment, and more.This position will be based at the front desk so you will be the director of first impressions, as well as the go to person of the office. Every day will bring new requirements and you will need to roll with them in true admin style ;) This is a flexible part time role, and while hours of 10am - 2pm are preferred we are flexible and can tailor to suit the right person.The role also has some Personal Assistant elements to it including assisting the MD with scheduling meetings, email filing and travel arrangements.  You may seek to expand your role into the areas of Health and Safety and Human Resources and Recruitment.As a valued member of the team, you will be involved in helping design world class products, and so expect to be involved in the occasional design meeting and user testing some of the products we create.If you are looking for variety, this is the position for you!","This role requires you to...Be enthusiastic, pro-active and self-motivatedHave initiative - see what needs doing and do it.Have an outgoing and bright personality, and take an interest in the new products we are creating.Demonstrate a professional appearance, phone manner, and communication skillsBe passionate about your job and complete every task with 110%Be organized and have the ability to organize othersHave a great attention to detailBe able to juggle multiple tasksBe willing to learnShare ideas and help to create effective office administrative systemsBe familiar with payroll systemsBe familiar with Xero accounting softwareHave excellent MS Word, PowerPoint, and Excel skills.Have experience with travel and accommodation bookings. Have no fear of computer systems or numbersIt would be cool if you...Have some experience with WorkflowMax softwareHave some experience using Adobe Software (photoshop, indesign, illustrator)Have some writing and photography skillsHave some background in H&amp;S and HR.Have experience as a PA or EA.","Well, apart from paying you in the currency you desire (gold, bitcoins, or just cash!) you will be part of an awesome team of innovators, creators, and do-ers that enjoy building new products the world hasn't seen yet.And, we offer a creative team working environment in our office in Auckland, New Zealand, with coffee machine, the latest computer equipment, 3D printer, prototyping workshop, and other cool stuff to play with.Wages will be commensurate with your experience and ability. Only short listed applicants will be contacted. Make an impact and join the team!",0,1,0,Part-time,Entry level,High School or equivalent,Design,,0
6178,Account Executive (Western Region),"US, , Western US",Sales and Marketing,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","The Account Executive is the lead individual responsible for steering our revenue opportunities through development and maintenance of relationships with key stakeholders and customers. This position is responsible for the development and cultivation of a robust national sales funnel and is accountable for achieving predetermined benchmarks for new business income. Responsibilities  Responsible for all activities related to moving opportunities through the sales pipeline (prospecting, qualifying, proposing, negotiating, and closing). These activities include the development of quality presentations and proposals that optimally represent the full continuum of services offered by CSD. Accountable for the vigilant documentation of all sales activities using a company-provided CRM so that progress can be easily tracked and reliable revenue forecast models can be generated for business use.Promote and sell products/services by establishing contact and developing relationships with new prospects; recommending solutions.Assist with research and information gathering to enable the company to put forth the strongest possible proposals for RFPs.Maintains relationships with clients by providing support, information, and guidance, researching and recommending new opportunities; recommending profit and service improvements.Leverage professional and community networks to generate intelligence that supports the development of discrete sales opportunities, especially opportunities that are in excess of $1M per year in revenue.Lead the cultivation and retention of all existing customers of CSD that spend in excess of $250,000 per year with the company. This can include customers within the areas of telecommunications relay services, contact center services, and interpreting services. CSDs existing client portfolio includes both corporate and government accounts.Prepares reports by collecting, analyzing and summarizing information.Contributes to team effort by accomplishing related results as needed.Participate in national marketing, community and public relations events as requested and serve as a company spokesperson when asked to support this role by the Director of Business Development. ","Bachelor's degree in Marketing or related field, or equivalent combination of education and experience.4-7 years of experience in managing client (corporate and government) sales opportunities. Proven track record of revenue generating performance is essential.Ability to effectively communicate in American Sign Language.Experience with different sales techniques including relationship management, networking, lead generation, all with the ability to capture and close the deal.Extremely proactive, motivated, organized, responsible and able to work in a fast-paced, team oriented environment.Ability to interact with high-ranking public individuals and to effectively communicate objectives across division lines.Ability to communicate effectively with target audience and must have experience in writing complex proposals.Experience in working with the deaf community.","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career's page.Communication Service for the Deaf, Inc. is and Equal Opportunity Affirmative Action Employer and drug fee and tobacco free workplace.",0,1,1,Full-time,Executive,Bachelor's Degree,Executive Office,Sales,0
16244,Sales Director (NYC),"US, NY, New York",Sales,,"Flite delivers ad innovation at scale to the world's top publishers and brands. Marketers use the self-service Flite Platform to reduce the complexity and cost of delivering differentiated ad products that engage their audiences. The Flite Platform serves, updates, and measures advertising in real-time and integrates web applications directly into online ad units fueling digital advertising innovation at scale. Flite enables marketers to be agile, and respond immediately to real-time performance metrics and daily events by updating their paid media creatives with relevant content and functionality. ","The new Sales Director will be responsible for expanding Flites market presence by helping establish the regional sales results needed to achieve revenue targets for the company. They will be responsible for creating relationships with the worlds leading ad agencies and major brand marketers, as well as maintaining ongoing relationships through emphasis on strong account management. Flite is based in San Francisco and this position will be located in our New York office. The Sales Director will have the following operational responsibilities:Create relationships and alliances with the region's media companies and advertising agenciesCreate proposals and pricing strategies for building Flites ad salesDevelop a robust quarterly pipeline to achieve sales targetsCollaborate with the CRO to build revenue streams for the companyMaintain a customer-focused, fast-paced, team-oriented start-up cultureMaintain an open-minded, enthusiastic, and creative approach to every sales opportunity","The ideal candidate should have:Director-level experience in business development and sales operations in the digital advertising industryExperience building and executing a regional sales strategy for a start-upStrong knowledge of the online advertising industry, trends, technologies, and pricingKeen understanding of ad campaign sales, metrics, and analysisA consistent track record meeting and exceeding revenue/profit goalsSuperior presentation and negotiation skillsCustomer focus and a demonstrated track record of satisfying customersBusiness development skillsThe ideal candidate will be:Passionate: Thrives in a competitive startup environment and does what it takes to winEntrepreneurial: Resourceful, figure-it-out-and-get-it-done mentality with a track record of creating successful sales strategies without the resources and reputation of a large entityCollaborative team player: Proven collaborator; able to leverage individual strengths to provide value to the entire teamDisciplined: Brings a proven ability to execute across all sales functionsSmart:  A quick study, able to grasp business and media issues","Competitive baseAttractive stock option planMedical/Dental/Vision insuranceUse as much as you need vacation/PTO401K enrollmentCommuter checks or pre-tax transportation reimbursement optionsFlexible Spending Account optionCasual and fun work environmentGym membershipWeekly catered lunchesFlite does not accept unsolicited resumes from recruitment agencies, and is not responsible for any fees related to unsolicited resumes. ",0,1,0,Full-time,Director,,Internet,Sales,0
1434,Office assistant,"GB, LND, London",Finance and Operations,," About StylistpickStylistpick is a venture backed social commerce company which launched in the UK in Dec 2010 and today countsover 1m registered members. Our USPs center around curation, personalization and to leverage celebrity (and their social media properties) authentically online.As such Stylistpick currently counts Cheryl Cole, Louise Roe, Mlle Agnes (France), Gala Gonzalez (Spain) as its celebrity influencers. Stylistpick has recently expanded into France (launch in Apr-12) and Spain (launch in Jul-12) and will continue its expansioninto Europe in 2013. Stylistpick was founded by serial entrepreneur Felix Leuschner and is backed by venture capital funds Index Ventures,Accel Partners and Fidelity Growth Partners Europe, and has been growing strongly since its launch.Stylistpick has raised over £12m to date.","This is a varied role working across the whole business, suits a team player who is an efficient worker and highly organized and who wants to get to know a variety of processes across several teams in a fast growing fashion e-commerce startup.The role will involve but certainly not be limited to the following:Office adminProvide first point of contact for office visitors and deliveries:Look after visitors and make them feel welcomeNotify relevant person visitor(s) have arrivedSign for deliveriesOrganise couriers and post - distribution, filing, sorting etcManage office affairs (i.e. Order Stationery, organize office events, office furniture, book meeting rooms etc)Liaise with the landlord (i.e. report and fix issues reporting to heating, lights, water, security etc)Liaise and manage process with HR &amp; IT on new staff onboarding, equipment requirements, set up etcSupport for other Stylistpick teams (Customer Service, PR, Buying, Finance, Operations etc)Work in Customer Service team, dealing with phone calls, emails and order investigation at peak times (on average 1-2 days per week)Assist PR department with press ordersAssist Buying and Production teams with coordination of samples and photography processesAssist Finance team with basic bookkeepingDeal with office health and safetyWe value dedication, enthusiasm, and results-orientation. We are in the process of building a significant online fashion company and welcome candidates who want to be a part of this journey. This is a unique and varied role, providing the suitable candidate with a rewarding opportunity.","   0  0  1  66  382  Stylistpick  3  1  447  14.0         Normal  0          false  false  false    EN-US  JA  X-NONE                                                                                                                                                                                                                                                                                                                                                             /* Style Definitions */#URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0cm 5.4pt 0cm 5.4pt;mso-para-margin:0cm;mso-para-margin-bottom:.0001pt;mso-pagination:widow-orphan;font-size:12.0pt;font-family:Cambria;mso-ascii-font-family:Cambria;mso-ascii-theme-font:minor-latin;mso-hansi-font-family:Cambria;mso-hansi-theme-font:minor-latin;mso-ansi-language:EN-US;}    0  0  1  67  382  Stylistpick  3  1  448  14.0         Normal  0          false  false  false    EN-US  JA  X-NONE                                                                                                                                                                                                                                                                                                                                                             /* Style Definitions */#URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0cm 5.4pt 0cm 5.4pt;mso-para-margin:0cm;mso-para-margin-bottom:.0001pt;mso-pagination:widow-orphan;font-size:12.0pt;font-family:Cambria;mso-ascii-font-family:Cambria;mso-ascii-theme-font:minor-latin;mso-hansi-font-family:Cambria;mso-hansi-theme-font:minor-latin;mso-ansi-language:EN-US;} Excellent written and oral communication skillsThe ability to handle incoming calls professionallySelf motivated, dedicated, actions and results oriented team playerGreat communication, reporting, organisational and collaboration skillsAbility to deliver to tight deadlines while maintaining communication and collaboration within the teamProactive and positive attitude essentialA self-starter, comfortable working with ambiguous requirements with significant amounts of autonomyOrganized and detail-oriented; able to quickly prioritize between lots of tasks and projects and get things done&nbsp;Excellent task and time management","Wage dependent on experience for this role, minimum of &pound;7 per hour&pound;40 per month store creditCycle to Work scheme24 days annual holidayFun start-up work environment, many opportunities for personal development within the business as it grows",0,1,1,,,,,,0
2463,Client Care Worker,"US, NY, Wards Island",SHS,,"Volunteers of America is a faith-based human services organization. We are dedicated to helping individuals and families in need create positive and lasting change through social service programs that support and empower them to live safe, healthy and productive lives.","Within program and agency procedures, functioning as a key member of the service delivery team, the client care worker meets the needs of the program clients and promotes client participation in services leading to successful graduation to independent living. Client care workers perform a wide variety of tasks including overseeing program operations, protecting client rights, promoting client and co-worker safety, transporting clients as needed and ensuring client adherence to rules and program activities.Supervise client participation in daily program activities, monitor observance of        clients rights and client adherence to program rules and routines.  Escort clients to       appointments, manage bed assignments, collect census data, distribute client linens       and supplies.2.   Maintain knowledge of service models. Communicate with case management staff and perform assigned tasks related to model service delivery.3.   Make scheduled rounds of assigned areas to safeguard clients and property and promote appropriate behavior. Provide emergency response including crisis management, first aid, CPR and fire response as needed.4.   Report any instances of violations of client rights, incidents involving clients non-      compliance of rules and regulations and any criminal activity promptly to the       supervisor. Complete Incident Reports as outlined by the Program Manual and Funder guidelines.5.   Drive agency vehicles to transport clients, staff and materials, if assigned.6.   Perform related tasks as needed.","The position requires an associates degree and one year of relevant experience with a similar population or a high school degree or GED with at least two years of relevant experience.  If assigned driving duties, the incumbent must have a valid drivers license and a two-year driving record with no violations.",Comprehensive benefits package.,0,0,0,,,,,,0
6492,"Manager - PeopleSoft Oracle UNIX Linux ITIL- Denver, CO","US, CO, Denver",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Manager - PeopleSoft Oracle is required having responsibility to Manage and prioritize staff work to ensure that project and support work is in alignment with department and business goals  Job Responsibilities: Manage and prioritize staff work to ensure that project and support work is in alignment with department and business goalsMaintain staff by recruiting, interviewing, hiring and developing technical teamManage vendor relationshipsManage team members performanceDevelop and manage positive relationships with our customersManage team assignments and delegate as appropriate to ensure that organizational and department goals are being metDemonstrate strong project management skillsEffectively manage communications (oral and written) and serves as role model for teamProvide training and mentoring for team members through formal and informal methodsEnsure that work delivered by team members is of high quality and meets established timelinesPartner with Project Management Office to support all phases of project implementationProactively coordinate and facilitate regular status meetings with key customersEnsure team is adhering to policies and procedures set by the department and organizationEnsure that all installs, configurations, and deployments are thoroughly tested and are of high qualitySet, monitor and meet SLAs with key customersDemonstrate strong communication skills through effective leadershipPromote proactive communication to customers  for projects, support and to identify or address potential issuesEnsure on call is adequately staffed and supportedAccountable for fostering an environment that encourages innovation, continuous improvement and growthManage proposed solutions to facilitate constructive discussion within the team to arrive at the most optimal solution","6+ years of experience in managing IT projects and staff4+ years of experience with PeopleSoft Finance, HR, or applicable applicationsUnderstanding of PeopleSoft - functionally and technicallyExperience with relational database (Oracle DB preferred)Notable accomplishment in team building, mentoring, strong leadership and communication skillsRecord of achievement in management of technical teamsExcellent analytical, organization, prioritization and oral/written communication skills.Stable record of direct employmentExposure to PeopleSoft Portal, People Tools, UNIXChange control processesExposure to systems administration (Linux)Understanding of ITIL practicesEducational Qualifications:BS (MS/MBA preferred) in Computer Science, Business Administration, or applicable disciplineMS (or MBA) in Computer Information Systems, Information Technology, or closely relatedPMP certificationWe Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,Information Technology and Services,,0
12489,Truck Driver - CDL Truck Driver - Truck Driving Jobs,"US, CA, Apple Valley",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","FAIRCHILD FREIGHT LLC. NEEDS TEN (10) OWNER OPERATORS FOR POWER ONLY :These shipments are all POWER ONLY, towing 53' dry vans mainly iin California. Drivers will be dispatched statewide.All trucks will start in Apple Valley, CA. Driver must be available (and have hours for) minimum 3 consecutive days. Drivers may be asked to extend based on freight demands and the driver's available hours. Drivers will always terminate back in Apple Valley, CA upon completion.We do require non-owned trailer/ trailer interchange insurance coverage of minimum $50,000. Drivers must be fluent in English, and have a professional appearance (no sweat pants, tank tops, flip flops, etc.). Drivers will need to attend a brief safety orientation once arriving for work on the first day, this will take approximately 1 hour.This program will be YEAR-ROUND with surges in volume.The program pays $2 per mile (on odometer miles) with a guaranteed minimum of $800 per day. Meaning, if a truck works all day but drives 200 miles, you will not make less than $800 per day. With the 3 day commitment, we guarantee you will make at minimum $2400 for the 3 days. However, if you are unable to complete the 3 days (breakdown, driver time off, etc.), you will only be paid on the work completed.We have a few opening for drivers starting tomorrow through Friday. Spots are filling fast!!!",Class A CDL required (any state),,0,1,1,Full-time,Entry level,High School or equivalent,Transportation/Trucking/Railroad,Distribution,0
3307,Recruitment specialist,"RU, SPE, St. Petersburg",HR,,"ELECTRON, founded in 1989 is the largest producer of medical solutions and equipment in Russia with manufacturing sites located in Russia and Germany with operations in more than 30 countries in close partnership with Philips.",We are looking for experienced recruiters to join our strong Talent Acquisition team to bring best talents into the Company using cutting-edge technics and best recruitment practices.,"- Success track record in recruitment- Experience working in leading recruitment agencies is very desirable (In St. Petersburg - Ancor, Kelly Services, Ward Howell etc.)- Strong LinkedIn staff search abilities- Regular direct search/headhunting candidate search is desirable- Fluent/Upper Intermediate English will allow you to read articles and materials about leading global recruitment practice  very desirable- Out of the box thinking abilities","-          An opportunity to work in a very strong HR/recruitment team with implementation of cutting-edge recruitment practice -          An opportunity to grow professionally  with regular in-house trainings,  participation in conferences/training sessions organized by third party companies -          A lot of challenging but very interesting recruitment assignments in IT/Hi-Tech, project management, quality management -          Opportunity to work in the unique company/leader of the segment in CIS with a lot of unique specialists in it -          Attractive compensation (free meals, transportation, medical insurance, English lessons)",0,0,0,,,,,,0
10088,Summer Internship: Java  ,"NZ, , ",,,"EROAD was established to modernise New Zealands paper-based RUC regime and in 2009 launched the worlds first GPS/cellular-based road charging system. Our solution can be readily and rapidly scaled and deployed across entire jurisdictions because it requires no roadside architecture, and uses a SaaS-based web service.Were now a world leader in our field and expanding rapidly as we enter new markets.Joining EROAD is a great career move. We look at potential when were hiring, and your ability to grow with the role. We employ only the best, and ensure that our staff have the skills, training and technology to do their best work.How youll fit in at EROAD is important as well. Were really proud of our company culture and finding people with the right attitude is just as essential as a great CV.Working at EROAD means learning from people who are experts in their field. It also means working hard  we have to, to be able to grow as fast as we need to! But we encourage a healthy work/life balance and our low staff turnover tells us weve probably got the balance right.We have staff from all over the globe  25 countries at last count  and we may just have the highest rate of boat/surfboard/windsurfer ownership of any technology company, anywhere.","EROAD modernised New Zealands paper-based RUC regime in 2009 with the launch of the worlds first GPS/cellular-based road charging system.Were now New Zealands ninth fastest growing company, have offices in three countries and are a world leader in our field.We are actively recruiting interns to work in our Java team. If you are in your second year of University, ideally studying either an Engineering or a Computer Science degree than this is an excellent opportunity to gain some solid commercial experience.The internship will be paid work experience during summer break, November 2014 to March 2015, however there is the possibility that this will be extended for part time work during 2015.",,,0,1,0,Temporary,,,Information Technology and Services,Information Technology,0
2294,Heathrow/Langley Business Admin Apprenticeship,"GB, , Heathrow",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","You must be 16-18 years old to apply for this position as it is an apprenticeship.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position.You will be working for a car rental company and the role will involve:-Contacting customer via telephone, emails and social media-Supporting other departments of the company-Handling customer enquiries-Compiling reports-Participating in team meetings -General admin dutiesIdeal candidates will be polite and articulate.If you are motivated and career minded please apply now!",16-18 year olds only.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Administrative,0
17554,FRONT OFFICE MANAGER,"US, IL, CHICAGO",,,,"We are looking for a team oriented person who loves to multitask, with outstanding communication and customer service. Computer skills a must and experience in healthcare scheduling, insurance, and managing financial accounts helpful. We enjoy a 4 day work week with medical benefits. If you are motivated, reliable, personable, and love what you do,", 5+ Years working in customer service-          Microsoft Office and general computer use-          Accounting program knowledge required-          MRP system knowledge a plus-          Experience with Quick Books a Plus-          Proficient in German Language. Other language proficiency also beneficial.,,0,0,0,Full-time,Entry level,,Entertainment,Administrative,1
1713,Campaign Manager (Freelance),"GB, CMD, London",Project Management,,"The Technical Team and offering at VML London has a very strong heritage dating back to the mid-nineties when the London office was founded. Back in those days we were one of the first few purely digital marketing agencies in London; and back then things were a lot more technically driven than they often are today; as such the Technology team here continues to enjoy a strong strategic influence on the work that the agency undertakes and the clients that we engage.It just works.Technology is brilliant when you dont have to think about it. Thats what we strive for in our solutions. Our technology team has a strong strategic influence on the work we undertake and are involved as soon as the brief lands. They stay involved throughout strategy, creative concepting and design. Acting as important enablers, we bring new and emerging technology to the table to successfully marry the requirements of our clients with the vision of our creatives.Open standards, open minds.We aim to deliver the most elegant technical solution for your budget, and maintain a technology agnostic approach to development in order to achieve that. Technology can be expensive, both in terms of hard costs and costly mistakes, so were as careful with your money as we are with our own.   Perhaps thats why some of our clients have been with us for over 10 years. When faced with a new problem our first instinct is always to see if someone else has already solved it. As such, the solutions we design are built on the rich eco-system of open source software (OSS); the proven and battle-tested kind that we know will not just do the job quickly and cost-effectively, but also robustly. Where OSS isn't appropriate we always favour solutions that are built to open standards that we know will integrate well with other technologies.Strong partnerships.Our work is complemented by strong partnerships with technology vendors and suppliers.   Proven over time, our established strategic relationships with many of the leaders in software, hardware and other technology solutions help ensure were able to continue to offer best-in-class technical solutions for our clients.What we do:High availability and high performance website architecture &amp; developmentWeb content management solutionsCreative technology ideationContent optimisation, search and analyticsHigh volume, multi-locale email campaignsMobile optimisationLocalisation","Role (summary):Campaign Managers have responsibility for the smooth running of projects from end-to-end, ensuring the highest quality of deliverables within agreed time and costs. They are expected as such to provide strong leadership and direction to project teams, taking ownership for the development of project scope and implementation. As part of the role the Campaign Manager is charged with ensuring clear lines of communication, managing internal and client expectations appropriately through all stages of delivery and working closely with the account team to deliver against wider strategic programme goals.Challenges:To set standards for delivery that inspire and motivate others within project teams they may be involved with.Ensure all projects are managed on time and to agreed cost, using the most appropriate. resources and best approach to deliver the project.Work hand in hand with the Account Handler to manage, build and grow ongoing client relationships.To effectively manage multiple projects/workstreams where required.To be analytical with great attention to detail ensuring we meet the highest quality standards.Exceed the expectations of a prestigious and luxury brad in the work we deliver, whilst ensuring value.Key responsibilities:Deliver projects on time and on budget, to a high standard of quality.Extraction, development and clarification of requirements from clients/Account Management teamEffective development of project briefs with clear timescales, scope, skills and effort requiredManagement of email and SMS broadcast process and schedulingWork with account management, strategy and production teams to create, deliver, track, optimise and automate email marketing campaigns as well as triggered and transactional communications.Management of segmentation and data filtering to ensure the optimism audience is targeted.Ambassador for quality and the final check-point of work that is delivered from the agency.Work with the account team to setup campaigns and review campaign performance. Strive for continual improvement of process and efficiency for campaign automation and delivery.Project management of delivery to agreed timescales, costs whilst driving the project teams and client to the very highest quality of work and solutionPre-empt project risk and manage stakeholder expectations appropriately to mitigate as requiredManage project resource requirements and bookings to get the right person on the right job, including the management of 3rd parties where appropriateManagement of Project reviews where requiredManaging the accurate and timely collation and reporting of time spent by teams on projects that are running including projections of work to completionKey relationships:Client: communication and presentation of scope, design, copy and final project and status throughout deliveryAccount teams: account planning and strategy, collaboration to ensure best solution for client and VMLCreative services team: resource schedulingProduction Director:  Delivery methodology/approach  &amp; department escalation Executive Producer: Line management,Senior Producer: Mentor and guidance on specific accountImplementation personnel: staff, workstream and project deliveryThird parties: briefings, managing workflow and project deliveryManaging all projects appropriately within agreed timescales, cost and qualityHelp us drive quality and effectiveness through the work for our clients Broad targets and goals:Billable utilisation of time in excess of 80%","Knowledge and skills:At least 2 years production experience in a digital marketing environment. At least 1 year in a similar role.Demonstrate understanding of eCRM strategies and a particular passion for the opportunities, nuances and implementation needs of email marketingExperience in delivering projects across multiple markets/languagesDynamic communication/project leadership skillsExcellent project planning and risk management experienceExperience and advanced knowledge of the Exact Target EMSStrong understanding of commercial and account strategies, with the ability to tailor implementation and wider delivery processes to meet stakeholder requirementsAbility to work with cross-functional team of designers, developers and other staffHighly confident client management skills for project reporting, scope agreement and general engagementExperienced in project estimating and managing multiple projects ranging from £5k to £70kHighly motivated, adaptable, innovative and keen to learn new skillsAn excellent team worker with an ability to inspire colleaguesExcellent attention to detail with the confidence to manage multiple complex requirements from multiple stakeholder",Competitive,0,1,1,Other,Mid-Senior level,,Marketing and Advertising,Project Management,0
17819,Admin Assistant\Urgently,"AU, NSW, ",,,,"The company is seeking an Admin assistant to join their team! As traineeship. Relevant on job training will be provided.  Position is Part- Time Start ASAP  Duties include:  Meet and greet clients  Preparing files  Receive phone calls  Receiving emails and reply emails from reception ( instructions will be given) The successful candidate will be able to demonstrate:-Excellent communication skills, Technical Contractual and Negotiating skills.-Knowledge of application software including Word, Excel and Outlook from the microsoft Office suite (2007).-Strong team based work ethic.-Thrives on challenges and is accountable.This job will be suitable for school leavers who are looking their career. If you are interested in applying for the position, please send resume with your full name and email to #EMAIL_bf7aada68f2ebd311c3cb873b1867e0c531121ccdc5392441c6cea7a5d852d06#",,,0,0,0,,,,,,1
16680,Urgent Need :Oracle Developer for Bahrain.,"BH, , ",Information Technology,,"VAM SYSTEMS is a Business Consulting, IT Solutions and Services company with operations in UAE, Qatar, Bahrain, USA, Australia, Singapore &amp; India. . We offers a comprehensive list of services in the field of IT infrastructure management, Cloud services, IT Consulting Services, Banking, Management Resources, Information Technology Development, Telecom, Aviation, Retail Management &amp; Government offerings.","Greetings from VAM SYSTEMS…..VAM SYSTEMS is a Business Consulting, IT Technology Solutions and Services company with operations in UAE, Qatar, Bahrain, USA, Australia, Singapore &amp; India. We offers a comprehensive list of services in the field of IT infrastructure management, Cloud services, IT Consulting Services, Banking, Management Resources, Information Technology Development, Telecom, Aviation, Retail Management &amp; Government offerings.VAM SYSTEMS is currently looking for Oracle Developer for our Bahrain operations .Terms and conditions:  Joining time frame:   2 weeks (maximum 1 month).The selected candidates shall join VAM SYSTEMS  Bahrain and shall be deputed to one of the leading organizations in Bahrain.         ",Skill Set required:Should have minimum 8+ years of experience.Should have experience in forms 11g &amp; reports 11g.Should have experience in  oracle weblogicMigration experience from Forms 6i to 11 g will be an additional advantage ,,0,1,1,,,,,,0
16147,Junior Project Manager,"GB, , London",Production,,"With offices across UK, mainland Europe, Australia, New Zealand, and the United States, Squiz helps clients across the globe to engage their audiences online. We don't just build websites; we build the technology that powers them.But brilliant technology is only half the story. To build great websites, you need to truly understand your clients (and their customers too). Which is why 'engaging people' is not just our tagline  it's our promise.","Squiz are now expanding our digital project management team and have an amazing opportunity for a talented Junior Project Manager.  The position will sit at the heart of the company, responsible for ensuring the delivery of client projects.The Junior Project Manager is responsible for managing multiple projects throughout the project lifecycle.  This includes coordinating the process and execution of requirements gathering, developing specifications and scoping, scheduling and coordinating resources and services in order to deliver projects on time and in budget meeting the client and Squizs quality assurance standards and contractual obligations.   The Junior Project Manager will understand that the individual is as important as the methodology and you will have natural instinct and skill set to apply this through Squiz's own project lifecycle approach to guarantee success.  As a Junior Project Manager you will understand that the individual is as important as the methodology and you will have natural instinct and skill set to apply this through Squiz's own project lifecycle approach to guarantee success. Key deliverablesProject managing a number of fast moving and complex web/digital builds in parallel.Manage project budgets of up to £40kInitiate, monitor and drive the delivery of work streams from our design, implementation, development, systems, labs and training teams while managingNegotiating priorities so that client deliverables are met across all projects and all stakeholders are kept informedMaintaining a commercial focus and encouraging the use of Squiz products and services to existing clientsAchieving timely and seamless service delivery/project management and ensuring effective allocation of resourcesBalancing the needs of all stakeholders (i.e. clients, Squiz production staff, peers and managers) and ensuring an effective and timely communication schedule","ExperienceMinimum of 1 years experience delivering Digital Projects ideally in an agency environmentProject management methodologies such as Waterfall and Agile is essentialAbility to work within a fast-paced, client oriented rolExperience in negotiation, management and risk management for project implementationExcellent written and verbal communication, negotiation, and interpersonal skills to facilitate the achievement of targets, proactively anticipate and respond to client needs, and to balance needs of all stakeholdersSound analytical and problem solving skillsGood organisational, time management and prioritisation skills for managing multiple projects simultaneously and meeting project deadlinesStrong reporting and documentation skillsSquiz DNA:Squiz actively works to build and maintain our culture (called Squiz's DNA) which values people who: Not afraid to laugh at ourselvesTrustworthy and honourable (and a little bit cheeky)Happy to roll up our sleevesPragmatic but passionateStraight shooters Based in our office in the heart of Shoreditch, you will be working with a passionate and fun bunch. We work/play hard and in recognition of this Squiz likes to reward you with a few perks: Annual Season Ticket LoanDiscounted BUPA healthcareCycle to Work Scheme25 days leave plus bank holidaysEmployee Referral Scheme Fresh Fruit delivered dailyMacbook and iphoneFree beers every FridayFree breakfast, soft drinks and sweets dailySocial Events, Ping pong and weekly stand upsGreat culture with open, passionate and friendly work mates",,0,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Production,0
9392,Business Development Manager,"US, PA, Harrisburg",,,"Ameritech Media wants to give industry thought-leaders the marketing communications they need to stay out in front. Were the next generation youve heard about - making radical advancements in full-service marketing communications and how theyre delivered. With todays technology wired into our serious creative approach, its hard to find another who delivers our blend of strategy and creative this way.  Its clear were not a typical ad agency, marketing firm, integrated whatever - we are a full-service, any message on any platform company where you get exactly what you want, how you want it. ","Looking for something new and creative? Well so are we!! Lets see if we have something in common.We are looking for someone with the skills and knowledge to build and maintain client relationships, prospect new business through the phone, internet and face-to-face; deliver sales presentations and prepare marketing materials. We want someone who has enough drive to be able to work by themselves and enough sociability to work with a team.Ameritech Media is a full-service agency that gives industry thought leaders the strategy, creative and technology they need to stay that way. We love what we do and we do it with a passion, a purpose - to add value to our clients brands.We offer a creative environment in a fast-paced, growing company.This is an outside B2B sales position calling on and selling to mid to large-sized businesses.","Position Requirements:Must have 3-5 years experience in a sales environment.A background in public relations/advertising, or broadcast/media sales is preferred.Must build a strong pipeline of sales opportunities through networking, cold calling, client appointments and product demonstrations.Educational Requirements:We do prefer an Associates or Bachelors Degree.","This position includes an excellent benefits package, career advancement and a base salary plus uncapped commission.",0,1,1,Full-time,Associate,Associate Degree,Marketing and Advertising,Sales,0
5044,Sales Representative with Management Training - AT&T,"US, TX, Houston",AFS16,45000-67000,"Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.To setup an interview, call our recruiting department Monday-Friday from 9am-5pm @ (361) 400-2907","Interviewing now for full-time positions in SW Houston TX. No experience required.We are Argenta Field Solutions, a rapidly growing Fortune 500 partner, which specializes in direct sales for industry leading companies nationwide; AT&amp;T, DirecTV, ADT. Argenta Field Solutions is an award-winning and authorized AT&amp;T Solutions Provider.Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.Direct Sales Rep positions now available on our AT&amp;T U-verse sales team. We provide all the tools and training to be highly successful and offer opportunity for career minded, top performers to step up into leadership roles. Positions- Sales Rep w/Management Training- Assistant Manager- Market Manager Compensation- $45k-$67k per year (entry level)  - Weekly pay - Direct deposit  - Bonuses (daily, weekly &amp; monthly)","- Sales experience preferred- Ability to work in high energy, team environment- Goal/Career Oriented- Strong work ethic - Professional integrity - Pre-employment screening","- AFLAC- Health Insurance (Management) - Training (Initial &amp; Ongoing)- Vacation &amp; sick time- Paid travelSchedule an interview by contacting Craig @ (361) 400-2907(This is not a telemarketing or call center position)To learn more about Argenta Field Solutions, visit our website @ #URL_1d9e75fb4100e4ecdc0d72a1205f398abb97bf07f3018745f8fbcab82dc07ef3#  Argenta Field Solutions - Locations Currently Hiring711 N Carancahua St, Suite 1750, Corpus Christi TX 7840115600 San Pedro Ave, Suite 105, San Antonio TX 782323463 Magic Dr, Suite 252, San Antonio TX 782292855 Mangum Rd, Suite 417, Houston TX 770921100 E Nasa Pkwy, Suite 410, Houston TX 770589896 Bissonnet St, Suite 454, Houston TX 770362201 N Collins St, Suite 252, Arlington TX 760114101 McEwen, Suite 530, Dallas TX 75244222 E Van Buren, Suite 511, Harlingen TX 785501001 S 10th St, Suite 201, McAllen TX 785041111 Park Centre Blvd, Suite 207, Miami FL 33169   keywords: sales, outside, direct, commission, cable, security, alarm, security, internet, tv, directv, dish, satellite, assistant manager, manager, customer service, csa, salesman, salesmen, career, job, door, field, waiter, waitress, bartender, server, d2d",0,1,0,Full-time,,,Consumer Services,Sales,0
4616,Fabric Research & Development Assistant,"US, , ",,,,"Purchase, track and follow all material sample orders from inception to delivery ensuring supplier is able to meet deadlines based on the needs of current season.  Suppliers include fabric mills and agents, print factories, leather tanneries, and trim factories.Daily communication via email and telephone with suppliers to ensure progress and clarity of sample materials orders/developments.Enter and maintain all material information into PLM in timely manner.Closely monitor and track sample material spending throughout each season and communicates totals through weekly reports.Update and maintain current season fabric and trim boards to ensure all information and material references are accurate and up to date.Approve color lab dips or print strike offs (as needed).Receive and check in all sample materials promptly upon arrival.  Check in includes inspection for quality and color control, labeling with required information, cutting references for fabric header/swatches.Manage and monitor shipments of all material-related packages (includes sample fabrics, print strike offs, color lab dips, development trials, fabric selections, etc.) and ensure delivery to relative destination.Counter source alternative materials (as needed) to meet price targets and quality requirements within specific deadline.","Assistant level (between 1-2 years min and 4 years max work experience)Experience working in luxury market within a smaller company preferredExperience in material development, most importantly fabric but leather, trims, embroidery a plusSolid understanding of development &amp; design process (design internships apply)Basic knowledge of textilesKnowledge of Photoshop, Illustrator, PLM a plusExperience managing &amp; hiring interns a plusQualifications: self-motivated, proactive, shows initiativegreat attitude, ability to work as part of a teamhard working, dedicated, willing to put in extra hourswell developed communication skills, both written &amp; verbalextremely organized, neat, &amp; detail orientedgood time management &amp; ability to prioritize based on urgencysense of urgency, ability to meet deadlines",,0,1,0,Full-time,,Bachelor's Degree,Apparel & Fashion,,0
5628,Customer Assistant,"CA, AB, Calgary",,45000-50000,,Job Description: We are looking for customer assistants who will work in our company. They should have at least 2 months experience in the post. It also provides training (2 weeks with paid wages). Now we have the opportunity to obtain contracts. All who wish to please send your CV to our email: #EMAIL_fefd5a4270dfb95f87b69aba0b256c80756e3fabb89ee777948ba9d6f6fc84df#. You will contact one of our managers.,"- Post-secondary education is required- Previous experience (2+ months) in Customer Service is required- Previous experience in a business-to-business environment is required.- Be diligent, execution oriented, and Results-oriented with a high energy level and positive attitude.- Perfect fluency in English is required. Additional languages are helpful.- Excellent communication skills, both written and verbal, are required.",,0,0,0,Full-time,Internship,High School or equivalent,Accounting,Customer Service,1
10550,JUNIOR SUPERVISOR,"PH, 07, Cebu City",Management,,"Zylun is expanding the recruiting landscape for companies worldwide. We help businesses better compete and increase profits by connecting them with top global talent.Our unique co-sourcing model gives you greater reliability but also puts you in the driver seat allowing you to select your team and work directly with them. We provide enterprise level recruiting, infrastructure, HR supervision and IT support so clients can focus their time on the important work.","Responsibilities:Train and educate direct reports on company policies and procedures, and hold each agent accountable for compliance.Holding weekly O3 meetings with each agent assigned to him/her.Hold accountable for tasks/deliverables and metrics of agents.Escalate agent concerns as appropriate to the Operations Supervisor/Client Services ManagerIssuing disciplinary or corrective feedback and documentation when adviced in compliance with company policy and management best practices.Act as the Officer-In-Charge as assigned and represent the company to the public in a professional manner.Should act as point of contact of the client, including a check-in at the beginning of their shift and summary email at the end of their shift.","Requirements: Excellent English oral and written communication skillsCandidate must possess at least a Bachelor's/College Degree , any field.At least 1-3 year(s) of working experience in the related field is required for this position.",,0,1,0,Full-time,,Master's Degree,Staffing and Recruiting,Management,0
10892,Sr Software Engineer (Python/Django) - Remote,"US, CA, San Francisco",Engineering,,"Wiredrive is a fast growing, privately-owned SaaS company with headquarters in Marina del Rey and offices in New York City and London.  With over 1000 customers worldwide, Wiredrive has become the application of choice for creative professionals in the advertising, television and motion-picture industries who need to upload, manage and present their digital media.  Wiredrives client base is made up of the top global advertising agencies, television/movie production companies, post-production houses and brands.  See more here: #URL_4c1236699b2d374ac6fa352634dbe55dc8015b460c14fdbe1adc775e1d928878#.  ","THE COMPANY: WIREDRIVE (#URL_66ee5bd86cde58f1bdac84fdc5277456f9673db6d19fc650b3af517bdec9b018#)Wiredrive is a fast growing, privately-owned SaaS company with headquarters in Marina del Rey and offices in New York City and London.  With over 1000 customers worldwide, Wiredrive has become the application of choice for creative professionals in the advertising, television and motion-picture industries who need to upload, manage and present their digital media.  Wiredrives client base is made up of the top global advertising agencies, television/movie production companies, post-production houses and brands.  See more here: #URL_66ee5bd86cde58f1bdac84fdc5277456f9673db6d19fc650b3af517bdec9b018#/about. THE OPPORTUNITY: Lead Software Engineer: Python/DjangoWiredrive is seeking a Lead Django Software Engineer to join our software engineering team in our Marina del Rey office. Our client base is made up of the top global advertising agencies, television/movie production companies, post-production houses and brands. Our challenge? Lead the development team and continue to evolve our platform with new features that will advance the sharing, presentation and management of our clients assets. You'll be helping us build new features and experiences for some of the top creatives in the world. We're looking for a Lead Django engineer who will be directly involved with application development, architecture, security, large-scale system design, ORM development, page load optimization, media playback, caching, scalability and more. The team (front/back end, UX, QA and product manager) work in an Agile environment, so collaboration is key.What were looking for: An engineer who can lead a team of other engineers and who can turn concepts into fast and reliable code that others (including yourself) will find easy to comprehend and modify in the future.Specifically…Help build the core application.Guide a team of engineers to help maximize their production.Review code and provide feedback where applicable.Optimize data layer for specific needs of the application.Build and scale caching strategies.Plan, prototype and implement new applications and features.Contribute architecture input to new systems as well as legacy systems.Review, test and improve existing web application design.Integrate with third party web service APIs.Contributing domain-specific expertise by reviewing code, reviewing design docs, giving technical talks, and mentoring junior members of the team.Define application requirements and features.Architect for caching at various layers of the stack.Help others around you grow as developers to be successful.Take pleasure in building things.","EXPERIENCE: 3+ years Django development, 5+ years Python development.Experience leading a team of engineers.Experience building large-scale distributed web applications.In-depth knowledge of OOP principles and enterprise design patterns (MVC).Experience writing and maintaining unit tests (TDD).Experience working with quick software deliverable schedules.Ability to analyze and understand the needs of clients.Knowledge of Agile / Scrum.Self-starter with excellent communication skills.","At Wiredrive, we believe that the work we do is part of a larger experience defined by the thousands of inspired creatives who create and present their work every day.  We believe that smart, motivated and dedicated teams can do great things and we look to get out of the way so this can happen. Working at Wiredrive, has its perks… There is a keg-orator (free beer, yay!) and a fully stocked kitchen with snacks and staples for meals, BBQ lunches on the patio, generous benefits, and a killer location in Marina del Rey.  But the real reason to want to work at Wiredrive, is the people.  We play as hard as we work and we play to WIN!  Privately held and profitable, Wiredrive is growing fast.Wiredrive offers health insurance (100% premium coverage), 401k program with company match, paid vacations/holidays, a monthly gas allowance, and employee referral bonus.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Engineering,0
5471,PHP Developer,"US, GA, Atlanta",,,"Q2Hire has been delivering concrete results for more than 10 years. And this successful history has a formula: Your goal is our goal!All of our partnerships were built through a great relationship, based on ethics and results.It means that we invest on an engaged and professional team, to provide you a qualified IT staffing solutions.","Develop and design web applications and web sites. Responsible for directing web site content creation, enhancement and maintenance.Major Job Duties and Responsibilities:o Supervise the design, build or maintenance of web sites, using authoring or scripting languages, content creation tools, management tools and digital mediao Supervise the writing, design, or editing web page contento Direct web site updateso Review problems uncovered by testing or customer feedback and approve the correction of problemo Advise and direct management or development teams to prioritize needs, resolve conflicts, develop content criteria or choose solutionso Direct development or validation of test routines and schedules to ensure that test cases mimic external interfaceso Maintain understanding of current web technologies or programming practices through continuing education","Education/Experienceo Bachelor degree in computer science or equivalent training requiredo 8-10 years experience requiredResponsibility levelo Exercises independent judgment with minimal direction from supervisorSkillso Experience with HTML, PHP, SQL, Apache, JavaScript, and Linux (Required)o Experience with XML, PHP framework such as Zend, XSLT (Highly desirable)o Experience with software architecture, system tuning, continuous integration (Highly desirable)o Project level leadership and Object Oriented design methodology experienceo Verbal and written communication skills, problem solving skills, customer service and interpersonal skills (Required)o Strong ability to work independently and manage one's time (Required)o Strong leadership and mentoring skills necessary to provide support and constructive performance feedback (Required)o Self-motivated, detail oriented, and highly responsibleo Must be a faster learner and multi-taskerPHP: 4 yearsJavaScript: 4 yearsXML: 3 yearsHTML: 4 yearsSQL: 5 yearsLinux: 2 years",,0,1,0,,,,,,0
1734,Senior Accountant,"ES, , Chamberi | Madrid",,,"Our company is building the next generation of video-on-demand (VOD) in Europe and we are currently looking for the next superstar to join our growing team in Madrid. We are committed to building an all-star team who work “smart” and who encompass a “work hard, play harder” mentality. This is an exciting opportunity to be part of a fast-paced, entrepreneurial company that is shaping the future of Internet TV on a global scale.","About UsOur company is building the next generation of video-on-demand (VOD) in Europe and we are currently looking for the next superstar to join our growing team in Madrid. We are committed to building an all-star team who work “smart” and who encompass a “work hard, play harder” mentality. This is an exciting opportunity to be part of a fast-paced, entrepreneurial company that is shaping the future of Internet TV.About the JobWe are seeking a “top-notch” Senior Accountant to join our growing Finance team.  In this role, you will be the “go to” person for all aspects related to accounting.  The ideal candidate will be able to “hit the ground running” and have complete ownership of the books by end of the year.  This is an evolving role, where at the initial stages, you will be expected to also support areas of Finance.Key Job Responsibilities You will drive continuous improvement and identify, design, and implement scalable processes.As the accounting expert you will apply best practices to all areas by adding value through process improvement and creating workflow efficiencies.  You will work with local and global teams to establish best practice and drive greater visibility to financial information. You will respond to inquiries from the CFO and senior management regarding financial results, monthly/quarterly reports, and special reporting requests in a timely manner.You will work closely and proactively with the financial team to ensure a clean and timely year-end audit. "," 5-7 years progressive experience in a corporate accounting environment.Outstanding complex problem-solving skills with the ability to understand complex accounting issues and troubleshoot.Knowledge of Spanish accounting practices and experience with IFRS.Excellent communication skills both verbal and written with full professional proficiency in English a must.Strong critical-thinking skills. Solid Excel skills.Detail-oriented; ability to prioritize and work within a deadline oriented environment.Ability to work well in a team atmosphere.Must be self-motivated.PlusesExperience in a multinational that is part of a bigger group and reports to a parent company.Experience with integrated global financial systems, preferably NetSuite.Equivalence of Chartered Accountant Certification.Spanish and/or Swedish a definite plus.","This is an exciting opportunity to be part of a fast-paced, entrepreneurial company that is shaping the future of Internet TV. Some benefits include:Compensation philosophy: You get what you pay for...and we want the best!Growing international team with colleagues from all around the world (Sweden, USA, Russia, Spain...to name a few).Open-space office structureMac Book ProAnd more!",0,0,1,Full-time,Mid-Senior level,Unspecified,Entertainment,Finance,0
1504,Brazil General Manager,"BR, SP, Sao Paulo",Operations,15000-30000,"Were always looking for highly motivated “founder-types” to join us as we grow. Heres what you need to know.Our Core Values:Every member of our team brings something unique to the table, but we share the same values:Use your intelligence without arroganceTake initiative, and execute tenaciouslyPut the teams needs above your ownRespect customers, partners, investors, and co-workersFocus on results, but never compromise on qualityLive to learn, and share what you discoverBe honest, be transparent, and be goodNever, ever give up!Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort.Culture and Benefits:Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:Full medical, dental, and chiropractic coverage  even acupuncture.Daily company lunches catered in  plus snacks and drinks for days!Frequent team bonding events and company outings (including the occasional beach day!)Unlimited paid vacation time  because we trust you to hold yourself accountable.Gym membership with access to facilities all over LA.Flexible work hours  we know 9am isnt for everyone.Stock options  if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.A referral bonus program.Last, but definitely not least  dogs in the office! Bring your own furry friend, or feel free to cuddle with one of the The Mobile Majority pups.","Responsibilities:Recruit and take candidates through the interview processManage the office - supplies, snacks, maintenance, etc.Schedule meetings and manage travelSet up and conduct daily standup with our other offices in the United StatesEnsure that all aspects of clerical functions, appointment scheduling, billing, book-keeping and patient flow are done efficiently and effectivelyCollaborate with the head of the organization as well as with all other personnelWork with management team to prepare annual capital and operating budgetsCreation and maintenance of cash flow forecastsMonth-end closing activities and preparation of financial satements in accordance with GAAP, preparing the Board package, management reports, or other ad hoc reportingTimely filing of sales/use taxes, property taxes, franchise taxes, GSA taxesManagement of payable and receivables, including collection activities - sometimes in a hands-on way and other times via management of staffParticipate in management meetingsCommunication with other Executives on financial issuesOperation of accounting softwareDevelopment and tracking of financial policies and proceduresOversight of facility issues such as building lease and parking issues, general office equipment, facility security, and corporate insuranceCoordination of annual auditCoordination with external preparer for timely filing of corporate returnsMaintain capitalization table to include stock option grants and stock compensation calculations and convertible debt schedulesCoordinate with outside legal counsel on promissory notes, capital and building leases or other business agreements when necessaryPayroll processing and oversight of employee benefit programs, including health, dental, life and 401k plan administrationProvide support for funding or M&amp;A activities (due diligence, financial modeling, documentation review, data mining, etc.)Hiring, training and management of finance and accounting staffThis position offers a competitive starting base salary with huge bonus potential, stock options and an amazing opportunity to work with experienced entrepreneurs building a fun and fast paced company.ABOUT USThe Mobile Majority is a rapidly growing ad tech startup based in Santa Monica, CA, with offices in New York City, San Francisco, Chicago, Sao Paolo and Ho Chi Min City. We have been honored as the 'Best Creative Technology' by the American Association of Advertising Agencies and won 'Best Series A' By the Los Angeles Venture Association.  We are led by a team of experienced serial entrepreneurs, who are seeking intelligent, optimistic team members that love to learn and grow as people.Our patent-pending technology solves the problems that have prevented brands from real investment in mobile advertising: universal delivery across SDKs, a creative canvas, and 1 to 1 audience matching.Our goal is to be the next multi-billion dollar ad-tech company.We have raised $21M, and do business with some of the biggest brands in the world (State Farm Insurance, Hersheys, Clorox and Time Warner Cable, to name a few).Every member of our team brings something unique to the table, but we share the same set of core values:•    Use your intelligence without arrogance•    Take initiative, and execute tenaciously•    Put the teams needs above your own•    Respect customers, partners, investors and co-workers•    Focus on results, but never compromise on quality•    Live to learn, and share what you discover•    Be honest, be transparent, and be good•    Never, ever give up!If you are a good fit, you will be joining a team of passionate, happy entrepreneurs that are looking to build a new kind of company where people are happy and thriving. You will bea an early employee of one of the fastest growing companies in technology.Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means you need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort. ","Bilingual: Portuguese and EnglishDual citizenship preferredThe ability to personally perform in each of the areas of responsibility as neededBachelor in Accounting preferred, advanced degree or CPA certification a plusAt least 5 years of industry experience with similar responsibilitiesProficiency in Microsoft Office, QuickBooks and other accounting system applicationsHiring and supervision experiencePublic accounting experience would be a plusSelf-starter with the ability to multi-task and problem solveA genuine team spirit and flexible attitude","CULTURE AND BENEFITSIts no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:•    Full medical, dental, and chiropractic coverage - even acupuncture.•    Daily company lunches catered in - and snacks for days!•    Unlimited paid vacation time - because we trust you to hold yourself accountable•    Gym membership with access to facilities all over LA (and the occasional company hike!)•    Flexible work hours - we know 9am isnt for everyoneStock options - if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.",0,1,1,Full-time,Associate,Bachelor's Degree,Accounting,Accounting/Auditing,0
14443,Project Manager,"JP, 13, ",,,"Based in Tokyo, we are an international team of engineers and consultants who are dedicated to pushing the boundaries of web technologies.Tech Consulting &amp; Application SupportWhether its gaming or commercial applications, Wizcorp has years of experience working with a wide range of web and mobile technologies. From scaling #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# to scaling databases and database management, from backend analytics to frontend animation, Wizcorp is one of the leading professional names in mobile, web, and platform engineering.Game Development &amp; Support ManagementWizcorp works with global publishers and IPs to produce high quality games with dynamic content support and scalable backend. Struggling with your game production? Weve been there before. We can provide you with the management oversight you need pre-launch, or the after-launch technical support as your application grows.Mobile &amp; Desktop Application DevelopmentWe dont just stop at games! We have become prominent leaders in hybrid, native iOS and native Android platform and application development. Having fundamental core knowledge in building great applications for the web means all of our mobile web applications can run on the desktop browser too!","Wizcorp is looking for a Project Manager to join our team in Tokyo, Japan.Wizcorp offers a stimulating, international working environment in which knowledge is shared to foster personal and professional development.In this role, you will be asked to:Manage and schedule the project during the whole development and operation periodConnect the dots with customer requirement and our teamsFacilitate the development and creative process through communication",Project management experienceAgile process knowledgeGood communication skillsScrum knowledge is a plusUnderstanding of engineering and creative processFluent English and Japanese requiredMust live in Tokyo or wish to relocateBachelor degree or above,Medical insuranceVisa supportTravel expensesJapanese lessonsCompensation based on experience,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Project Management,0
13152,Business Development Manager,"GB, MAN, Manchester",Sales and Business Development,,"MarketInvoice is one of the most high-profile London based fin-tech companies. The Company is Europes leading P2P invoice finance platform that allows SMEs to quickly and flexibly sell their invoices, releasing critical working capital in the process.Our mission at MarketInvoice is to modernise the way in which SMEs finance their working capital and fund their growth. We are seeking to bring much-needed innovation to the banking industry in much the same way that online retailers disrupted the high street.You will be joining a diverse, multi-talented, fast-growing team that is passionate about using technology to help businesses access funding and change the world of business finance.","COMPANYMarketInvoice is one of the most high-profile London based fin-tech companies. The Company is Europes leading P2P invoice finance platform that allows SMEs to quickly and flexibly sell their invoices, releasing critical working capital in the process.Our mission at MarketInvoice is to modernise the way in which SMEs finance their working capital and fund their growth. We are seeking to bring much-needed innovation to the banking industry in much the same way that online retailers disrupted the high street.Based in central London, you will be joining a diverse, multi-talented, fast-growing team that is passionate about using technology to help businesses access funding and change the world of business finance.At MarketInvoice you will:Have a meaningful impact on the company's future and participate in rewards accordinglyWork in a fun, dynamic environment that has innovation at its coreBe part of a motivated team and work with people who get stuff donePlay your part in changing an archaic industry for the better THE ROLEWe are currently looking for best in class sales / account management professionals to develop qualified leads into a profitable portfolio of clients. This role is perfect for a consultative sales professional who understands why customers need working capital and believes in the MarketInvoice mission to make business finance more efficient and transparent. DUTIES &amp; RESPONSIBILITIES Conversion of qualified leads from multiple industry sectors to active trading accounts and the ongoingmanagement of those accountsAchieving a sales target consisting of volume, revenue, new clients and client retentionDelivering against a specified business development strategy and target on a daily basisDealing with inbound sales leads and referrals from multiple business sectors across the UKEngagement with CEOs and FDs in SMEs in the UKFace to face client meetings at board levelExecuting successful sales techniques to move targets through the MarketInvoice sales funnelDriving new business generation through referrals and relationship buildingProviding timely and accurate reporting to the business.Use in-house data sources as well as log activity via our CRM system.Undergoing personal training and development with a route through to Senior Business Development ManagerAttendance of external events and networking opportunities.","3-5 years sales experience in B2B solution salesHold a high level of personal drive with a great work ethicBeing reliable, determined and ambitiousStrong competitive nature with a professional attitudeAnalytical, methodical and numerateStrong, clear and precise verbal and written communication skills.Self-driven, quality and results orientedAbility to work well under pressure and hold a team player mentalityExcellent knowledge of financial products available to UK businessesStrong excel and CRM capabilities",Competitive basic salaryHighly incentivised pay structure - Uncapped commission scheme based on monthly trading volume and revenue.25 days holidayPrivate Health InsuranceHalf price gym membershipThe opportunity to progress your career at one of London's hottest FinTech startups,0,1,1,Full-time,Associate,Bachelor's Degree,Financial Services,Business Development,0
711,Director of Product Development / Engineering,"US, OR, Portland",Engineering,110000-150000,"Urban Robotics Inc. provides cutting edge software and hardware solutions for Intelligence, Surveillance and Reconnaissance (ISR), Remote Sensing and Geospatial applications. Products include aerial EO and NearIR digital sensor systems, high performance aerial and ground computer clusters, and automated algorithms and services for generating fast turn-around 3D orthorectified maps.","Seeking a vibrant, dynamic, high-energy leader with a broad technology base to take on the role of Director of Product Development / Engineering. If you are interested in being responsible for leading cutting edge software and hardware engineering teams with expertise in Computer Vision, High Performance Computing, Remote Sensing, Unmanned Aerial Drones and Sensors, and Geospatial Intelligence, then this is your dream job. Our customers come from both the Intelligence, Surveillance and Reconnaissance (ISR) and commercial Geospatial industries, and have an appetite for cutting edge technologies. This critical and highly visible position is responsible for all product and research development in a company that sees engineering as core to its nature. The established (10+ years), growing, and consistently profitable business is located in Portland, OR. Our engineering teams efforts are split between product development and unique custom solutions.  As the Director of Engineering you will lead sensor and software teams, and represent their interests to Executive Staff in both short term and long term decision making.","Key RequirementsLead an innovative and growing engineering team that solves complex challenges in a fast-paced environmentDevelop and manage plans to increase product development effectiveness through people, processes and equipmentWork cross-functionally on distilling requirements into technical designs that result in innovative productsEstablish development practices for the team, including release cycles, choices of technology and coding standardsResolve personal and technical conflicts, ensure that developers are taking on projects and challenges that engage them and foster growthBe the eyes on the ground for the tech team, spotting issues, celebrating successes, and brainstorming new ways to do thingsExercise a deep familiarity with open source technologies and platformsFind and hire top notch software and engineering talentDevelop career paths for employees and be a catalyst to spur individual growthAbility to scope out requirements and estimate development schedulesWork with the executive team, aid in developing multi-year strategic plansKeep current on technology trends both inside and outside the company. Work with other senior technical leaders to ensure we have a robust reputation in the tech communityExercise a natural ability to communicate and raise the skills and productivity of teams/team membersKey CompetenciesA proven leader who welcomes change and can build a positive and effective team promoting a fun, dynamic work environmentStrong software development focus as well as experience with electrical, optical, and mechanical engineeringStrong past performance of successfully managing large programs, on time and on budgetDemonstrated ability to effectively generate and communicate program status and risk assessmentsEducation and Work ExperienceMaster degree in Engineering or Computer Science preferredExperience with optics and EO remote sensing systems helpfulAt least 10 years of professional progressive employee management experience in an engineering development roleMust be a US Citizen","The company offers a comprehensive health insurance package which offers the choice of a Health Savings Account. In addition to health benefits, the company offers a company paid 401(K) plan, generous vacation and sick leave, paid parking or bus pass, employee recognition programs, a variety of paid and unpaid leave of absences, incentives and rewards. ",0,1,0,Full-time,Director,Master's Degree,Computer Software,Engineering,0
5445,Executive Chef,"MY, 14, Kuala lumpur",,65000-75000,Le Meridien is situated in the heart of kuala lumpur's tourist centre. We provide and exciting and entertaining experience for people who are looking for a fresh and exotic challenge. Our restaurants offer one of the best cuisine made by wonderful and passion filled individuals. Come and experience true luxury with us and be changed forever.,"Responsible for all food production including that used for restaurants, banquetfunctions and other outlets. Develop menus, food purchase specifications andrecipes. Supervise staff. Develop and monitorfood and labor budget for the department. Maintain highest professional food qualityand sanitation standards.Duties &amp; Responsibilities:* Plans menus for all food outlets in the Hotel. * Schedules and coordinates the work of chefs, cooks and other kitchen employeesto assure that food preparationis economical and technically correct and within budgetedlabor cost goals. * Approves the requisition of products and other necessary food supplies. * Ensures that high standards of sanitation, cleanliness and safety aremaintained throughout all kitchen areas at all times. * Establishes controls to minimize food and supply waste and theft. * Safeguards all food preparation employees by implementing training to increase their knowledge about safety, sanitation and accident preventionprinciples. * Develops standard recipes and techniques for food preparation and presentationwhich help to assure consistently high quality and to minimize food costs;exercises portion control for all items served and assists in establishing menuselling prices. * Prepares necessary data for applicable parts of the budget; projects annualfood, labor and other costs and monitors actual financial results; takescorrective action as necessary to help assure that financial goals are met. * Attends food and beverage staff and management meetings. * Consults with the Food &amp; Beverage Director about food production aspects ofspecial events being planned. * Cooks or directly supervises the cooking of items that require skillfulpreparation. * Ensures proper staffing for maximum productivity and high standards ofquality; controls food and payroll costs to achieve maximum profitability. * Evaluates food products to assure that quality standards are consistentlyattained. * Interacts with food and beverage ma nagement to assure that food productionconsistently exceeds the expectations of members and guests. * In conjunction with F&amp;B management team, assist in maintaining a high level ofservice principles in accordance with established standards.","Skills and Specifications:* Must have a passion and love for food* Excellent communication skills both written and oral* Public relations skills* Excellent management and organizational skills* Works well under pressure* Good attention to detailExperience:Previous experience with control food and labor cost, demonstration cooking, menudevelopment, and pricing and development of culinary team preferred. Premise andliability accountability and contract-managed service experience is desirable.Education:The ideal candidates will possess a bachelor's degree or related culinary degreewith four or more years of industry and culinary management experience.",,0,1,0,Full-time,Executive,,Hospitality,Other,1
8994,EHS Manager,"US, DC, Washington, D.C.",,80-100,"Shirley Parsons LLC is a specialist recruitment consultancy working within the Environmental and Health &amp; Safety markets. We have a passion for developing healthier, safer environments and our mission is to build long-term, sustainable relationships with both our clients and our candidates.  We partner our clients throughout the recruitment process, providing an unparalleled level of service with the ultimate goal of building high performing teams that will deliver efficient systems and procedures, improve safety performance and further enhance our clients profit margins.","A major principal contractor is seeking a technically competent EHS Manager to support their team on a building project in Washington, D.C.. The candidate will be involved with the daily operations and development of comprehensive environmental, health, and safety programs while working with EHS management, project management, and field personnel. The role:         Implement and maintain established Environmental, Health, and Safety Management SystemsConduct daily site inspections with consideration for safety and environmental issuesProvide site specific safety and environmental inductionsProvide EHS support to management and co-workersIncident, accident and near miss investigationStatistical analysis of project performancePromote EHS awareness throughout the project ","The candidate: A minimum of 5 years EHS experience in the construction industryAn understanding of ISO 14001/OHSAS 18001 management systemsCHST or other Safety Certifications desirableOSHA 30 HourExcellent hazard recognition skillsStrong written and verbal skills in English, Spanish would be beneficialIT literate and proficiency in MS Office",,0,1,0,Full-time,,Certification,Construction,,0
11816,Driver - Medium Duty (LA/OC),"US, CA, LA/Orange County",Operations,,"Our HistoryFounded in 1929 by Earl Bertrand Bradley, the company began selling products for Knape and Vogt Co. of Grand Rapids, Michigan.With the opening of the Los Angeles branch in 1929, the company became a wholesale distributor specializing in store fixture and specialty hardware such as drawer slides, hinges, brackets and standards. In 1943 branch offices were opened in San Francisco followed by Seattle in 1956.The companys market position and business began to grow during the late 1950s and 1960s after adding Wilsonarts high-pressure laminate line to its product mix.During the 1970s under the leadership of E.B. Bradleys son Robert E. Bradley, Sr., two new branches were opened; San Diego in 1972 and Portland in 1976. Significant product additions were the Blum line of European hinges and drawer slides and Accuride precision ball bearing drawer slides. A greater emphasis as a supplier to the Cabinet and Furniture industries was taking hold.Since the opening of the Anaheim branch in 1995, the company has been consistently growing.In 1998 the company entered the cold press lamination business by opening 3 locations of its West Coast Laminating subsidiary in the Los Angeles, San Francisco and Pacific Northwest marketplaces.Our OwnershipUp until January 4, 2009, the company operated as a 100% family owned business.On January 5, 2009, Industrial Opportunity Partners (“IOP”), a private equity firm based in Evanston, IL. partnered with Robert Bradley, Jr. in aquiring the stock of E.B. Bradley Co. and its subsidiaries from its current shareholders.","Position available is located in 4 of our Southern California offices:  Anaheim, North Hollywood, Santa Fe Springs, and Vernon.Responsible for daily delivery of company products to customer locations in a safe and timely manner ensuring the reputation of being the First Choice in Quality and Service.","Essential Job FunctionsSelect, sort and verify orders for delivery;Plan daily delivery route and strategy for the day;Make changes to the delivery route as per customer needs and requests;Pre-inspect orders for damage and quality before delivery;Load and/or assist with loading the vehicle for deliveries;Ensure that the load is in compliance with weight and other load limits;Conduct a daily walk around safety inspection of the delivery vehicle;Make on-time deliveries to customer locations as per pre-determined route schedule:Unload customer orders at customer location;Provide excellent, professional and courteous services to customers during each stop;Complete and maintain paperwork as required by company policy, procedures and directions provided by supervisor;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Follow all Department of Motor Vehicle policies and procedures at all times;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Responsible to ensure that the delivery vehicle is clean, safe, maintained and in good operating condition;Operate a forklift;Perform housekeeping duties within your work area maintaining a clean and safe working environment. Non-Essential Job FunctionsShipping;Receiving;Counter Sales;Must assist others and perform additional tasks as directed by the Operations Manager. Skills/QualificationsAbility to operate a small to medium size truck;Must have and maintain a clean driving record in compliance with company set standards;A general knowledge of the surrounding delivery areas;Prioritization, Organization, and Execution — ability to multi-task in a stressful, fast paced working environment;Attention to Detail,;Must have the ability to prioritize and organize;Good customer service skills. QualificationsTo perform this job successfully, an individual must be able to perform each essential job function satisfactorily. The requirements listed in this job description are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with qualified disabilities to perform the essential functions of the job. RequirementsHigh School Diploma or GED;A current valid Class B Driver's License;A current DOT Medical Certification;Basic computer skills;Ability to be certified as a forklift operator;A minimum of five years of general driving experience;1-3 years of route delivery experience. Physical DemandsRequires the ability to walk, stand, carry materials, stoop, kneel, bend at the waist, reach overhead and climb ladders and/or on and off of equipment:May require sitting and/or standing for long periods of time;Will be required to lift up to 50 lbs on a regular basis; Working EnvironmentAll work is performed within a manufacturing and warehouse environment;Exposure to moving mechanical parts, machinery, fumes, airborne particles, dirt, dust and chemical fumes;The noise level in the work environment is usually moderate to loud and may require hearing protection.Safety shoes, back support and eye protection are also required.Temperature environment range is approximately 30°F to 110°F. SummaryThis position description identifies the key responsibilities, expectations of performance, qualifications and work environment as outlined in the contents.  It is neither intended nor possible to list all tasks and variables the employee may be required to perform or conditions the employee may be subject to.  Employees are expected to follow any and all job related duties and responsibilities, as may be reasonable assigned by management.As an Equal Opportunity Employer, E.B. Bradley, Co. is committed to a diverse workforce.",,0,1,1,,,,,Other,0
3061,Business Intelligence (BI) Developer,"CA, AB, Edmonton",BI,,"WHY WORK AT IMAGINET “Working at Imaginet is great! I get to work with leading edge technology as well as the some of the best developers, project managers and management in the industry.” -- Evan Bosscher, Application Developer at Imaginet“Imaginet enables me to use the latest tools and platforms to solve complex and challenging problems and encourages me to continue growing in my application development career.  My peers at Imaginet are some of the best and brightest developers in the country.” -- Tyler Doerksen, Cloud Application Specialist at Imaginet At Imaginet, our clients look to us to help them with their toughest projects using the newest technologies.  We are the technology partner who tames their chaos, who turns disruption into steady state and makes the unknown more predictable.  We are at the forefront of emerging technology trends, new product releases and shifts in the software development industry.  We are constantly learning about, and experimenting with the latest and greatest software platforms, controls, processes and design patterns. Our current projects include:•             Enterprise Mobility •             Dev Ops •             Business Intelligence •             Scrum/Lean/Kanban •             Enterprise Content ManagementIf you are passionate about being part of a leading edge software development team with a commitment to continuous learning, we want you as part of the Imaginet team.BalancePeople lead dynamic lives and not all personal commitments lie outside of 9-5.  At Imaginet, we recognize that our employees need the flexibility to support their families, hobbies, charities, and other interests to stay motivated and fulfilled.  Many employees work partially from home, start/stop work at different times or telecommute from a home office.  At Imaginet, it is passion and performance that counts, not which hours in the day you sit in the office.Personal DevelopmentWe want the best and brightest minds working with us.  Our employees are recognized thought leaders in software development and we encourage continued learning. Imaginet employees who wish to write exams are subsidized upon the completion of technical certifications and can receive raises for exemplary results.  We fund and encourage our employees participation at conferences with special interest in those who can speak on niche software development topics and practices. Imaginet has more Microsoft MVPs on staff per capita than any other Microsoft partner and we encourage employees to attend the MVP summits at Microsoft in Redmond.  Imaginet employees are very active in the local software community and lead .NET user groups in many of our employees residing cities.  We also encourage our employees to present internally via “lunch and learns” on topics of interest.Compensation                                                    Even though Imaginet is a great place to work, its still a job and you want to be paid.  We pride ourselves on our promote from within philosophy and provide competitive compensations. We provide excellent health care benefits including eye, dental and disability.  We also offer overtime to our employees that want to work extra hours on select projects.Recognized LeadersImaginet is globally recognized within the software industry as a premier consulting and software development organization.  We are a multiple Microsoft Gold Partner, Telerik Gold Partner, #URL_eb33f3e87ac1203366e8701171cb1ef8a42857099f85613b3b7f029ffb751723# Partner and a founding member of the Lean Kanban University.   We are invited to speak at conferences around the world and have published numerous books under Microsoft Press.  In addition, we have many Microsoft MVPs, Virtual Technical Specialists (VTSs) and Regional Directors on staff. Our experience spans over 2,400 engagements, 1,100 clients, and over 17 years.  We are the world leaders in ALM on the Microsoft platform and 1st Ever Winner of the Global Microsoft Partner of the Year Award in ALM.","Imaginet is seeking to hire a dynamic and self-driven Microsoft Business Intelligence (BI) Developer in the Edmonton area. The successful candidate will be responsible for developing, supporting, and troubleshooting Business Intelligence processes and assets, including, work with SQL Server databases, SQL Server Integration Services packages, SQL Server Reporting Services reports, Business Intelligence Semantic Models (Analysis Services multidimensional and tabular databases, and/or PowerPivot models), SharePoint components and BI-related assets including Excel Services and PerformancePoint Services dashboards.  The BI Developer will also meet with customers, project stakeholders, subject matter experts and users to discover and document BI requirements and features.  Once requirements have been captured the Developer will design logical and physical architectures to support enterprise BI processes and present back to customer for approval and move forward into the implementation stage.  Travel is required (ability to travel to and from Canada and US).Imaginet does not sponsor work visas. We are only considering local or candidates willing to commute to the Edmonton area.","Excellent design skills in star schema databases and dimensional modelsIn-depth development skills using the Microsoft SQL Server BI stack, such as T-SQL, MDX, DAX, SSRS reports, PerformancePoint, Excel, PowerPivot, SSIS packagesKnowledge and application of data visualization best practices to deliver business insightsExcellent verbal and written communication skillsKnowledge and practical experience of Agile Analytics practicesKnowledge and practical experience of Scrum and Kanban methodologiesPositive attitude with the ability to identify problems, take ownership, and provide solutionsExcellent customer service skills  the ability to be compassionate, accurate, responsive, resourceful, and conscientiousFantastic troubleshooting skillsContinual learning and self-improvementAbility to learn new concepts and technologies quicklyDemonstrate an adaptive style that is flexible and effective in gaining cooperation of othersDemonstrate sound analytical and diagnostic skills dealing with issues that are not readily definedAbility to balance the workload of team members and supervise individuals of varying abilitiesPREFERRED     Associate's Degree/College Diploma in Information TechnologyCertifications are an assetAt least 3 years of experience in one or more of the following: Installing, troubleshooting, operating, testing, planning and configuring relevant Microsoft stack technologies.",Please visit the Imaginet Careers Page for details on benefits. #URL_8c821a9db5fa8af043fa531ef037e4936846c1f98edd38a8d1422129c2c96256#,1,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
11699,Digital Account Manager,"GR, I, Melissia",,,"An independent award winning, ideas-driven, media neutral agency that helps its clients realign, redirect and rejoice their brands in the fast-forward era.   At re|direct we like to create stuff that people love to talk about. And we will do whatever it takes: from advertising and mobile marketing to digital signage and social media. And from art direction and branding to fully immersive integrated experiences.   Starting from strategic insights, we develop ideas that with the clever use of technology- deliver remarkable, entertaining experiences that drive brand awareness and growth.Clients that have trusted us:Wind, Opel, McArthurGlen, Athenian Brewery, Nestlé, L'Oreal Paris, Garnier, MaybellineTo learn more about us, please feel free to visit #URL_e6c1278bbb28122efa6bf9c0db2327b5f850afe8fda520830e090ab64adc1072#","We are seeking for an analytical and highly enthusiastic individual with at least two years of experience, to join our team to conceive, help implement and report on digital strategy initiatives. The ideal candidate has a keen interest in the world of digital marketing, and the drive to succeed. Throughout your line of work, you will be exposed to a growing roster of high-end clients; primarily assisting the digital marketing team with their day-to-day operations.Attend team meetingsProvide meeting recaps to the Account, Production and Digital Marketing team from select internal meetings or conference callsClient communication and management by developing contact reports, scheduling client meetings and communicating status of social initiatives to relevant internal and client stakeholders (across multiple segments).Collect data and produce various reportsConduct necessary research as directedKeep the digital marketing team abreast of new tools / technologies in the digital spaceHelp develop presentationsResearch and analysis on markets or ideas as assignedParticipate in strategy and marketing brainstorming sessionsAssist with analytics reporting including Google Analytics and various social media analytics platformsPlays an active role in the social brainstorming process.Other responsibilities as assigned.","Digital EvangelistBachelor degree2+ years relevant experienceAbility to handle multiple tasks in a fast-paced environment, under tight deadlinesGoogle Analytics experience required, experience with additional platforms preferredSocial Media platform experience required, including experience crafting a brands social media strategyExtremely analytical, detail-oriented and organizedExperience creating reports and recommendations for clients based on laid out strategyAbility to confidently discuss the use of web technologies as they apply to digital marketing and overall communication strategy",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Advertising,0
12422,Agent-Inbound Cust Svc,"US, TN, Spring Hill",,10-Oct,"Delivering superior customer services for over 10 years, IBEX Global is a business process outsourcer offering an integrated suite of services that supports an expansive array of customer facing solutions.Headquartered in Washington, DC. IBEX Global delivers onshore, near shore and offshore solutions in 5 countries, across 18 call centers employing over 9000+ customer service professionals.  The recipient of several client and community awards such as the Goodwill Industries Employer of the Year, IBEX Global is one of the most forward thinking companies in the BPO industry.","Job DescriptionAre you ready to start your sales career with a growing organization in a call center sales and customer service role, then consider joining our growing inbound team. IBEX Global is rapidly growing and were looking to quickly ramp up entry level Call Center Customer Service Representatives that will be responsible for taking inbound calls from clients, selling telecommunications products and services. At IBEX Global weve been delivering superior customer services for over 10 years, as a business process outsourcer offering an integrated suite of services that supports an expansive array of client facing solutions. representatives are responsible for generating sales of telecommunications products in an inbound sales center.Job ResponsibilitiesIn this role you will be responsible for fielding inbound calls from customers providing quality support and suggestively selling telecommunications products and services based on customers needs.Additional responsibilities of the position include:• Generating sales of our clients services and products and representing IBEX Global and its clients with the utmost integrity and professionalism• Providing exceptional service and maintaining established acceptance rating on quality monitoring tests• Resolving customer concerns immediately and effectively, displaying professionalism and courtesy at all times• Having full knowledge of features and benefits of products and services; quickly and correctly answering product questions seeking to stay informed of program changes• Attending and actively participating in all required training programs; showing eagerness and capacity to learn new products and programsThese positions are full time. The program hours are from 7:00 am  12:00 midnight, seven days a week. IBEX Global is seeking candidates who are able to be at the call center and work any shift during the program hours. Candidates must successfully complete a nine week, paid training course, prior to taking calls. Training class will start October 13th, 2014 and will be on Monday thru Friday 6:30am until 3:00 pm or 3:30 pm until 12:00 midnight. Qualified applicants will be invited to attend an informational session at IBEX Global's office in Spring Hill, TN to learn more about the position, and to interview with an IBEX Global representative. ","RequirementsAs a Call Center Customer Service Representative you should be driven to succeed and exceed customer service and sales goals. In this role you should have strong interpersonal communication skills with a confident and outgoing personality. Additional requirements of the position include:• High School Diploma or equivalent• 6 months experience in a sales or service related role• Basic computer skills• Excellent verbal and written communication skills• Ability to utilize basic math computation skills, preferred• Required to work a flexible schedule that provides needed coverage for customer service levels.• Required to read and follow all company policies and procedures.Able to maintain a regular work schedule to meet the needs of the business. Support and model the company values.• Background and Drug Screening is required.","Benefits :We offer our employees the following comprehensive benefits plan• $10.00/hr during training• $10.00/hr + commission in production upon the successful completion of training• Medical, Dental, Vision Insurance• 401(k) with company match• Paid Time Off• Paid professional training• Discounts on Personal Electronics*Applicants must include a full resume' with your application for consideration",1,1,0,Full-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
3396,Front End Developer,"GR, I, Athens",,,"Trebbble is a mobile strategy and development firm, specializing in the delivery of brilliant mobile experiences. We partner with brands and agencies, advise them on how to get the most out of the thriving mobile landscape, and further implement successful mobile solutions that entice users and drive engagement with their brand, products or services.We strongly believe that mobile is not just another means of brand awareness, but, if properly used, can strengthen consumer loyalty and generate new revenue streams. To this direction, we blend a talented and passionate team of people with strong engineering, design, and strategic thinking skills, which turns mobile insights into memorable brand experiences that inspire users and transform businesses.Our fast-evolving environment offers an ideal chance to get involved in stimulating mobile projects and challenges. As a Trebbbler, you will collaborate with a talented and passionate team of people with strong engineering, design, and strategic thinking skills.If you are enthusiastic, knowledge-hungry learner, eager to meet challenges and quickly assimilate new concepts, then we are looking forward to join us!","Trebbble is looking for&nbsp;talented individuals with experience in programming web technologies including CSS, HTML and JavaScript to join our team. As a member&nbsp;of our development team you will:Implement awesome web-based interfaces using emerging web technologies such as HTML5, JavaScript, and CSS3Work along with designers and other developers, and contribute to design sessions as the technical expert by providing technical feasibility assessments and ideasSolve complex engineering problems quickly and smartlyWrite code and assist with asset productionClearly articulate ideas and recommendations on development solutionsHave a strong voice in application architecture, investigating solutions and finding ways to innovate","Have 2+ years development experienceLive and breathe HTML, CSS, and JavaScriptDeep expertise with supporting web standards technologies, including jQuery and Bootstrap (other libraries: LESS, SASS, DocPad, Grunt, Mustache)Strong experience with responsive design and grid systemsHave a strong understanding of cross-browser compatibilityAbility to use popular web frameworks but write custom code without fearFamiliarity with versioning control technologies (i.e. Git)Communicate openly and candidly and be clear under tight deadlinesHave experience with task planning and estimating effortHave strong personal organization and attention to detailHave excellent English written and verbal skills","This position offers great growth opportunities and an&nbsp;excellent career track. Apart from competitive salary, the right individual will join one of the most innovative companies. Moreover, he/she will be able to enjoy continuous training as well as a stimulating and attractive work environment.",0,1,1,,,,,,0
9372,Fall 2014 Fellowship,"US, NY, New York",,,"Imperative is a movement to evolve the economy into one driven by purpose. We believe in a more human world where by better understanding our purpose, we are able to unite to better solve the worlds problems.We are building a network of bold and authentic people and connecting them to purpose at work and in their community. It involves helping people discover their purpose profile, connecting them to others in their professional community and activating city-based projects for local social impact. As society increasingly prioritizes personal growth, relationships and impact, Imperative is becoming the catalyzing force behind the transition to a Purpose Economy.We are entering a really exciting time as our team grows and our vision comes together in an actionable product. Its a dynamic, fast-paced environment where we love what we do and have a lot of fun together.","This fall we're inviting a handful of passionate creatives to join us for the Imperative Fellowship. This three month program will give you hands-on experience in a fast-paced start-up environment, the chance to take on a significant project enhancing what we do, and the chance to be an integral part of the impact we are trying to create in people's lives. While the Fellowship is unpaid its primary focus is the growth and development of each fellow.About ImperativeWe are setting out to transform work by empowering everyone to manage a career with purpose. Imperative is your first personalized resource to manage your career and a revolutionary way for employers to propel their people forward. The manifesto behind our work is our book, The Purpose Economy. We believe that purpose is driving innovation and radically reshpaing careers and organizations. We envision a future where relationships, impact, and personal growth will change the economy.Fellowship OpportuniyThere are 3 positions available at Imperative this fall: the Technical Development Fellow, the Design Fellow, and the Community Management Fellow.Technical Development FellowTechnology Fellows will work directly with the CTO, development and design teams and be granted enormous responsibilities and opportunities to learn real-world application development. The Technical Fellowship will be an ideal complement to your studies, research or part-time work. The ideal candidate is passionate about building for disruptive markets, eager to tackle complex issues, and comfortable working in a fast-paced startup environment. Breaking things is ok! Youll be expected to get your hands dirty in any of the following technologies: Javascript / Coffeescript, AngularJS, JQuery, HTML, CSS / SASS, SQL, MongoDB, Ruby, NodeJS, Scala, RESTful web services architecture, JSON, API mashing.Design FellowAs Imperative continues to engage our community and expand our messaging, the design fellow will focus on the conceptualization and execution of powerful assets, materials and experiences. This multidisciplinary role will expand from graphic to print to web design and will interface with our technical and communications team members. This person will have a role in communications campaigns, marketing and even some product development. The ideal candidate will have strong graphic design chops, be well-versed in Photoshop and/or Illustrator, a strong communicator and able to field and act on feedback.Community Management FellowPlay a key role in the curation and cultivation of Imperatives community, online and offline. As a community manager youll manage Imperatives presence on various appropriate social media platform; help create/discover/publish content relevant to the Imperative mission; assist in the maintenance of an archive of physical and digital media relevant to the Imperative community; reach communities and promote events, as well as gain experience in social media platforms and the tools used to assess their performance. The ideal candidate is forward thinking, creative, self motivated individual who is comfortable with both taking initiative and working in collaboration. We are looking for someone who is detail oriented with strong written and verbal communication skills. Strong applicants will have and facility with mainstream social media platforms, including but not limited to Facebook, Twitter, Pinterest, LinkedIn, and Google+","Requirements for specific fellow roles can be found in the fellow descriptions.General Requirements for the Fellowship:The Fellowship is open to existing undergraduate students, college grads and people now in the workforceFellows must be in close proximity to New York CityWe ask that Fellows are able to contribute as close to full-time as possible (with exceptions on a case by case basis)The Fellowship is classified as an educational training program according to the New York Labor StandardsThe Fellowship is unpaid with the potential of a stipendAll business expenses are reimbursed including weekly Fellow lunches on Mondays",,0,1,1,Other,,,,,0
9899,Want to work at Victor?,"GB, , ",General,,"Founded by serial entrepreneur Clive Jackson and launched in 2011, Victor is one of the worlds most trusted names in private jet charter. With only a 5% fee for online bookings, Victor offers the broadest selection of quotes for charter flights at the best prices directly from the operators. With access to over 700 contracted aircraft, Victor can get you airborne in less than 2 hours from almost any airport around the world on any aircraft type. We're a small and growing agile team. As a team we aspire to the hacker culture and we care about what we do. We want to produce simple, elegant solutions to difficult problems. We take pride in getting the job done right. We want to improve as individuals and improve the team and wider organisation around us.Our development team use modern technologies, tools and methods to produce a product that our customers love. We want our applications to be ubiquitous; any time someone is booking a jet they'll be using the Victor platform.We choose the best tools for the job and right now that's mostly node and Angular, with MongoDB and a smattering of Python.For vacancies for our other companies, #URL_8b5fe34131f015b09e2b4b01097392477242e0de74786a62a71e91e1e5dbfba7# and Global Beach, please click on links below:#URL_c31909b471fce3a2c3ea962a074a37e919edf78b8dfb90c4a3a9ace570542adf#http://global-#URL_c4958175db594f9b8c88259f8f6fa850085a15c4723021f19596b2a9752dc62f#","Victor is always looking for talented individuals with an entrepreneurial spirit and passion for delivering an excellent service.If this is you, tell us why...",,,0,1,0,Full-time,,,Airlines/Aviation,,0
4587,English Teacher Abroad ,"US, OK, Langston",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
13360,Senior Java Developer (Malaysia),"MY, , Kuala Lumpur - Sentral",Software Products - Development,,"Want to be part of a NZ success story thats going places?Want to have a hand in developing products that youll be proud of?We are a driven and ambitious technology business with a Vision to deliver revolutionary transactional and self service products that make people wonder how they ever lived without them.Designed in New Zealand and exported across the world, our products have an enviable history of delivering smart and robust technology solutions to the oil and gas retail sector. We have developed many first-in-world products and we are embarking on our next strategic horizon - so we have exciting and challenging times ahead!Types of roles we recruit for;Embedded and Application EngineersMechanical, Hardware and Production EngineersSoftware Developers (particularly Java Devs with payments experience)Product ArchitectsQA &amp; Compliance (including Test Analysts / Test Engineers)Project Managers (software and hardware)Solutions Consultants (Business Analysts)Plus much much moreWe believe in the importance of living and breathing our Company Values; were Passionate, we do What We Say, were Straight Up, were Creative, were Team players, were all about Quality, we make it Win-Win and People Matter to us.","If you are an accomplished Java Software Developer at a Senior level looking for a new challenge which will offer growth, this could be the role you have been looking for!We are a driven and ambitious technology business with a Vision to deliver revolutionary transactional and self service products that make people wonder how they ever lived without them.Designed in New Zealand and exported across the world, our products have an enviable history of delivering smart and robust technology solutions. We have developed many first-in-world products and have a long history of innovative site automation solutions. We are embarking on our next strategic horizon - implementing cloud and mobile strategies to retain our leadership and recognised innovation, so we have exciting and challenging times ahead.Reporting to the Development Team Lead in Kuala Lumpur, you will be able to design, build and maintain software solutions with a commitment to delivering a quality solution on time that meets or exceeds the needs of our internal and external customers.Core functions will include but not be limited to; software development, documentation and testing.",Our ideal candidates will have;Tertiary qualification in Computer Science or Information Technology or equivalent practical experience at a senior level5+ years software development experience in a similar roleExperience with OOAD and relational databasesAdvanced Java knowledge and experienceProven ability to solve complex problemsUnit testing expertise and familiarity with code quality metricsBroad experience of software development and relevant methodologiesExcellent communication skills both written and verbal - particularly in EnglishAbility to build and sustain effective relationshipsBONUS if you have an understanding of payment systems products and their product domainsDesirable to have experience with automated testing techniques,"We are in an exciting growth phase, if you would like the opportunity to work for an organisation where your skills and performance will be recognised, an organisation that believes in investing in your learning and development, then we would like to hear from you!Sentral location - close to the stationEmployee Wellbeing ProgrammeActive Social ClubPerformance based pay, training and development opportunities, challenging work, flexible work hours, paid birthday leave, medical insurance and access to EAP services.We believe in the importance of living our Company Values; were Passionate, we Do What We Say, were Straight Up, were Creative, were Team players, were all about Quality, we make it Win-Win and People Matter to us.If this sounds like you and you want to work with a passionate group of people who work hard to get projects across the line; do what needs to be done to deliver successfully and have fun while doing it, then apply today!#URL_5986f170772b5bd01bbbe5dcef6d24f90be00a45753fa426e2c4ec5453248cd6#",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
15946,CNC Programmer,"US, CA, Los Angeles",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)#URL_94f805e93a6fa859cd8540e9767e187e295d764a384221d77f8880142b6416b7#-#URL_dbdb917780b325918ec611d0f47d6a0dff5ee871b96bc71180616d0d0570925b#Job Requirements:Must be familiar with Job Shop type operations.CAM and CAD experience a major plus.Ideal candidate will have a minimum of 10 yrs experience and have as strong of a manual manufacturing background as he does with CNC equipment. The machinery list for the facility is split between very large CNC Mill, Manual Mills and Lathes and some small MAZAK (w/ Mazatrol Controls)Job Responsibilities:The Shift is 1stThere is overtime, but it fluctuates.",,,0,0,0,Full-time,,,,,0
12073,WEB DEVELOPER,"PH, 07, Cebu",,,"Zyluns mission is to help small and medium size enterprises take advantage of a highly skilled global workforce in a simple and cost effective way. We help companies flexibly hire a staff overseas comprised of developers, designers, Internet marketers, managers, and customer service representatives. Zylun Staffing takes pride in not only providing simple and effective services, but ensuring dedicated employees to fit our clients exact needs. We have career opportunities from administrative IT, graphic design, SEO, data entry, sales agents, chat agents, and customer representatives. Zylun is an American owned and operated company headquartered in 210 North 1200 East, Suite 101 Lehi, Utah 84043, with American management heading its offshore operations.","Requirements:Experience implementing on-site changes through Drupal and other CMS platformsExperience with domain-level site modifications (HTACCESS, 301 redirects, database queries, etc.)Ability to leverage current CMS data to build out new templates/pages","Nice to have:Familiarity with CMS platforms and how they work (Such as Magento, Wordpress, Shopify, Shopatron, etc., or anything .NET based)Familiarity with contemporary SEOExperience working with several sites simultaneously, aggressive time/task mgt.Experience with API implementations (were using several different tools at the moment) in-depth Excel experience, to help with analysis &amp; dashboard design if/when necessary",,0,1,0,,,,,,0
9329,"Senior Software Engineer, Production Engineering","IE, L, Dublin",,,"Intercom (#URL_cb674f93cbcd215a3a0a57a8615a565545949eead8adbbc73307c26d6a6af81b#) is a simple, personal messaging service for businesses and their customers. It provides a single, integrated platform for the whole company to use for support, marketing, product, sales communication and more.Were on a mission to make web and mobile business personal. We believe that the future of customer communication requires not increasingly complex, impersonal point solutions, but rather a simple, seamless platform that applies innovations found in consumer services like Facebook and WhatsApp.Founded in late 2011, Intercom has raised over $30 million in venture capital and is backed by leading investors, The Social+Capital Partnership and Bessemer Venture Partners. Intercom has been adopted by thousands of businesses, including Heroku, Hootsuite, Rackspace, Yahoo! and Perfect Audience. Our customers love the product: #URL_18cc302f4e0f1dac521853b2a6ae109ebbe44c6130d31eb0413535ba71e2f42f#We like big thinkers with small egos who believe in our goal to build a uniquely impactful, long-lasting tech company. Our vision is to fundamentally change forever how Internet businesses and their customers communicate.","The OpportunityThe Infrastructure team are responsible for evolving and maintaining the platform on which the Intercom product lives and ensuring the reliability, scalability, efficiency and security of Intercom as a whole. This is an opportunity for someone to join a very skilled, high performing team at a senior level. You will have high impact through your expert Ruby development and data storage knowledge.Our focus is on shipping quality product fast, with a strong bias for simplicity and appreciation for good software craftsmanship. Our entire infrastructure and product teams are colocated in Dublin, so you'll own the most important and challenging projects for our business, and work face to face with all the people you need to succeed.Software Engineers at Intercom:think big and are ambitious and determinedenjoy moving fast (we continuously deploy to production, in minutes, dozens of times a day) and make every day countobsess about our product and the challenges our customers facedream of perfection and obsess about the quality of the work you produceare proud of what they work on and have fun doing itIntercom is a great place to work. We care about people (you, our customers, our team mates), not resources or budgets, or rules.The type of projects you could work onAt Intercom, we'll challenge and support you to do the best work of your career. Some examples of the types of projects you could work on:Designing and implementing new storage layer for our messaging productMoving our AWS infrastructure to be managed by DockerEvolving &amp; instrumenting our core Ember JS platformTo be a good fit, you should have:an expert level knowledge of Ruby on Railsan in-depth knowledge of one or more of the following: MySql, Mongo DB or Dynamo DB.a strong track record of launching projects of significant complexity.an understanding of data structures, algorithms and their performance.experience with design, from high-availability large-scale distributed systems to your applications object model.Bonus skills include:strong knowledge of Java or Pythonexperience as a tech lead/senior developerprevious experience using #URL_5eeeab414a831563b0294f53edd871b2bc8fc7000cab17e6b33cf60192cdbc14#",,,0,1,1,Full-time,Mid-Senior level,,Computer Software,Information Technology,0
15931,Software Development engineer,"US, OH, Groveport",,,,"Position:  Software Development EngineerLocation: Groveport, OHDuration:  6+ months Contract to Hire Skills:Java J2EEAgileJSPJBDCWeb servicesOracleSOAHibernateiBatis Major Responsibilities include :Works collaboratively with technical and business team members to develop and maintain business solutions following Agile development principles and practicesIs influential and engages actively in building out a dynamic and productive development organization and continuously improving practices and methodologyDesigns and develops applications with an eye towards simplicity, reliability, manageability, scalability, extensibility, performance and re-useProvides technical expertise in the areas of architecture, design, implementation, and testingSets and adheres to software coding and style guides to ensure consistencyParticipates in pairing and code reviewsSupport (2nd level) and troubleshoot problems with existing applicationsMentors and guides others who are still developing their skills                        ","Minimum Qualifications include:A minimum of 7 years software development experience, 5 years of which are Java/J2ee specific, is requiredSelf-motivated team player who excels in a collaborative environmentEcommerce experience is a must.Hands on experience with Agile methodologies.Strong sense of personal accountability; contributes beyond job role and responsibilitiesExcellent problem solving skills; meticulous &amp; methodicalAbility to learn and apply new technologies quickly and self-directedProven ability to translate complex business requirements into simple software solutionsPassionate about continuously improving organizational practicesThorough understanding of Object Oriented principles (Analysis and Design)Candidates must have a robust understanding of Java and an in-depth understanding of other Java technologies, including Servlets, Struts/Tiles, JSP, JDBC, JMS, SpringFamiliarity with front-end technologies  Object-Oriented Javascript, AJAX, XHTML, HTML, XML/DOMFull lifecycle development experience on large projects, creating distributed and scalable business systems.Experience with WebServices (REST, SOAP, XML-RPC, etc)Experience with relational databases and data access (JDBC/DAO/SQL) Oracle strongly preferred.Familiarity with ORM technologies (iBatis, Hibernate)Mentor and guide others who are still developing their skills Desired Qualifications includeFamiliarity with EAI patterns, queuing systems (e.g. MQ Series, JMS, etc.) and business process orchestration (e.g. BPEL), workflow automation systems, etc.Familiarity with RubyExperience with test automation frameworksExperience in developing and driving SOA strategies within large enterprises and deploying SOA implementations to production a big plus",,0,0,0,Contract,Mid-Senior level,,Information Technology and Services,Information Technology,1
56,Junior HR Marketing Manager,"PL, , ",,,"We are Netguru and we love to develop web application based on Ruby On Rails framework. We value quality, transparent communication and passion for work.","We are Netguru and we love to develop web applications based on Ruby On Rails framework. We value quality, transparent communication and passion for work. This time we are looking for a junior HR Marketing manager, who knows how to build brand awareness and keep great relationship with our candidates. If you feel thats you - apply.",Great Junior HR Marketing Manager is a person who knows how to:write job offers in the most clear and `straight to the point` waycarry out interviews and keep candidates experience greatpromote job offers using various online methodsdevelop accurate strategies to increase brand awarenessimprove their skills by being always up to date with new employer branding trendsWe want you to come on board if you:already have some experience in HRhave some experience in marketing or event organizationknow how to use effective communication techniques to attract new talentscan look on HR from a business development perspectivecan communicate well in Englishcan keep up positive relationship with people with various personality traits  are reliable and can quickly adapt to the IT environmentare a problem solver kind of person,"Perks &amp; benefits:co-financing international conferencesEnglish lessons once a weekhalf-price lunchesFIFA, Xbox &amp; other gamesNetguru Dinner once a month",0,1,1,,,,,,0
10102,Mail Processing Associate - General Clerk 1 ,US,,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking a General Clerk I. The right candidate will be an integral part of our talented team, supporting our continued growth. This position will be located in our London, KY location.Responsibilities include, but are not limited to:Open mail manually or by use of high speed openers or auto-assist extraction equipmentIdentify, classify and sort documentsPrepare mail and documents for scanningAssemble document batches, verifying document and/or page volumesLift large boxes of paper for storage or production useHandle time-sensitive materialsHandle confidential materialsProcess cash, or checks in accordance with security and operating policies and proceduresPerform daily key-operator mail processing equipment maintenancePerform duties and special requests as assigned by team leader and managerEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careIdentify and refer sales-cues leading to potential add-on businessEnsure adherence to business guidelines, safety &amp; security proceduresSupport financial results by minimizing site waste and rework","We are currently seeking a General Clerk I. The right candidate will be an integral part of our talented team, supporting our continued growth. This position will be located in our London, KY location.Responsibilities include, but are not limited to:Open mail manually or by use of high speed openers or auto-assist extraction equipmentIdentify, classify and sort documentsPrepare mail and documents for scanningAssemble document batches, verifying document and/or page volumesLift large boxes of paper for storage or production useHandle time-sensitive materialsHandle confidential materialsProcess cash, or checks in accordance with security and operating policies and proceduresPerform daily key-operator mail processing equipment maintenancePerform duties and special requests as assigned by team leader and managerEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careIdentify and refer sales-cues leading to potential add-on businessEnsure adherence to business guidelines, safety &amp; security proceduresSupport financial results by minimizing site waste and rework",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
12388,Editor,"GB, ENG, London",The Beans Group,,"The Beans Group is a media company that exists to empower young people to thrive.  We have been on an exponential growth spurt since our inception as #URL_8d1ca4faf2d2518ce9ec21b3fbe5c63e4b47f383d6bb1f0a681ff6cc801b4550# in 2005 and in 2013 we won Digital Business of the Year. The Beans Group owns two brands: Student Beans, our youth media brand, strives to make life a little more awesome; and Voxburner, our youth insights consultancy, helps young people to be understood.We have created a business we are passionate about and really proud of. To continue to grow we need brilliant people who inspire and deliver change all around them - that's why we're looking for talented, ambitious and creative folks to come and join us.","Award-Winning London-Based Media Owner seek a hands on, collaborative and creative Editor to take our B2B proposition to the next level.The CompanyThe Beans Group is a media company that exists to empower young people to thrive.  We have been on an exponential growth spurt since our inception as #URL_8d1ca4faf2d2518ce9ec21b3fbe5c63e4b47f383d6bb1f0a681ff6cc801b4550# in 2005 and in 2013 we won Digital Business of the Year. The Beans Group owns two brands: Student Beans, our youth media brand, strives to make life a little more awesome; and Voxburner, our youth insights consultancy, helps young people to be understood.The RoleThe Beans Group Editor will be responsible for building our professional audience, creating original content that will appeal to brands and agencies. You will oversee and execute all content marketing initiatives, geared towards creating brand awareness for Voxburner, Student Beans and The Beans Group amongst business professionals. This content will live on the Voxburner blog and the Student Beans B2B blog, as well as being distributed through other channels. The purpose of this content marketing drive is ultimately to increase sales, engagement, retention and leads.If you have three plus year's experience, a background in journalism, are curious, hands on and keen to work within an entrepreneurial start up culture we want to hear from you.","Understanding and passion for the latest content marketing industry practices.Ability to understand data and see a good story in stats!Proven editorial skills. Training as a journalist, ideally with a background in digital and has a “nose” for the story. Skill at both long-form content creation and real-time (immediate) content creation and distribution strategies and tactics.A passion for new technology tools (aka, using the tools you preach about) and usage of those tools within your own blogs and social media outreach.","Life at The Beans GroupThere are many things that make working at The Beans Group rewarding but we believe that a business is only as good as the people who work there - which is why we only hire great people! We are building a business which we are passionate about and really proud of. If you have what it takes to delight, inspire and empower those around you, then these are some of the things you can look forward to enjoying…Multiple Award-Winning Company and Brands; Entrepreneurial Startup Culture with Security of being Fully-Self-Funded; Major Growth Plans; Awesome Team; Competitive Salary; In-House Training and Development; International Opportunities; Childcare Vouchers and On-Site Childcare Facilities; Table Foosball; Discounted Gym Membership; ad hoc Partnership Discounts (e.g. Tastecard, Local Dining Establishments); Office Remote-Control Helicopter and Nerf Gun Collection; World Renowned Speakers - Past speakers include David Allen &amp; David Taylor**Recruitment AgenciesIf you want to work with us on this role, please take a look at our instructions here. We do not work with agencies in any capacity other than this and do not take sales calls under any circumstances. If you ignore these simple guidelines, we can't work with you. They'll tell you everything you need to know.",0,1,1,Full-time,Not Applicable,Bachelor's Degree,Online Media,Writing/Editing,0
15585,PPC Group Lead,"GB, LND, Camden,",PPC,,"Forward3D is the largest independent digital marketing agency in Britain. We run cutting edge digital marketing campaigns across paid search, natural search, display and social by using industry-leading linguistics and technology. Our localisation and origination service, Locaria, allows us to scale our clients' campaigns and content internationally. We cover an unequalled range of languages and have experience of running marketing campaigns in over 40 markets to date. Our in-house team of linguists localise content, keywords and copy to support the expansion of our clients businesses on a global scale. We started life in 2005 as “Traffic Broker”, an affiliate risking our own money. In the last nine years we have grown to over 200 in-house analysts, serving some of the worlds biggest brands and achieving ten million clicks per day, across 60 markets and in 45 languages. “Innovate every day” is our mantra, combined with an affinity for data and insightful analysis. Weve stayed ahead of the curve by providing industry-leading apps and tech infrastructure to support our analysts. Forward3D is part of The Forward Internet Group - a fast-growing business with a portfolio of innovative brands that employs over 200 people in our Camden, New York, Shanghai and Seoul offices. As well as doubling revenues year on year for the last four years, weve picked up several accolades including The Sunday Times Tech Track 100, Deloitte Technology Fast 50 and National Business Awards.","Do you have industry leading knowledge of PPC and strong team management skills, ideally gained working in a digital agency environment? Are you looking for a heavyweight role that embraces client handling, team leadership, business development and more? Then consider a move to Forward3D.Were the fastest growing independent digital agency in the UK. Were also a place where careers genuinely flourish, rather than get stifled due to lack of progress or resources. Agile, data driven and pioneering, you wont find layers and layers of management here. Instead, youll discover a buzzing environment where bright, innovative and visionary people work in small autonomous teams that give them the freedom they need to thrive.Right now, were looking for an accomplished PPC Group Lead with impressive paid search experience and a flair for driving team performance, to take responsibility for the output and management of several paid search teams. A challenging senior level role that reports directly to the PPC Director, your expertise with in the field will have every chance to shine. Day-to-day, youll work closely with multiple Team Leads as you focus on developing team members, managing the workload and resource allocation and ensuring PPC best practice and high quality output. Well also look to you to grow each team and their capacity and improve working methods to increase efficiency. Client handling will regularly feature too. Indeed, youll get involved in key aspects of client communication and make a major contribution to high-level strategy. And, when it comes to business development activities such as identifying untapped opportunities in the marketplace, or using your PPC expertise to provide feedback support and strategic insights on all elements of paid search, again, youll deliver the goods.","Ideally with at least 4 years paid search experience, you have whats regarded as industry-leading knowledge of PPC, coupled with excellent leadership and people management skills. A good understanding of, and preferably exposure to, other digital marketing channels, would also be a real plus, whilst a demonstrable ability to manage clients across a variety of search engine advertising platforms, markets and verticals is also preferred. Above all though, youre passionate about PPC, adept at inspiring and motivating teams and keen to make your mark and pass on your knowledge in an exciting and fast moving workplace.","In return for your enthusiasm and expertise, well reward you with a competitive salary and an enviable range of benefits that include free breakfast and lunch, 24 days holiday a year (with the option to buy more days), pension, life assurance, private medical cover, childcare vouchers, numerous gym offers and a bike to work scheme or cash alternative. We also have a free bar where we celebrate successes or sometimes just unwind after a busy week.",0,1,0,,,,,,0
8239,Software Engineering Intern,"US, IA, Dubuque",Product Development,,"We design, build, sell, and service the most innovative operations management technology in the world.And we do it working together.  We dont believe in aiming low. We want our technology to be the standard by which the rest of the industry measures itself. Thats why we want great people on our team  talented, enthusiastic people who thrive on innovation, imagination, collaboration, and fun.Were all about people.We work very hard to sustain a culture that empowers people and inspires them to do the very best work they can. Processes,schedules, goals, rewards  they all reflect the massive appreciation we have for the people who make this company tick.  Sound like you? Take a look at our current openings and let us know where you fit.","WANTED:  A fearless, scrappy intern with a lively attitude and an eager mind. Were using HTML5, JavaScript, JQuery, CSS3, AJAX, and more to develop the most innovative operations management technology in the world. Wanna help?What youll do…Youll work in a state-of-the-art collaborative development space on the Northeast frontier of the Silicon Prairie.Youll work with and learn from a crack team of Software Engineers.Youll get elbow-deep in HTML 5, JQuery, JSON, AJAX, Javascript, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#, MVC3, and C#.Youll experience every stunning aspect of the development life cycle — building, testing, implementing, foosball playing, and more.Youll develop data entry screens &amp; reports, implement client-side and server-side business logic, write automated unit tests and perform manual testing.Youll eat, sleep, and breathe the tenets of outstanding UX and UI.Youll floor everyone with a barrage of fresh ideas about corporate culture and the future of technology.Youll constantly brag to your friends about how much your summer internship rules.  ","Who you are…Youre at least a junior whos currently enrolled in a four-year Computer Science, CIS, MIS or Mathematics bachelors degree program.Youre acquainted with web and object-oriented development processes and related terminology/concepts.You have excellent programming skills (experience with C#, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# MVC Framework, HTML, HTML 5, JavaScript, JQuery, CSS3 and/or AJAX is a definite plus).Youre familiar with Unified Modeling Language (UML) notation.Youre capable of developing test scripts and test code, and using automated test tools.You know the ins and outs of databases, especially SQL Server and Oracle.Youre organized, you manage your time well, and you stand behind your work.",,0,1,1,Part-time,Internship,,Computer Software,,0
11562,HR Assistant - Contract,"AU, NSW, Sydney",People & Culture,,"Squiz is one of the world's leading web solutions companies. We design, build and manage engaging websites and online applications using our Web Experience Management Suite, the Squiz Suite. Our clients include many household names and range from large global organisations to Government bodies and charities. We have an international network of offices in Australia, the UK, USA, New Zealand and Poland, and employ over 250 permanent members of staff.As a team, we are talented, motivated and enthusiastic. We live and breathe the web and our passion is finding new and innovative solutions using Squiz products alongside the latest web technologies. Our staff give 100% and are offered a high level of autonomy, responsibility and opportunity in return.","Squiz is an Australian owned and now multinational software and professional services company in the web engagement space. Our team comprises around 300 full time staff globally and our software is recognised by industry analysts as world class.  Were growing strongly (25% revenue growth in 2013) &amp; our success in this highly competitive environment comes chiefly by attracting A-grade people then engaging and empowering them to give their very best. We have an exciting opportunity for an HR Administrator to join our business on a 3 month contract with a view to perm. Reporting to the People &amp; Culture Manager and working closely with our Talent Acquisition Specialist you will be responsible for managing administration for the team. To name a few of your tasks related to hiring:Advertising  helping to write ads and post to job boards and social channelsEnsuring all applications  job boards, referrals etc. - received are uploaded onto our applicant tracking system for review.Reference checksPreparing new employee contracts &amp; setting up (soft copy) employee filesManaging the admin associated with introducing newbies to our business and their on boarding process.Other HR administration duties:Manage scheduling for in-house professional development trainingOHS adminAttending quarterly OHS meetingRecording meeting notes and action pointsUpdating P&amp;C monthly report with HR metricsHandling policy inquiries, referring managers/employees to the information they require; escalating if necessary","You could be a graduate or have many years of experience. The main qualities we are looking for are:Squiz DNA  people whoAre capable, reliable and responsibleAre always willing to lend a hand with a roll-up-their-sleeves approachAre trustworthy and honourable (being a bit cheeky is OK)Maintain a constructive and positive outlook (i.e. they give, rather than sap, energy)Are team players, not egoists, with a capacity to laugh at themselvesSuper organisational, time management and prioritisation skillsGreat communication skillsAbility to maintain a high level of accuracy in preparing and entering informationBasic understanding of human resources, recruitment and employee relationsAble to exhibit a high level of confidentiality",,0,1,0,Contract,Not Applicable,Unspecified,Information Technology and Services,Human Resources,0
3676,Sales Executive (Spanish Native Speaker),"GR, I, Athens",Sales,,"Optimal Business Action was created in 2005 in order to provide high quality, personalized HR services to medium and large-cap companies operating in Greece, the Balkans and the Middle East. Seven years later we are present in Athens, Bucharest and Dubai, and several of these companies are on our client list, including most major banks, pharmaceuticals, hotel &amp; retail chains, and large IT firms. We are one of only nine HR firms in Greece operating under the Temping Permit issued by the Labor Ministry and among a very few which offer employee evaluations based on the combination of the Minnesota Multiphasic Personality Inventory (MMPI) and Rorschach tests. Amongst our advantages is our extensive candidate network, the use of industry-specialized recruiters, our technology tools  including candidate pre-recorded interviews, and our competitive, on-success, fee structure. Our subsidiaries: Creative HR which was created in 2010 in order to undertake human-capital intensive projects, HR department restructurings, and provide HR consulting services. iTechScope Recruitment which was created in 2011 and is the first international recruitment company in Greece specializing in sourcing and placing personnel for companies operating in the fields of Information Technology, Telecommunications, and Engineering. Optimal HR Middle East FZC which was created in 2013 and is the groups entity in the UAE, providing our clients with Recruiting, Consulting, and Training products and services. Our partnerships: As of 2014, Optimal is the exclusive Gi Group (#URL_1458d4797348b1fe258e750e89ab66b11c9565a10abede82d761ce37c53395b6#) partner for Greece and the UAE, offering a vast array of products and services as well as sourcing access to candidates from 32 countries around the world. GI is one of the world's leading HR companies, providing services for the development of the labor market. It employs a staff of almost 2.500 people and its 2013 turnover approached $1.5 billion. We have an exclusive representation agreement with UK's APS (#URL_b40fd0caf83243a019915fa08fcf0afbb9f377d15194c88d4e4896e6848bbbbd#) for their on-line evaluation products, such as the TEIQue, Engagement Survey, and 360 Survey, and an exclusive collaboration with Chris Daffy (#URL_712f5a993f998f7aad7e3cc1b7d9c7d3eb17e8ffcace779065d1902e412e2c3d#) for his Customer Experience training programs. We also work with UK's Epigon Training &amp; Development (#URL_fe1f8ff39ad549be4c26f84c348c2d2dad85c84e6746f60140eb4392e652e543#) for NLP-based training seminars. Please contact us at: +30 210 32 59 350 GR &amp; +971 (0) 56 39 33 546 UAE or e-mail us at: #EMAIL_eb107d9d9176aa07bb1d808b882aacd15565df1b289ed0391e62d9bc7422d718# and #EMAIL_21116c53126810b34d578a02cb53ece4f520daa553e1b3ee91c614cc67d51c5d#","On behalf of our client, a well known mobile solutions company we are looking for a Sales Executive.The successful candidate will be based in Athens and will monitor and manage all the company's activities and clients in Spain.The key Duties include:Manage key customer relationships and actively develop new business opportunities in Spain.Assist in expanding the company's presence in SpainAssist in marketing activities for promotion of products","University degree in Business Administration or relevant fieldAt least 2 years of experience in sales of telecom productsExperience in online marketing will be considered an assetNative in spanish languageExcellent command of english language (both oral and written)Advanced knowledge of MS office (mostly word, excel, powerpoint,outlook)",,0,1,1,Full-time,Not Applicable,Bachelor's Degree,Information Technology and Services,Sales,0
3940,"International Broadcaster, Tigrigna Language","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The International Broadcaster shall have at least five (5) years of current experience. The International Broadcaster shall perform a combination of services that will include researching, interviewing, writing and voicing original news stories and reports. The International Broadcaster shall have the ability to adapt material for radio, television and/or internet about events in the target region, the U.S. and other countries. The individual shall produce and edit reports using compatible digital technology and shall be able to record and process interviews by phone, live face-to-face or in the field. The individual shall have extensive knowledge of the target region, its people, culture, institutions and political situation, and the ability to adapt English material to the target language and adapt the target language to English. The International Broadcaster shall possess and employ basic multimedia skills as required in the completion of each assignment, including the ability to take still photographs and record video via smartphone during field reporting assignments, and to upload photos and videos as needed to agency-based file servers. He or she shall assess different information to validate the comprehensiveness, accuracy and balance of news products, and shall demonstrate high standards of journalism and news experience. He or she shall have the ability to function as news announcer on live or pre-recorded news bulletins or newscasts; for video assignments the International Broadcaster shall wear attire suitable for the assignment. The International Broadcaster shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies. ",The International Broadcaster shall properly complete all daily tasks. These daily tasks include but are not limited to: preparing for assignments (fails not more than once per week); revising video packages (fails not more than twice per week); correcting production errors in stories (fails not more than twice per week); properly creating line-ups and logs (fails not more than once per week).Knowledge of Africa and tigrigna language requirred.,,0,1,0,Full-time,,Bachelor's Degree,Broadcast Media,,0
5661,HR Manager,"PL, MZ, Warszawa",HR,,,"We are looking for a skilled HR manager to oversee all aspects of Human Resources practices and processes.  You will support business needs and ensure the proper implementation of company strategy and objectives.The goal is to promote corporate values and enable business success through job design, recruitment, performance management, training &amp; development, employment cycle changes, talent management, and facilities management services.","1 year of experience as HR managerDemonstrable experience with HR metricsAbility to architect strategy along with leadership skillsExcellent active listening, negotiation and presentation skillsCompetence to build and effectively manage interpersonal relationships at all levels of the organizationKnowledge of labor law and HR best practices is a plusKnowledge of HR systems and databases is a plus","Attractive salary, depending on experience.Full-time job in the city center.Well equipped workplace.Participation in an interesting, international project.",0,1,1,Full-time,Mid-Senior level,,Human Resources,Accounting/Auditing,0
14534,Launch Manager,"US, CA, Palo Alto",,,,"Title: Launch Manager*Please note we want to hire for this position very quickly so preference given to local candidates*We are a small team working to engineer the perfect giving experience. Our product is in a multi-billion dollar market and brings delightful ease, proactive dialogue and confident control to the fundraising industry.  We are looking for someone to join our team in Palo Alto. This is an important hire, with meaningful equity and influence in the strategic directions of marketing at the company.This position takes a mixture of creative marketing creativity, customer relations, analytical brains and pure hustle to bring a new partner to life quickly. A competitive candidate is inventive, personable, KPI-centric and constantly exceeding expectations. We are looking for someone who can wear multiple hats, and ultimately grow + manage a team.Interested in bringing millions of nonprofits across the US to mobile?  Then read on…Who are you?You navigate through “no”s and “maybe”s to your desired solution.You are a charmer and extremely personable. You can easily identify and network with the influencers in a community.Your compelling story telling skills can convince just about anyone to embrace innovation.You are a problem-solver. You can navigate obstacles on the fly in a thoughtful and logical way.You are a total hustler. You don't fold under pressure; in fact, you thrive in a fast-paced environment.You are product minded. You can isolate and define features and functionalities that will drive adoption, engagement and growth.Youre ready to do copywriting and email marketing, A/B testing the best way to get the point across to any audience.Detail oriented. Your Google calendar can be crammed but it's organized, totally manageable and you don't miss a beat.You are a jack of all trades - from marketing and creative to customer relations and analytics, you're excited to flex all these muscles.Fired up and ready to live, eat and breathe the product, spreading the love to everyone you encounter.You are ready to have a ton of responsibility and step up to the plate.Who are we?We are a small team with big partners and lofty goals.Our days are enjoyable and productive.  We believe in being open and honest.We only hire the best and believe in putting full confidence and trust in every team member.We originated out of the Venture Studio at Stanford University and are backed by top investors like PejmanMar Ventures, Jawed Karim and the Band of Angels.","RequirementsBA / BS from a top schoolHigh energy, personable with a knack for customer relationsCreative and innovative marketerExcellent oral and written communication skillsAnalytical, data driven decision-makerPreference given to those with a background or degree in marketingComfortable with faith-based organizations",,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Marketing,0
10897,Advisor-Inbound Technical,"US, TX, New Braunfels",,10-Oct,"Delivering superior customer services for over 10 years, IBEX Global is a business process outsourcer offering an integrated suite of services that supports an expansive array of customer facing solutions.Headquartered in Washington, DC. IBEX Global delivers onshore, near shore and offshore solutions in 5 countries, across 18 call centers employing over 9000+ customer service professionals.  The recipient of several client and community awards such as the Goodwill Industries Employer of the Year, IBEX Global is one of the most forward thinking companies in the BPO industry.","IBEX GLOBAL employees will receive inbound calls to assist our clients customers with operational technical support related to their FIOS (Video, Data and Voice) communication service. The position performs in accordance to quality assurance standards and within the guidelines set forth by the company and client. The Customer Service Agent is expected to meet and/or exceed established goals, attend and actively participate in training, re-training and up-training programs. The level of technical support service and campaign requirements could change based on the needs of IBEX GLOBAL and / or the client.   Utilize client base tools to provide accurate and consistent, responses to customer inquiries Suggestively sell Client products and/or services. Follow-up and escalate resolution actions related to unresolved issues Troubleshoot and resolve email setup issues Utilize chat services using proprietary software to detect, troubleshoot, and correct issues  Troubleshoot, detect and correct configuration errors  Additional assigned duties and tasks may be required as needed.Starting pay $10.50"," High School diploma/GED One (1) year customer call center experience is desirable. Tech Savvy with a strong understanding of communication networking components such as Video, Data and Voice services.  Must be able to utilize a headset and sit at a workstation for extended periods while working at a computer terminal assisting customers.  Ability to troubleshoot while utilizing various computer programs to resolve customer issues Must have strong interpersonal, verbal and written communication skills  Ability to relate professionally to all levels of staff and management Ability to convey genuine empathy and handle difficult or agitated customers Willing to work a scheduled full time and/or part time shift that may include day, evening, night, weekend, split shift and holiday to facilitate Sunday through Saturday 7am  11pm. These hours of operation are subject to change at any time. ",Please apply online #URL_6b8144ea3af3ad328e4ed9fadc7eb318dce476414665c94bccf3e810459d0c28#?__ID=*89D97DE18BB28D4B,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
15361,Digital Marketing Manager,"ES, VC, Valencia",Marketing,,"ehumanlife is a medical platform for live online consultations through HD video calls. You can call a doctor now from the comfort of your home. Its free to sign up and each doctor sets a fee for consultation.Connect through your symptoms, diagnosis or topic of interest with the best international specialists. Mild illnesses may be evaluated immediately from anywhere avoiding the hassle of urgent care.Doctors expand the scope of their practice, fix their own schedule and enhance their international reputation by caring patients and sharing knowledge through medical webinars and scientific articles.Our mission is to tear down the barriers between physicians and patients worldwide, improving access to healthcare, and enhancing communication within the medical community.","If you live and breathe digital marketing, we want to talk to you. We are looking for a Digital Marketing Manager to develop, implement, track and optimize our digital marketing campaigns across all digital channels.RESPONSIBILITIES• Plan and execute all web, SEO/SEM, marketing database, email, social media and display advertising campaigns• Design, build and maintain our social media presence• Measure and report performance of all digital marketing campaigns, and assess against goals (ROI and KPIs)• Identify trends and insights, and optimize spend and performance based on the insights• Brainstorm new and creative growth strategies• Plan, execute, and measure experiments and conversion tests• Collaborate with internal teams to create landing pages and optimize user experience• Utilize strong analytical ability to evaluate end-to-end customer experience across multiple channels and customer touch points• Instrument conversion points and optimize user funnels• Collaborate with agencies and other vendor partners• Evaluate emerging technologies. Provide thought leadership and perspective for adoption where appropriate","• 5+ years of experience in digital marketing• Demonstrable experience leading and managing SEO/SEM, marketing database, email, social media and/or display advertising campaigns• Highly creative with experience in identifying target audiences and devising digital campaigns that engage, inform and motivate• Experience in optimizing landing pages and user funnels• Experience with A/B and multivariate experiments• Solid knowledge of website analytics tools (e.g., Google Analytics, NetInsight, Mixpanel)• Experience in setting up and optimizing Google Adwords campaigns• Strong analytical skills and data-driven thinking• Up-to-date with the latest trends and best practices in online marketing and measurement","ehumanlife is full of talented and enthusiastic people who aim to tear down barriers between physicians and patients worldwide. We work sane hours to be passionate on and off the job. We live in the digital age and we are attracted to everything thats new and slick design over retina displays. Health care needs a revolution and we should put the word out from the heart. If this sounds like you, please view our open positions below.",0,1,0,Full-time,Mid-Senior level,Master's Degree,"Health, Wellness and Fitness",Marketing,0
5532,Business Planning Analyst,"GR, I, Athens",Finance,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","The Business Planning Analyst provides the business with analytic strength and insight and acts as a key liaison between the commercial function and the rest of the organization, ensuring coordination and accuracy of data.  This role is ideal for a candidate with high potential who wishes to be rewarded for outstanding performance, while having the opportunity to gain valuable international business experience in the mobile marketing industry. The position is based at our central Athens office and reports directly to the Head of Business Planning. Responsibilities and Duties:Develop deep revenue and pipeline-forecast analytics and monitor performance across international regions on a weekly basis;Design performance dashboards to track results and business processes;Create and deliver state of the art spreadsheets and senior-level presentations of revenue forecasts and analyses to multiple levels of stakeholders, including board materials;Develop business processes and implement controls in line with corporate strategy and objectives;Provide support and guidance in the financial reporting team and in financial planning activities by performing revenue reconciliations and assisting in cost of sales monitoring;Bring in best practices and knowledge transfer at a cross-functional level;Partner with other departments (finance, accounting, legal, operations, etc.) in order to address the business reporting, analytics and data requirements;Support in the organization of sales meetings; structure and facilitate internal meetings as needed;","5+ years of work experience in a business analysis or planning role within a consulting firm or multinational organization with emphasis in operational and/or financial analysis; international experience strongly preferred;Strong academic background from top-tier school either in business, engineering or finance, at post-graduate level;Outstanding MS PowerPoint and MS Excel skills are required (spreadsheet modeling experience is a plus);Strong analytical and problem solving skills;Fluency in English, both oral and written (multi-lingual is a plus);Strong project management and communication skills;International perspective and culture;Ability to quickly absorb and understand business processes;Attention to detail, and high accuracy are critical;Able to perform under pressure and deliver results in a demanding and fast-paced environment that requires fresh thinking, innovation and time dedication;Proven ability to quickly grasp industry and business-wide concepts in order to assess implications to drive actions and to capitalize on opportunities. ","Includes attractive competitive base salary and benefits, working closely with a highly motivated team in a dynamic and fast paced environment and rapid career development.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Telecommunications,Finance,0
9199,"Manufacturing Engineering - Lean Manufacture-Cedar Falls,IA","US, IN, Cedar Rapids",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",REQUIRED SKILLS:5+ years of manufacturing experience;5+ years of supervisory experience preferred;Strong working knowledge of Lean Manufacturing methodologies.,"We have more than 1500 Job openings in our website and some of them are relevant to this job. We Provide Full Time Permanent Positions for many medium to large US companies.Job is in Cedar Falls, IAEDUCATION: 4-year degree required; Mechanical, Electrical or Industrial Engineering preferred.REQUIRED SKILLS:5+ years of manufacturing experience;5+ years of supervisory experience preferred;Strong working knowledge of Lean Manufacturing methodologies.",,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Electrical/Electronic Manufacturing,Engineering,0
11141,Associate - Leverage Finance,"US, VA, charlottesville",Finance,,,"Minimum 2yrs of Experience of Investment Banking / 1+ yr of private equity experience with strong academic background- Exposure to a significant number of mergers and acquisitions and/or leveraged finance transactions- Financial modeling and analysis of a variety of capital structures - Managing external due diligence advisors such as accountants, tax experts and lawyers - Presenting and participating in investment committee meetings - Managing and executing the financing and closing processes - Good Communication skills (Written &amp; Verbal)- Strong Analytical skills- Extensive LBO/financial-modeling experience- Self motivated and a team player.For: U.S.Citizen/ EAD/Green Card/Visa candidates only.",,,0,0,1,Full-time,Associate,,Investment Banking,Finance,0
5274,Back End PHP Developer,"GR, I, Athens",Engineering,,"H WEDIA είναι μια ταχύτατα αναπτυσσόμενη ελληνική digital εταιρεία που δραστηριοποιείται από το 2009 στο χώρο των ψηφιακών μέσων και επικοινωνίας παρέχοντας λύσεις υψηλής αισθητικής, ποιότητας και λειτουργικότητας.Δημιουργούμε και διαχειριζόμαστε ψηφιακά σημεία παρουσίασης, ενημέρωσης, επικοινωνίας, πώλησης και συνεργασίας. Τέτοια σημεία περιλαμβάνουν Web sites, News portals, e-Shops, Micro-sites, Web applications, Facebook pages αλλά και άλλα Social Media κανάλια, Mobile applications, Intranets. Όλα αυτά, τα σχεδιάζουμε, τα υλοποιούμε τεχνικά, κι εξασφαλίζουμε ότι θα λειτουργούν χωρίς πρόβλημα 24x7 σε συνθήκες υψηλής διαθεσιμότητας και απόδοσης,ενώ παράλληλα,Προωθούμε και επικοινωνούμε την αξία προϊόντων ή υπηρεσιών με ψηφιακούς τρόπους, με στόχο την αναγνωρισιμότητα ή την πώληση. Το κάνουμε παράγοντας branded περιεχόμενο, κτίζοντας ενεργές κοινότητες επισκεπτών και fans, δημιουργώντας και τρέχοντας display και search καμπάνιες, διαφημιστικές καμπάνιες και activations στο Facebook ή σε άλλα social networks, καθώς επίσης και ολοκληρωμένες ενέργειες Inbound Marketing για την προσέλκυση επισκεπτών και μετατροπή τους σε πελάτες.Στο πελατολόγιο μας ανήκουν μεγάλες εταιρείες, φορείς, ειδησεογραφικοί οργανισμοί και ιδρύματα όπως είναι η Τράπεζα Πειραιώς, η Eurolife ERB Ασφαλιστική, το Κολλέγιο Αθηνών, ο Εκδοτικός Οργανισμός ΔΙΑΣ, η Cyta Ελλάδος, το #URL_a64df80699bb678107424e0f984503f20b61f21ece3c4d462b752eb0488bad32# κ.α. ","Μεγαλώνουμε την ομάδα μας,και χρειαζόμαστε έναν Back End PHP Developer για να αναλάβει την τεχνική υλοποίηση μερικών από τα web sites και portals που έχουμε αναλάβει. Ανάμεσα στα πράγματα που θα κάνει μέσα στην ημέρα του, είναι:συνεργάζεται με τον Technical Lead της ομάδας του ώστε να καταλάβει τι πρέπει να υλοποιηθεί και ποιος είναι ο καλύτερος τρόπος για να γίνει να αναπτύσσει back end υπηρεσίες, βάσεις δεδομένων και εφαρμογές σε έργα που αφορούν web sites, news portals, e-shops, facebook applications, mobile εφαρμογές να συνεργάζεται με τους Front End ανθρώπους μας που είναι υπεύθυνοι για την τεχνική υλοποίηση του theming με τη βοήθεια CSS, JS και HTML κώδικα και να διευκολύνει τη δουλειά τους παράγοντας τον Back End κώδικα που απαιτείται να διευκρινίζει έγκαιρα θέματα που μπορεί να καθυστερήσουν το έργο ή να μην επιτρέψουν να έχουμε το καλύτερο δυνατό αποτέλεσμα και να τηρεί τα χρονοδιαγράμματα που όλοι μαζί έχουμε συμφωνήσει και υποσχεθεί στον πελάτη να χρησιμοποιεί τα εργαλεία που έχουμε επιλέξει και να τηρεί τις διαδικασίες που έχουμε ορίσει να δοκιμάζει υπεύθυνα ότι όλα λειτουργούν όπως πρέπει για να είναι έτοιμα για μεταφορά στο περιβάλλον της παραγωγής","Πριν αποφασίσεις να μας στείλεις το βιογραφικό σου κάνε ένα γρήγορο έλεγχο στις προϋποθέσεις που πιστεύουμε ότι πρέπει να έχεις :να έχεις ολοκληρώσει με επιτυχία τις σπουδές σου σε κάποια Ανώτερη ή Ανώτατη Σχολή στην Ελλάδα ή στο εξωτερικό σε αντικείμενο που να έχει σχέση με την Πληροφορική και το Software Developmentνα έχεις δουλέψει σε κάποια web projects σε τεχνολογία PHP + MySQL ιδανικά σε Drupal CMSνα σου αρέσει το Development, το Software Engineering και το Internetνα έχεις γνώσεις ενός περιβάλλοντος ανάπτυξης σαν το Aptana ή κάποιο ανάλογονα έχεις γνώσεις σχεδίασης και χρήσης βάσεων δεδομένων, κυρίως MySQL ή άλλων Relational Databasesνα έχεις εμπειρία στην ανάπτυξη εφαρμογών με τη χρήση Web Servicesνα έχεις δουλέψει με PHP frameworks όπως είναι το Laravel, Symfony, CodeIgniter, CakePHP ή άλλα παρόμοιανα έχεις βασικές γνώσεις Infrastructure σε LAMP περιβάλλον","Πιστεύουμε ότι είμαστε από τις πιο δυναμικές εταιρείες στον χώρο μας στην Ελλάδα, έχουμε μεγαλώσει τα τελευταία 5 χρόνια με εντυπωσιακούς ρυθμούς και θεωρούμε ότι αξίζει να είσαι μαζί μας γιατί θα μάθεις πολλά και ενδιαφέρονταΘα έχεις την ευκαιρία να δουλέψεις σε έργα για πολύ μεγάλους πελάτες όπως είναι Τράπεζες, Eταιρείες Τηλεπικοινωνιών, Media οργανισμοί (ρίξε μια ματιά και στο web site μας) και η δουλειά σου θα χρησιμοποιείται από ένα μεγάλο αριθμό ανθρώπων καθημερινάΤο περιβάλλον εργασίας μας είναι όμορφο, μοντέρνο και λειτουργικό (ρίξε μια ματιά στη σελίδα μας στο LinkedIn) και προσπαθούμε συνέχεια να το κάνουμε καλύτερο Οι άνθρωποι μας έχουν επιλεχθεί με παρόμοιο τρόπο και κριτήρια σαν κι αυτά που βλέπεις εδώ και προσπαθούμε συνέχεια να διευκολύνουμε και να βελτιώνουμε τόσο την δουλειά μας αλλά και τις υπηρεσίες που παρέχουμε στους πελάτες μαςΟι αμοιβές μας είναι καλά τοποθετημένες στα πλαίσια της σημερινής αγοράς, ενώ πάντα βρίσκουμε ευκαιρίες να ανταμείψουμε την έξτρα ποσοτική ή ποιοτική προσπάθεια",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Engineering,0
1935,Business Development Trainee,"DE, BE, Berlin",International Growth,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","Support the Business Development Team in all activities (presentations, reports, communication, research etc.)Handle German and international partner relationshipsDevelop current business opportunities and research new onesLead projects from conceptual phase until final testingOptimize, measure and track partner performanceManage B2B partnerships &amp; special tasks","University degree (Bachelors degree or comparable)Practical work experience (e.g. internships in start-ups or consultancies)Friendly, open and cooperative personality who has fun in getting the job done effectivelyOwnership mentality and high personal motivationEager to learn fast and to grow in a dynamic start-up environmentUnderstanding of current online/ mobile marketing trends &amp; modelsProficient in Powerpoint and ExcelAbility to work independentlyStrong analytical and presentation skillsBusiness fluent in German and English","Responsibility from day oneOpportunity for personal growth and developmentEmployment at one of the most exciting start-ups in BerlinTo learn from a team with strong expertise in international marketingHigh quality, useful product that you enjoy to work for",0,1,1,Full-time,Entry level,Bachelor's Degree,,Business Development,0
14620,Lead Java and Intershop Developer,"NL, ZH, Rotterdam, The Hague, Utrecht, Amsterdam",,60000-75000,,"Our Client, an established company offering solutions related to web content management, enterprise content management, enterprise information management and business intelligence, is looking for a Lead Java and Intershop Developer. This is a challenging position and in this role you would be contributing to the strategic direction of the company.The company itself has a very flat structure, ensures freedom and creativity for personal and professional development and encourages you to take such initiatives. Furthermore, they are passionate about entrepreneurship and e-commerce.As an employee, you would be working with challenging clients across the Netherlands including, but not limited to, KLM, ABN AMRO Bank, Elsevier, TomTom, Aegon, and Hi. Furthermore, you would enjoy legendary team-building get-togethers such as sports outings and various excursions.This is a challenging position and your responsibilities would include defining the company's architecture and being a technical lead in the company's project. Furthermore, you would also be coaching junior members.This position is available in the following locations: Amsterdam, Rotterdam, The Hague, and Utrecht","passion for technology and e-commerceminimum 3 years of experience as a developer in Intershop environment university or college, IT-related traininggood knowledge of Intershop (7 preffered) and Java. good communication skills experience with Scrum you can work independently and in a team good knowledge of Dutch and English",excellent salary (60000 - 75000 EUR)full-time permanent contractleased carcompany laptop and telephoneNS-Business Cardpension contributionsbonusesprofit and equity shares,0,1,0,Full-time,Mid-Senior level,Unspecified,Information Technology and Services,Information Technology,0
15325,English Teacher Abroad ,"US, MN, Marshall",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
6840,Systems Engineer (Infrastructure),"IE, L, Dublin",,,"Intercom (#URL_cb674f93cbcd215a3a0a57a8615a565545949eead8adbbc73307c26d6a6af81b#) is a simple, personal messaging service for businesses and their customers. It provides a single, integrated platform for the whole company to use for support, marketing, product, sales communication and more.Were on a mission to make web and mobile business personal. We believe that the future of customer communication requires not increasingly complex, impersonal point solutions, but rather a simple, seamless platform that applies innovations found in consumer services like Facebook and WhatsApp.Founded in late 2011, Intercom has raised over $30 million in venture capital and is backed by leading investors, The Social+Capital Partnership and Bessemer Venture Partners. Intercom has been adopted by thousands of businesses, including Heroku, Hootsuite, Rackspace, Yahoo! and Perfect Audience. Our customers love the product: #URL_18cc302f4e0f1dac521853b2a6ae109ebbe44c6130d31eb0413535ba71e2f42f#We like big thinkers with small egos who believe in our goal to build a uniquely impactful, long-lasting tech company. Our vision is to fundamentally change forever how Internet businesses and their customers communicate.","About The JobThe infrastructure team are responsible for running our production infrastructure, including build and deployment systems as well as building back end services as we move from a monolithic rails app towards SOA. We're fans of immutable infrastructure and continuous deployment - currently we deploy up to 30 times per day. We have big plans to improve Intercom's availability and performance while handling very fast growth.To be a good fit, you should have:a passion for application stability, performance, and securitya strong bias for simplicityan interest in building and scaling distributed systemsTechnologies we use todayWe mostly use Ruby/Rails, storing data in MySQL, MongoDB, Redis, Solr, StatsD and Graphite. We make extensive use of AWS, including EC2/VPC/ELB/AutoScaling/S3/SQS/RDS/ElasticCache/Cloudfront - automated using an internally developed tool that manages our continuous deployment.Experience in our day to day toolset is a plus but is, by no means, a requirement. Good people will adjust quickly and have fun learning something new or helping us learn something new.Types of people we're interested inout and out systems engineersapplication developers interested in back end services and distributed systemsThe type of projects you could work onsplit the monolithic rails app in to discrete servicesredesign our data storage to handle the next 100x growthimprove fault tolerance within the application until there are no single points of failureshrink the time taken from a push to master until production deployment to less than 10 minutesbuild tools and processes to help us detect and respond to operational issues quickerincrease visibility in to app performance by working on our metrics and logging infrastructureAbout IntercomIntercom (#URL_cb674f93cbcd215a3a0a57a8615a565545949eead8adbbc73307c26d6a6af81b#) is a simple, personal messaging service for businesses and their customers. It provides a single, integrated platform for the whole company to use for support, marketing, product, sales communication and more.Were on a mission to make web and mobile business personal. We believe that the future of customer communication requires not increasingly complex, impersonal point solutions, but rather a simple, seamless platform that applies innovations found in consumer services like Facebook and WhatsApp.Founded in late 2011, Intercom has raised over $30 million in venture capital and is backed by leading investors, The Social+Capital Partnership and Bessemer Venture Partners. Intercom has been adopted by thousands of businesses, including Heroku, Hootsuite, Rackspace, Yahoo! and Perfect Audience. Our customers love the product: #URL_18cc302f4e0f1dac521853b2a6ae109ebbe44c6130d31eb0413535ba71e2f42f#We like big thinkers with small egos who believe in our goal to build a uniquely impactful, long-lasting tech company. Our vision is to fundamentally change forever how Internet businesses and their customers communicate.",,"Competitive salaryEquity in a fast growing startupThe oppurtunity to work with and learn from a fantastic teamFree breakfast, lunch, dinner and snacks, everydayPublic transport paid forHealthcare",0,1,0,,,,,,0
3129,sales manager,"AE, DU, ",,,," Job Purpose: Plan, develop and implement strategies for business development and growth across the given territory for the designated products  ","Responsibilities:  Responsible for the sale of cable management systems to MEP contractors and fit out companies within the UAE and Middle East and to secure specification of the companies products with the relevant consultants, architects and designers. Achieve defined individual and team sales targetManage existing accountsSource new customer baseAssist general sales team with product enquiriesIntroduce new products to marketTechnical sales of cable management systems and associated productsDevelop long term relationships with MEP contractors, consultants, architects and designersNegotiate and secure favorable terms of businessCalculate relevant BOQ and prepare client quotesDevelop estimates, operating plans/forecasts/reviews/reportsTo maintain pipeline, sales and account records in accordance with generally accepted principles as set out in company policies and procedures.Serve as a business partner to the management team to drive business initiatives, growth and profitabilityManage internal/external relations.Create  overall  sales vision  and  strategy  and break it down to actions to drive quarterly resultsIdentify new target customers and increase sales within existing accountsIdentify key decision makers in clients, developing and maintaining strong and effective strategic relationships with themAlign resources and structure and leverage key accounts to drive growthWork  closely  with  sales  and  marketing  teams  to  identify  short  and  long  term  growth Opportunities and manage timely  execution involving cross functional teamsPrepare  cost  estimates  for  customersCultivate  positive  working  relationships  with  direct/indirect  customers/channelsDeliver  sales  training  to  any future  channel partnersBuild the company brand in the region: presentations at conferences, trade shows, etc.Leverage other group offerings to win large scale projectsDrive  an  understanding  of  MEA's  market  potential  for  the  portfolio of company products Drive  a  thorough  understanding  of  Middle  East and Africa (MEA)  investment  drivers  including  but  not limited  to  the  following: Government/Regulatory  policies  in  relation  to  company  product sectorsDynamics    and    trends  in  the region  and  within  the  regional  customer  baseCompetitive  environment  at  the  tactical  level  across  relevant technologiesOversee  region  specific  demand  creation  activities  including  customer  communication       activitiesLiaise  with  other  departments  to  resolve  issues  &amp;  barriers  to  superior  customer  service striving  to  meet/exceed  customers'  expectations Responsible for adjusting concepts/offerings to particular needs of contractors/ consultants/regions/countriesResponsible for monthly/quarterly/annual sales reporting metrics to company management and boardEnsure adherence to company policies and practices related to the commercial cycles (service accounting, revenue recognition, margin management, etc)To develop and maintain the companys reputation in the region and to position it as the industry leader  Additional tasks and responsibilities To adhere to the companys policies and procedures both written and implied.Interface productively with peers enabling effective cross-functional working practices.Fulfil any other duties as required to do so by line management.  Competencies Individual excellence Action orientated: Pursues work with energy, drive, and a strong accomplishment orientation.Integrity and trustworthiness: Behaves according to high ethical business principles and values.Self confidence: Feels successful in past undertakings and expects to succeed in future activities.Results Drive for results: Continually focuses on achieving positive, concrete results contributing to business success.Planning, organising and co-ordinating: Efficiently develops and implements plans to accomplish goals. Customer feedback Build a network and knowledge of UAE MEP contractors, consultants, architects and designers and gain feedback regarding the company and its productsCustomer focus: Investigates and takes action to meet customers current and future needs.Projects a positive and professional image of the company in all contacts.   Teamwork Communication skills: Writes, speaks, and presents information effectively and persuasively           across communication settings.Cross-group collaboration: Demonstrates ability to work across groups by setting mutual goals, ensuring clarity of roles, and working together in ways which enhance business effectiveness.Interpersonal skills: Develops and maintains good working relationships with others.Negotiation and conflict management: Negotiates or mediates sound agreements in business or organisational situations where there is disagreement or differences in interests.Organisational agility: Understands how to get things done and achieve objectives working with others in an organisational context. Long-term approach Strategic thinking: Understands the business, and anticipates and develops business priorities for future action. Language skills English (Hindi, Urdu and Arabic would be an advantage) Regional experience Not applicable but experience in Middle East sales and or Export an advantage. Preferred education BSc in Electrical Engineering or equivalent hands on experience  Work experience 10 years experience in a similar role8 years cable management experience.4 years export experience   Personal attributes   Maturity, excellent interpersonal skills, guts to succeed in a highly competitive market and a personal commitment to improve all round in order to carry out the task effectively.Passion for the industry and its developments.Entrepreneurial spirit, ambitious, passionate, innovative and motivated by challenge and rapid growth.     ","Compensation package: Annual salary package, paid monthlyPackage will be inclusive transportation, housing allowance, etc.Bonus scheme dependant on achievement of KPIs.Medical insurance and annual flights allowance.",0,1,1,,,,,,0
10409,English Teacher Abroad ,"US, CT, New Haven",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
11567,SAP MDM,"US, NJ, ",,,"RHB Recruitment Ltd is a business process outsourcing company with its state-of-the-art delivery center (existing capacity of 110 positions/ seaters) in the prestigious building of Nexteracom in Ebene City, Mauritius. RHB Recruitment Ltd is a tailor-made and customized portfolio of services to clients in the areas of recruitment, HR and resource management, Bagatelle Recruitment has a unique combination of local knowledge and global expertise. Our focused and committed approach to contract staffing, interim management and permanent search and selection recruitment services, means we always supply high quality candidates to our worldwide client base. .At RHB Recruitment Ltd, we have a highly trained workforce who are multilingual (French and English as main languages) and we enable clients to save up to 50% on costs through global economies of scale, operational efficiencies and good risk management. Coming soon: (1) Expanding capacity to 250 positions by October 2013, (2) Setting-up offices in Africa and Asia, and (3) Constructing a 40,000 Sqft state-of-the-art building in Ebene City by 2016 to provide Business Continuity services to clients across the globe.SpecialtiesRecruitment Services, Contract and Permanent Resources, Staffing Solutions, HR and Compliance Management, Project Resource, Oracle, Business Intelligence, Senior Management, Support &amp; Infrastrature ",The prime responsibility of this position is to either manage a small team of analystsdevelopers or engineers and drive delivery of a small module of a project ( AD/ AM/ Testing)serve as entry level specialist with expertise in particular technology /industry domain / a process of a ERP package.If not a module the lead is responsible for functional / technical track of a project.,"Data ManagementExpertise in any of the following areas -Experience in Client Data is mustand any two other areas ( Should have strong understanding and deep projectexperience in the sub domains and relevant products, technology in that domain)-Client Data - Instrument Data - Data Warehousing - Settlement Data - MarketDataData ManagementInformation Management(L2)-Should be able to develop technological solution of any complexityindependently for the intended delivery competency to meet businessrequirements of a departmentShould be able to make effective use of availablebest practices and standards Experience Range : 1-3 years of experience indeveloping technology level solutions like architecture, framework, etc.Information Management(L3)-Should be able to develop technological solution for the intended deliverycompetency to meet enterprise business requirementsShould have developedreusable artifacts like architecture components, vendor neutral technologyframeworks, best practices and standards for the technology for the intendeddelivery competencyShould be able to foresee and handle technologicalintegration, internationalization, exceptions and performance issues as part ofdeveloping technological solutionsExperience Range :3+ years of experiencein developing technology level solutions like architecture, framework, etc.Unix Shell Scripting(L1)-[Overiew] - Shell Basics &amp; Sub shells - Shell types: Bourne, Korn, C, bash -Korn Shell Environment - Startup Scripts (.profile, .kshrc) -Using ENV forautomatic environment tailoring (PATH etc.) [Shell Command Syntax] - Commands &amp; Options - Manipulating Input and Output Redirection (Pipes) - Aliases (alias,unalias) - How the Shell Finds Commands - Command History and Editing[Variables] -Assigning Values to Variables (set, unset and Special Variables)- Built-in Variables Known to Login Shell -The Shell Environment andpredefined variables - Exporting Variables [Input/Output] -Working with filesand file attributes - Working with Directories - Basic I/O Features -Stringand Field Processing - Streams and pipes (exec) - Terminal Information(COLUMNS, LINES, TERM) SAP MDMMust have a good business process understanding.Ability to elicit the rightinformation by framing the right set of questions.Able to assist in creatingsolution proposals / solution workshops / detailed solution and assist inpreparing the solution road map. Ability to create the detailed solution andassist in implementing the solution.Good module level knowledge and able toconfigure based on the design document and a good knowledge of the qualityprocesses and used them in projects.Should have 0 - 2 years of SAP experiencein the core module of specialization and 0 - 2 years of domain experienceMusthave a good knowledge of SAP MDM components as Console , Data Manager ,Import &amp; Syndication Manager.Must have basic knowledge of ABAP comprising of datadictionary objects, ABAP workbench .Must be aware of XML file processing.Mustbe able to work on the requirements provided with a technical specificationformat.SAP MDMAbility to understand the customer business processes and the IT landscaperapidly and able to foresee the likely challenges.Ability to frame the rightset of questions for the multiple tracks.Create solution proposals with effortestimation for a chosen project track. and create a solution road mapaddressing the current and the future needs of the business.Conduct solutionarchitecting workshops with the business managers.Create detailed solutiondocumentation and presentations and implement the solution using the standardsolutions in SAP.Should have at least 4 - 6 years of SAP experience in thecore module of specialization and 3 - 5 years",Full Time PositionExcellent package being offered,0,0,1,Full-time,,,,,0
17537,Data Entry,"US, MD, BALTIMORE",,,,"A medical company is seeking a Data Entry/Office Admin person. This is a full time position  Job Description: • Data Entry of invoices • Assist in Billing and Receivables • Perform a combination of clerical tasks to support office • Office filing • Answering phone calls • Create and maintain a/p, customers and contractors files • Respond to e-mails, phone and inquiries • Other duties upon request Requirements: • Microsoft Office experiences a Must! (Outlook, Word, Excel) • QuickBooks knowledge a Must! • Advanced computer experience -- a plus • Demonstrate a strong attention to detail • Good Oral and Written communication skills • Ability to work in a fast paced environment • Ability to work in a Team environment • Must be punctual and reliablethe job pays $25/hr",,,0,0,0,Full-time,Entry level,Unspecified,Medical Devices,Administrative,1
17199,Paid Training for Direct Sales Representative with Management Opportunity,"US, TX, Arlington",UV,,"Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.To setup an interview, call our recruiting department Monday-Friday from 9am-5pm @ (361) 400-2907","Paid Training, Weekly Pay, Top Commissions, Average $828/wk*To learn more about Argenta Field Solutions, visit our website @ #URL_1d9e75fb4100e4ecdc0d72a1205f398abb97bf07f3018745f8fbcab82dc07ef3#  We only have 4 positions left in the DFW area for the Direct Sales Representative.Position- Full time- $828/wk*- Paid training- Weekly Direct Deposit- $200 1st sale bonus- Top commissions in U.S.- Opportunity for advancement- Developed training, pitch and sales model",- Sales experience preferred or the right personality.- Positive attitude and determined demeanor.- Clean cut appearance. - Pass background and drug test (no felonies or theft). - Transportation a plus- Bilingual a plus,"Apply for this position by contacting the Recruiting Department at (361) 400-2907 Argenta Field Solutions 711 N Carancahua St. Suite 1750, Corpus Christi TX 7840115600 San Pedro Ave Suite 105, San Antonio TX 78232900 Congress Ave Suite L-115, Austin TX 787012500 E TC Jester Suite 272, Houston TX 770082201 N Collins St. Suite 252, DFW TX 76011",0,1,0,Full-time,Entry level,,Consumer Services,Sales,0
7302,Experienced  and Senior Java developers (Java SE),"GR, I, Athens",,,"Software Competitiveness International (SOFTCOM INTERNATIONAL) is characterized for personel excellence.  In caseyou are a software engineer with a passion for software,you like to take on new challenges,you like to produce and discuss good, creative ideas,you like to cooperate with others within a multi-national team,you are flexible enough to work in a fast paced environment,you are customer focused, andyou would like to be part of a very dynamically working companyplease do apply for a respective job vacancy","Software Competitiveness International (SOFTCOM INTERNATIONAL), is a rapidly growing company, specializing in Software Research &amp; Development and Information &amp; Communications Technologies Services, located in Athens, and headquartered in Crete. The skills, the experience and the methodologies  of the company and its experts, most of them with a long presence and a high recognition internationally, provide to its clients, both locally and internationally,  technical excellence and valuable services, and to its employees the working conditions to further develop their technological expertise within a multi-national environment.        Currently we are looking for Experienced  and Senior Java developers (Java SE) to offer their services (analysis, design, coding, testing )  for one of our international projects. Work will be carried out in our companys premises in Athens.  In caseyou are a software engineer with a passion for writing software,you like to take on new challenges,you like to produce and discuss good, creative ideas,you like to cooperate with others within a multi-national team,you are flexible enough to work in a fast paced environment, according to the customers mentality,you like to be part of a very dynamically working company, and you cover the following set of skills:    University Degree in Computer Science or relevant disciplineJava (J2SE) programming experience of 4 to 8 years JPA Java Persistence APIJUnit frameworksWeb services, JMSJava GUI libraries (Swing, AWT)Java Design PatternsWindows, Linux, user levelPostgreSQL databaseProcesses orientation, familiarity with software development processesFluency in English (spoken, written) Telecommunications background will be considered as an asset please, apply for an interview, sending your detailed CV in English, quoting reference ref: AD/04/14, to the following e-mail address: #EMAIL_254f6d7f518232f4ece615c4a2219cbf207e24990e8b2d4d2882b5f682caf94a#, not later than 15.05.2014.               We offer a competitive remuneration package based on qualification and experience, and work in a challenging multinational environment. All applications will be treated as confidential. For information regarding our company, please consult our web site: #URL_c7bd03d46573600cca6de1eb0cfe0780694dd5c82608d662bcc7da979ad0386d#-#URL_a0bff632dad30a077432bc7282b9c107e1fdec7c69cfb82a2ae781874e1c18b2#",,,0,1,0,Full-time,Mid-Senior level,,,,0
9961,Manufacturing Operations Supervisor,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Understanding, enforcing and complying with the company Environmental Policy.EDUCATION: 2-year or 4-year degree required.REQUIRED SKILLS:Food manufacturing experience is highly preferred.3+ years of supervisory experience in a manufacturing environment; Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Facilities Services,,0
3096,"International Broadcaster, Music (in English) Programming","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.",The International Broadcaster shall properly complete all daily tasks. These daily tasks include but are not limited to: preparing for assignments (fails not more than once per week); revising video packages (fails not more than twice per week); correcting production errors in stories (fails not more than twice per week); properly creating line-ups and logs (fails not more than once per week).,"The International Broadcaster shall have at least five (5) years of current experience. The International Broadcaster shall perform a combination of services that will include researching, interviewing, writing and voicing original news stories and reports. The International Broadcaster shall have the ability to adapt material for radio, television and/or internet about events in the target region, the U.S. and other countries. The individual shall produce and edit reports using compatible digital technology and shall be able to record and process interviews by phone, live face-to-face or in the field. The individual shall have extensive knowledge of the target region, its people, culture, institutions and political situation, and the ability to adapt English material to the target language and adapt the target language to English. The International Broadcaster shall possess and employ basic multimedia skills as required in the completion of each assignment, including the ability to take still photographs and record video via smartphone during field reporting assignments, and to upload photos and videos as needed to agency-based file servers. He or she shall assess different information to validate the comprehensiveness, accuracy and balance of news products, and shall demonstrate high standards of journalism and news experience. He or she shall have the ability to function as news announcer on live or pre-recorded news bulletins or newscasts; for video assignments the International Broadcaster shall wear attire suitable for the assignment. The International Broadcaster shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies. ",,0,1,0,,,,,,0
6165,Inside Sales,"US, CA, Los Angeles",Sales,50000-55000,"GPL Technologies is a solutions provider focused on the design, implementation, and support of high-performance information technology systems.  Founded in Los Angeles, California in 2003, we draw on over a decade of expertise as trusted technology advisers, adding value for our customers by offering unique methods of improving IT efficiency, streamlining complex systems and environments, and reducing the costs associated with acquiring and maintaining IT systems. GPL cut its teeth serving the intense requirements of customers in the media and entertainment industry.  We bring that work ethic with us to every customer: time is money, deadlines are non-negotiable, and the show must go on.  Our company is comprised of creative, independent thinkers with a passion for technology.  We love big data, fast networks, and solving the problems posed by today's digital media production pipelines.If serving clients who think a quarter petabyte of storage is a starter system sounds like fun to you, or if you love dealing with the dynamic people and personalities in the fast-paced media and entertainment industry, we might be a great fit for each other.  Send us your resume and lets talk.","Want to join an exciting industry and work with cool clients?  If youre a self-starter, professional, energetic and know how to get an appointment then this is the perfect fit! We are an IT services firm that caters to some of Hollywoods most notable Movie Studios, Gaming Companies and Visual Effects Houses. We provide high-performance storage and networking solutions, rendering farms with 2D/3D workflow, editing pipeline integrations and custom software solutions.  At the end of the day we are part of what goes into making great movies and leading edge games. We are seeking an A-player to join our growing team and be a part of our thriving culture.  This is not a job, this is a career with a great compensation plan and long-term professional growth. The position is for an Inside Sales Associate.  This role requires a person with the ability to manage organized many different requests to be successful; generous compensation and a fulfilling career is the reward.Expected Activities:Work with outside sales to register deals, create quotes and follow up with clients.Work directly with the sales team to ensure that they spend as much time as possible helping clients.Facilitate the proposal development, follow up activities, and other issues related to closing the sale.To be a successful candidate, you should be able to demonstrate your experience and previous achievements.  Other factors include communication and presentation skills, computer savvy and industry experience.",Sales backgroundComputer Literate,Health CareCommissions,0,1,1,Full-time,Entry level,Unspecified,Computer Hardware,Sales,0
11637,Apparel Business Manager,"US, CA, San Francisco",,30000-48000,Innovative streetwear and clothes you can play with. Soon in a crowdfunding platform near you.,"Prize Pony is a new, fun clothing company with a functional twist. We have a proprietary, tested concept for a new line of men's and women's clothing. Now, it's time to take the concept into reality. Made in the US, fun to wear, fun to sell, different.For that we're looking for you, the first employee. You have experience in the apparel industry, ideally both from the supply and the distribution ends. You are hungry for a success, and can take a niche brand onto a new level. This is very much a jack-of-all-trades position.","Education in a key area of the industry: marketing, manufacturing or design of clothing, preferrably streetwearKiller creativity for marketing and promoting a new apparel brandExperience from sales and manufacturing / contracting production of apparelUnderstanding and experience of material selection, costing and sourcingAbility to find and negotiate with suppliersAbility to negotiate with buyersExperience of an understanding of crowdfunding and key social media channels","Basic salary with a considerable performance bonus potential after six months (salary depending on the amount of bonus - to be negotiated).Desk in a cool co-working space in SF's Mission.Potential to set the employment at anywhere from 60% to 100% fulltime. If you're the right person, we can be flexible.Pick your own title.",0,1,1,Full-time,Entry level,Associate Degree,Apparel & Fashion,Marketing,0
4886,Senior account manager/director,"GB, CHE, Knutsford",,55000-80000,,"The UKs leading provider of non-permanent staffing solutions is seeking a Senior Account Manager / Account Director to effectively manage and grow the companys largest national accounts. Leading a team of up to six field-based recruitment specialists, this is a critical position for the continued success of the company.Your main duties will involve using your expertise in temporary contract recruitment, knowledge of RPO and neutral vend models, and polished client-facing skills to build an effective relationship with director-level customers and the companys contracted recruitment agencies. Utilising relationships, we then expect you to identify your customers needs and forthcoming challenges and, using strategic acumen and innovation where necessary, deliver an appropriate temporary recruitment strategy that exceeds client expectations and makes full use of the companies products and services.This is a challenging client-facing role requiring gravitas, leadership and strong business acumen, but you will additionally be supported by your field-based team of account managers and operations executives as well as a plethora of audit, implementation and service support at the companys Knutsford HQ.In return, as recipients of an Investors in People award (2012) and Sunday Times Top 100 Companies To Work For placements (2011, 2012, 2013), we offer an enjoyable work environment where productivity is rewarded and perks are enjoyed by all.Overall purpose of the job is as follows:Effectively manage blue chip clients accountsEnsure all allocated accounts are maximising temporary labour spend through the company, therefore minimising leakageUse customer networking and strategic analysis to ensure all allocated accounts and their subsidiaries are making full use of companies services where appropriatePromote services to all key sponsorsLead, manage and motive a team of operations executives and account manager/sKey responsibilities are as follows:To own cost savings reports within e-tips®, constantly reviewing to ensure they are in line or exceeding expectationsTo recommend and instigate action plans to reduce and remove maverick spend in consultation with nominated client representativesTo address any agency underperformance (perceived or otherwise) reported by client depot managementTo meet with nominated client representatives on a monthly basis to report and present management information and project progressTo ensure all live or potential locations are visited by your team and/or yourself regularlyTo report all findings to nominated client representatives and ensure all agreed actions are completed within agreed timescalesTo report to the Operations Director via the weekly senior management meeting on all activities/issues and plans for the following periodTo offer support and the sharing of knowledge with colleagues including handover to nominated deputy during absenceTo co-ordinate resources to minimise cost implicationsTo maximise all opportunities for cost savings at all times including additional business strandsTo anticipate and prepare any information required by the Operations Director for meetings or daily activitiesLine Management responsibility for junior team members aligned to your clientsAny other duties as directed by the Operations DirectorAdditional InformationBenefits13% flexible benefits package, bonus, company car, laptop, mobile, nationwide travel",,,0,0,1,Full-time,Director,Unspecified,Staffing and Recruiting,Management,0
8486,Application Developer,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Application Developer:QualificationsShould be graduated in Computer science, Computer engineering or related engineering fields (E.g B.Sc, B.E or MCA, M.Tech in Computers / Electronics etc.,)Role &amp; ResponsibilitiesParticipate in project planning sessions with project managers, business analysts and team members to analyze business requirements and outline the proposed IT solutionCreate technical design recommendations for developing and integrating programs per written business / functional specifications; incorporate security requirements and provide input to information/data flow, and understand and comply with Project Life Cycle Methodology and IT Control policies/Architectural standards in all planning steps.Utilize multiple programming languages and software technologies to ensure applications are suitably fit for use and admissible for maintenance/upgradesEssential Skills &amp; ExperienceTechnical RequirementsMust have 2 to 4 years of overall ETL data warehousing experienceMust have 2 - 4 years of hands on strong Informatica development experience where the candidate has worked in building or enhancements of data warehouse andor data martsMust 2 - 4 years hands on experience on Teradata database, SQL and BTEQ scriptsMust have experience with Teradata utilities (TPT, MLoad, Fload) using InformaticaMust have experience with UNIX shell scriptingHands on experience with Informatica ETLNon-Technical RequirementsExcellent oral and written communication skills and the ability to clearly articulate to all project members and stakeholdersMust be a team player who works well with technical and non-technical resource. Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Computer Software,,0
13146,Production Engineer,"US, TX, Dallas",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Take your career to the next level with this fantastic job opportunity with one of the fastest growing companies in the Energy Industry!Opportunities for advancement are extensive as the company is currently in the process of strategically expanding.Strong compensation and benefits packages are available for qualified candidates who want to join the largest player in regional plays.*This job will require relocation to Oklahoma City.Essential Job FunctionsPerform engineering functions for production operations within a specified geographic area.Monitor production operations, costs and profitability.Study area for additional developmental drilling prospects.Design and implement facility and well workover plans and procedures.Generate and review AFE's for capital expenditures.Review all expenditures for properties within a specified area.Analyze production problems and direct actions to be taken.Select equipment to be utilized.Assure compliance with governmental requirements and company policies.Provide training and resources to accomplish production goals.Provide expert testimony for regulatory hearings.","Bachelors degree in engineering required.Experience: A minimum of 5 years related experience or equivalent combination of education and experience.First Aid / CPR Certification, H2S Training, and valid state operator's license required.*Military experience is highly valued by the employer; candidates with military experience can expect some flexibility in terms of requirements, so long as fundamental skills and competencies are present.","Industry, Location and Compensation Industry: Engineering  Oil &amp; Gas Location: Oklahoma City, OK Compensation: Strong compensation packages available commensurate with experience.  ",0,1,1,Full-time,Associate,,Oil & Energy,,0
4920,Engagement Manager (Italy),"IT, , Rome",Engagement,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","The position reports to the Head of Engagement Management in the Mobile Operator Business Unit. It is a key role liaising between the client and Upstreams internal execution capabilities  ensuring the timely and successful delivery of complex mobile marketing campaigns throughout the world. This role is ideal for a candidate with high potential who wishes to be rewarded for outstanding performance, while having the opportunity to gain valuable international business experience on large mobile marketing projects. The role involves:Partnering with clients to deliver strategic interactive solutionsManaging client relationships and expectationsWorking with department managers to provide client deliverablesEnsuring the delivery of key projects on time and on budgetDeveloping technical and marketing concepts to meet client requirementsNegotiating contracts with clients and suppliersGuiding strategic implementation of recommendationsIdentifying and stewarding client/brand objectives and needsEnthusiastically representing Upstreams strategy and creativityLiaising with worldwide partnersPreparing and defending business casesAssisting with RFP responses and proposal writingThe position will be based in Rome, Italy with extensive travelling according to project requirements. ","RequirementsThe ideal candidate will be bright, ambitious, self-driven, hard-working and flexible, and have the following qualifications:Excellent client-facing and internal communication skills in English, Spanish and ItalianAble to perform under pressure and deliver results in a demanding and fast-paced environment that requires fresh thinking and innovationExcellent written and spoken communication skillsStrong quantitative, analytical and computer skillsVery good educational background, preferably in a numerate disciplineAttention to detailTech-savvy and comfortable in a field that combines elements of multiple disciplines (technology, marketing, mass psychology)International perspective and cultureOther Key Considerations3-6 years of work experience in the fields of consulting, marketing, telecoms or information technologyMBA or postgraduate business degree (or like experience) a plusExperience with budget management and cost controlBusiness development or account management experienceUnderstanding of Mobile, Web, and online advertisingMarketing thinking and creative capability",Salary &amp; BenefitsThe opportunity to learn and grow in a world-class business environmentExciting and challenging work at the cutting edge of marketing and technologyInternational career development prospectsCompetitive remuneration and additional employee benefits      ,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Telecommunications,Sales,0
7475,Mobile Developer (Android),"DE, BE, Berlin",Engineering,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","Mobile Developer (m/f) AndroidWe are looking for a Mobile Developer Android (m/f, full-time) to start immediately in our office in Berlin-Kreuzberg, Germany.#URL_8e4e9897aecb1980ec96e296864343a4402263bc440bec39377381446da44dc8# is growing fast while we are shaping the future of learning. With millions of regular users, more than 20 million app downloads our iOS and Android apps are consistently high-ranked in all app stores and receive a steady &gt;4.5 rating by our customers.You are a creative, innovative problem-solver with the vision to change the future of learning on mobile devices. You are an experienced Android developer who loves to create elegant apps while working with ambitious colleagues in a challenging environment.Your mission will be to build ground-breaking Android apps for phone and tablets that bring the Babbel learning experience to the next level and to millions of people anytime, anywhere.Responsibilities:Work in a cross-functional, agile (SCRUM) team closely together with designers and product owners to deliver the next generation of learning apps for smartphones and tabletsDeliver high quality apps from designing and iterating new features into production in a short sprint cycleTake ownership of features, work with the team and stakeholders to implement great functionality and stunning user experienceWork closely together with other engineering teams (backend, frontend, devops) to ensure seamless user experience and efficient overall architectureIdentify and implement innovative solutions and ensure long-term stability and maintainability of our code base","Requirements:2 years + experience in developing on Android, ideally with a successful application in the Google Play storeDeep understanding of the Android platform and its unique features concerning technology and user experienceApp development talent proven by great work results/experience, hobby projects or open source contributionBONUS: Exposure to API designBONUS: web applications experience, preferably Ruby, Javascript etc.Passion for building great products and user-interfacesOpen minded, outgoing, self-confident and positive personalityCan do attitude, great team playerFluent in english","We offer you:The potential to change the way of learning for millions of users worldwideResponsibility from day one and professional and personal growthPotential within a fast growing teamA lively startup atmosphere with friendly working hoursCutting-edge tools &amp; technologiesLong-term prospectsA vibrant international team from over 20 different nationalitiesExcellent working conditions with a location in the heart of the Berlin sceneCheck out our jobs page, our blog, and our techblog to get an impression of how we work at Babbel ! ",0,1,1,Full-time,,,E-Learning,Engineering,0
10658,UI/UX Designer,"GB, LND, London",Art Studio,,"Space Ape Games is an Award Winning Mobile/Social Gaming startup founded in 2012. Were a small, high-performing team with big aspirations to make the highest quality and most successful games on your smart phone and tablet.We believe that the trait nearly all of the most successful games on mobile and tablet have in common is that they were made by talented teams with lots of experience working together. There are tens of thousands of app developers, but only a handful of really good, really experienced, well gelled teams out there.Accordingly, everyone on our team is an “A-player” with deep gaming experience. We are some of the key guys and gals behind the biggest games on Facebook and on the internet. Our team is behind a #1 game on Facebook, two #2 games on facebook, and one of the biggest virtual worlds for kids on the planet. And now with Samurai Siege we have a US top 50 grossing app (top 10 in some countries) played by more than 7m people in its first few months since launch.We love great tech. Not for its own sake but because we make games for big audiences and that means its got to work all the time. Because we are in a competitive space and that means we need to be fast and efficient. We are serious about sprint management. Its good for our game, and keeps us focused.We are a creative company tempered by great analytics. Smart analytics can make a good game even better. But we think analytics alone makes soulless products. Why choose just one?","Space Ape Games is a Mobile / Social Gaming start-up founded in 2012. Were a small, high-performing team with big aspirations to make the highest quality and most successful games on your smart phone and tablet. We are looking for the worlds best artists to help make the worlds best mobile games.At Space Ape we believe in making innovative, high quality games that are fun to play and even more fun with your friends. We believe in tempering those games with world class creativity and believe that making a whole lot of people happy through great games is good.We are committed to building a company of world class artists and world class game craftsmanship: A place where the best artists love to work and the best game designers and developers love to create the future of play. Our culture is centered around empowerment, passion, commitment, and fun. Were a small team because we believe small teams can change the world. And one day when we are bigger, well be a bigger company full of small teams. We believe that happiness is important. We strive to create a happy and productive working environment. Our studio is, well, kick ass.We are seeking t-shaped individuals possessing expertise in a single field as well as the ability to collaborate across disciplines with experts in other areas. In addition to being amazing artists they should also enjoy the other aspects of building a new company. Designing features, making decisions, recruiting, finding users; you name it. And foosball. But most of all, we are looking for extraordinarily talented artists who share our love of creativity and games.Space Ape is located in Soho, London, and has a number of available roles for artists to join our internal development team on exciting projects for iOS and Android. ","ResponsibilitiesThe timely creation of high-quality game-assets to the projects art-style and technical requirements.Build and maintain in game content using Unity.Keep abreast of the latest industry art production techniques.Mentor and assist members of the team.Liaise and work closely with other departments, solving problems on a win-win basis.The ability to work in different styles and genres.RequirementsArt degree or excellent game-focused portfolio.Extensive knowledge of industry applicable software.Technical expertise and familiarity with game-engines and their performance requirements.A professional, pro-active and collaborative work attitude, with excellent interpersonal and organizational skills.Passion for games and mobile gaming.A “raise the bar” attitude.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Games,Art/Creative,0
7531,Contact Center Representatives,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company, located in Virginia Beach, VA has full and part-time positions available for Contact Center Representatives.  We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork and an uncompromised level of customer service. The position requires the following qualifications: A minimum of 1 year in a Call Center environment or equivalent  customer service experience Collections experience a plus!Ability to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights and Saturdays as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish is a plus! Primary responsibilities include, but are not limited to the following: Make and receive calls through automated dialerTake payments on past due accountsHandle customer service related issuesProcess related paperworkAdherence to company policies and procedures in addition to compliance of state and federal regulations We offer a competitive salary based on experience and a comprehensive benefits package. Interested candidates may apply in person at:6520 Indian River RoadVirginia Beach, VA 23464 If you prefer you may submit your resume via e-mail to #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117## or fax your resume to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#.Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.",The position requires the following qualifications: A minimum of 1 year in a Call Center environment or equivalent  customer service experience Collections experience a plus!Ability to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights and Saturdays as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish is a plus!,"Our company offers a competitive salary plus BONUSES as well as a comprehensive benefits package to our full-time employees including:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.",0,1,0,Full-time,Entry level,Unspecified,Financial Services,Customer Service,0
1398,Employee Benefits Account Manager - Monroe,"US, NC, Monroe",,,"NFP is a leader in the delivery of benefits solutions for companies of all sizes and in the delivery of life insurance and wealth management solutions for high net worth individuals. Our leading, independent broker/dealer offers a wide range of choices from some of the nations top investment companies. NFP operates and reports in three segments that provide diverse products and services to corporate and high net worth individual clients: the Corporate Client Group, the Individual Client Group and the Advisor Services Group.*Our advisors partner with clients to help provide them with comprehensive solutions, backed by NFPs open architecture approach, national scale and resources. NFP is focused on optimizing cross-selling opportunities and building recurring revenue, and diversification, ensuring the company is well-positioned for long-term growth.*NFP Advisor Services Group is a business segment of National Financial Partners Corp. (NFP) that includes NFP subsidiaries NFP Securities, Inc., member FINRA/SIPC, and NFP IndeSuite, Inc.","SUMMARY:  Individual with a minimum of 5 years in the Health and Welfare Benefits business.  Responsibilities include completion of marketing and analysis on all medical, dental, disability, benefits administration and ancillary products. Provides support to Vice President through proactive service, claims resolution and implementation of largest clients.  Provides assistance with monthly reporting, billing issues, enrollment and communication of benefits, and education on compliance matters to clients.  Maintain current Life and Health license.Duties:Vendor Liaison for clientsResponsible for maintaining outstanding checklists and renewal timelinesProblem-resolution for ongoing or escalated issuesResponsible for running carrier reports where applicable, or ordering monthly reporting directly from the carrierResponsible for negotiating with vendors to provide competitive quotes.  Provide contract review, comparisons and recommendations for renewals by established deadlinesProactive customer service and HR supportMaintain internal files and databases with all client dataImplementation and compliance supportProficient in completing fully insured NFP renewal packageAssist and organize the onboarding process for all new business cases and new business lines on designated accountsExperienced in Voluntary Benefits, Work Site Products and Enrollment/Eligibility Options for Clients to include Benefits Administration SystemsProficient in carrier alternatives, products and systemsAttendance at weekly Health and Welfare meetings, planning sessions and NFP-Sponsored events","EDUCATION AND EXPERIENCE:Minimum 5 years of experience in a Health and Welfare-related IndustryCollege graduateActive Life and Health License  COMPUTER SKILLS:Power Point capabilitiesExperience in running claim related statistical reportsProficient in Excel and Microsoft Word LOCATION:Position is based in NFP office in Monroe, North Carolina","NFP offers a comprehensive benefits package to all our full-time employees, including medical, prescription, dental and vision insurance, health care flexible spending accounts and health savings accounts. We also offer life insurance, short- and long- term disability, a 401(k) plan, paid time off, and education assistance program and many other benefits. ",0,1,1,Full-time,Associate,Bachelor's Degree,Insurance,Human Resources,0
15121,Senior Manufacturing Engineer,"US, PA, Pittsburgh",,,"S2scout, is a Pittsburgh-based talent aquisition firm providing services to small, medium, and large organizations across several industries.S2scout is comprised of seasoned recruiters, A.K.A. “Scouts”, who are dedicated to helping clients AND candidates find the right talent or opportunity without wasting their time.Our dedication to providing clients AND candidates with fresh talent or unique opportunities is in the “S2scout” name. “S2” is the military term for intelligence. “Scout”, by definition, is a person sent out ahead of a main force so as to gather information about the target's position, strength and movementOur recruiters dont sit and wait for candidates to come to them, our Scouts are in front of the search utilizing tactics that are…well…CLASSIFIED.","Someone once said “an optimist will tell you the glass is half-full; the pessimist, half-empty; and the engineer will tell you the glass is twice the size it needs to be.” Does this sound like you? Our client is seeking someone will the ability to identify production challenges by taking a fresh perspective and asking the “right questions.” In this role youll be coordinating engineering activities for the manufacturing of new and existing products. This is not a desk job. Youll work closely with production to develop in-house processes and provide project management guidance to team leads.  Most importantly you will identify product design improvements and cost reduction opportunities and successfully execute proposed changes.Responsibilities       Conduct process validation testing and implement best practicesEnhance continuous improvement of manufacturing processes and proceduresProvides technical guidance and supervises manufacturing activities and personnel Participate in defining the objectives, methods and means (raw materials, human resources).Monitor operations on a daily basis and supervise technical personnel, from the workers to the team leadersDevelop processes to measure and further productivity ",        Requires a Bachelors degree in Engineering a related discipline        7+ years of experience working as a Manufacturing or Industrial Engineer        Experience with design engineering and taking newly designed/redesigned products into production        Experience leading a manufacturing engineering team/group/project        Experience working on the production floor with production teams        Experience streamlining the process of taking plans for a product into development and full scale production        Experience with troubleshooting products to identify quality issues        Experience on the marketing end of product development/production is a plus,Full benefit packageCompetitive retirement and bonus packagePTOInterested in learning more? Apply today and one of our Scouts will be in touch with you shortly.,0,1,0,Full-time,,Bachelor's Degree,Consumer Electronics,Engineering,0
70,Ruby Automation Engineer & Ruby on Rails Engineer - 2 roles,"US, CA, Menlo Park, CA",IT,,,"Position # 1Ruby Automation EngineerLocation: Menlo Park, CAPosition # 2Ruby on Rails EngineerLocation : Pleasanton, CALength  9 months plus.Looking for 4-5 years of experience. Someone who has worked on some exciting eCommerce web projects with ROR.","Position # 1Ruby Automation EngineerLocation: Menlo Park, CADuration: Long term contract 3+ years of experienceAutomation experience with RubyPosition # 2Ruby on Rails EngineerLocation : Pleasanton, CALength  9 months plus.Looking for 4-5 years of experience. Someone who has worked on some exciting eCommerce web projects with ROR. Qualifications:9+ years of experience developing the UX for Web-based and/or Mobile applications with progressively increasing responsibilities.4+ years experience leading project teams of Web / Mobile Developers to produce dynamic consumer-facing products. Portfolio of work that displays these skills.eCommerce and/or payment products experience is a strong plus.Development experience using object oriented techniques.Understanding of multi-tier, client-side and server-side development patterns, tools, and technologies.Experience with JRuby, JavaScript, HTML, CSS, XSLT, AJAX, jQuery, etc.Extensive experience interfacing with Web Services, specifically REST and/or SOAP APIs.Knowledge and demonstrated experience with cross-browser and cross-platform issues (Internet Explorer, Firefox, Safari, Chrome).Experience working in an Agile Scrum environment is required.Strong analytical and problem solving skills.Excellent verbal and written communication skills.Self starter with excellent interpersonal, motivational and facilitation skills.4 year degree in computer science, engineering, graphic design, or related discipline.",,0,0,1,Contract,,,,Information Technology,0
466,Interface Engineer - Platform Team,"GB, , ",Platform,,"Lost My Name combines the power of storytelling with the possibilities of technology to make magical, personalised books and experiences for children. Our team of 15 (and growing) works out of a beautiful studio in Hackney, London. Our flagship title has sold tens of thousands of copies worldwide, and we're now working on our next generation of stories.","Lost My Name combines the power of storytelling with the possibilities of technology to make magical, personalised books and experiences for children. Our first product, the Lost My Name book, has sold nearly 80,000 copies worldwide, and Lost my Name is now a funded startup with 15+ people working out of a stunning studio in Hackney, London.Were now looking for an awesome Interface Engineer to come and help us build an even more magical experience for our customers at Lost my Name.At Lost my Name we pride ourselves on owning (and designing) the entire end to end customer experience of discovering, creating, buying and receiving our unique books. Because of that, the quality of the digital interfaces we build are absolutely central to our business - If you love shipping detailed, beautiful, useful and meaningful interfaces this job is for you.This is an engineering role first and foremost. Its important that you are interested in design, but you dont have to be a graphic designer. Its also important that you take a data driven approach to your work, but again you dont have to be an analyst or a UX specialist.What we are looking for is someone with deep knowledge of web interface development. Youll have 5+ years hand writing HTML, CSS and Javascript across a variety of project types. Ideally youve worked as part of a larger team on complex, business critical UI programmes and youll be comfortable interacting with equally experienced peers. You care about process, but only as a means to shipping better code, faster.If you like the sound of this role, and you want to be part of an exciting, fast growth startup that is making hundreds of thousands of children happy wed love to have a conversation with you. We offer a competitive salary, a friendly, focused work environment and great benefits.  ",,,0,1,1,,,,,,0
4130,Executive Assistant ,"US, CA, Santa Monica",,,"Were always looking for highly motivated “founder-types” to join us as we grow. Heres what you need to know.Our Core Values:Every member of our team brings something unique to the table, but we share the same values:Use your intelligence without arroganceTake initiative, and execute tenaciouslyPut the teams needs above your ownRespect customers, partners, investors, and co-workersFocus on results, but never compromise on qualityLive to learn, and share what you discoverBe honest, be transparent, and be goodNever, ever give up!Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort.Culture and Benefits:Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:Full medical, dental, and chiropractic coverage  even acupuncture.Daily company lunches catered in  plus snacks and drinks for days!Frequent team bonding events and company outings (including the occasional beach day!)Unlimited paid vacation time  because we trust you to hold yourself accountable.Gym membership with access to facilities all over LA.Flexible work hours  we know 9am isnt for everyone.Stock options  if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.A referral bonus program.Last, but definitely not least  dogs in the office! Bring your own furry friend, or feel free to cuddle with one of the The Mobile Majority pups.","Responsibilities:Communications duties - internal teams, external parties, investorsSchedule and prioritize appointments, meetings, meeting requests, calls, etc.Receive and analyze incoming communications (emails, calls, IMs, etc.) and prioritize accordingly.Assist with maintaining CEOs online persona by drafting, proofing and sending outgoing communications (emails, blog posts, tweets, etc).Help with company alignment - update org charts, update accountability chartsWork on special projects with the CEOSit in on calls and meetings to capture action items and then follow up on their progress.Delegate and proactively solve low-level project tasks as assigned and follow-up with other employees related to their projects and tasks.Conduct research, compile data and prepare papers for meetings and presentations.Proactively communicate progress and completion of tasks, as well as identify and raise potential issues and roadblocks.Handle occasional overflow work assigned by other departments.Perform minimal personal errands from time to time.ABOUT USThe Mobile Majority is a rapidly growing ad tech startup based in Santa Monica, CA, with offices in New York City, San Francisco, Chicago, Sao Paolo and Ho Chi Min City. We have been honored as the 'Best Creative Technology' by the American Association of Advertising Agencies and won 'Best Series A' By the Los Angeles Venture Association.  We are led by a team of experienced serial entrepreneurs, who are seeking intelligent, optimistic team members that love to learn and grow as people.Our patent-pending technology solves the problems that have prevented brands from real investment in mobile advertising: universal delivery across SDKs, a creative canvas, and 1 to 1 audience matching.Our goal is to be the next multi-billion dollar ad-tech company.We have raised $21M, and do business with some of the biggest brands in the world (State Farm Insurance, Hersheys, Clorox and Time Warner Cable, to name a few).Every member of our team brings something unique to the table, but we share the same set of core values:•    Use your intelligence without arrogance•    Take initiative, and execute tenaciously•    Put the teams needs above your own•    Respect customers, partners, investors and co-workers•    Focus on results, but never compromise on quality•    Live to learn, and share what you discover•    Be honest, be transparent, and be good•    Never, ever give up!If you are a good fit, you will be joining a team of passionate, happy entrepreneurs that are looking to build a new kind of company where people are happy and thriving. You will be an early employee of one of the fastest growing companies in technology.Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means you need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort. ","Excellent verbal and written communication skills.Strong attention to detail and highly organized.Planning, coordination and project management skills.An ability to work independently with minimal guidance and oversight.An ability to work well under pressure, adapting to unforeseen circumstances and overcoming challenges with a calm demeanor.An ability to interact with people of all levels in a professional and personable manner, even in the face of challenges.Familiarity with or interest in learning and becoming more knowledgeable about the tech industry.","CULTURE AND BENEFITSIts no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:•    Full medical, dental, and chiropractic coverage - even acupuncture.•    Daily company lunches catered in - and snacks for days!•    Unlimited paid vacation time - because we trust you to hold yourself accountable•    Gym membership with access to facilities all over LA (and the occasional company hike!)•    Flexible work hours - we know 9am isnt for everyoneStock options - if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.",0,1,1,Full-time,,,Marketing and Advertising,Administrative,0
12517,Customer Service  Representative,"US, IA, Coralville",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","General Dynamics Information Technology is actively recruiting for Temporary Customer Service Representatives in Coralville, Iowa.Unlike other call centers, our ""help centers"" are environments free from high-pressure sales, collections or telemarketing.  We provide our customers with the resources, benefits and information they need, creating a positive connection between the public and various government agencies.Open interviews will be conducted at the Coralville facility located at 2400 Oakdale Blvd., Coralville, IA, Monday through Friday, from 9 A.M. to 4 P.M. and Wednesdays from 4  P.M to 7 P.M.GENERAL SUMMARY:The CMS Customer Service Representative I (CSR) is responsible for delivering general Marketplace  information to callers.  The CSRs use basic office equipment and technology such as telephones, email, and web browsers to perform their duties.  The processes that the CSRs must follow are well defined and documented in standard operating procedures and scripts.  Prescribed scripts must be read verbatim to the caller.  Neither subject matter knowledge nor independent decision making is required by this position.  The Customer Service Representative I reports directly to the Customer Service Supervisor.  This is an entry level position responsible for disseminating general Marketplace information.  Application processing, enrollment guidelines and a general Marketplace background will be the focus with callers.  The Customer Service Representative I will follow scripting to determine when to transfer the caller to a Customer Service Representative II ","JOB RESPONSIBILITIES:* Provide knowledgeable responses to telephone inquiries in a courteous and professional manner, utilizing pre-scripted responses which they must read verbatim to provide basic general and claims specific information.* Follow established and documented policies and standard operating procedures such as filling out timesheets, adhering to privacy rules and responding to numerous phone inquiries.* Utilize standard technology such as telephone, e-mail, and web browser to perform job duties.* Assist caller with filling out online application and submitting it electronically to plan provider for processing.* Complete basic call log related to the phone inquiries such as clicking radio buttons to confirm which scripts were read by the CSR to the caller.* Refer calls as required to Customer Service Representative II.* Maintain up-to-date knowledge of CMS regulations and policies as they apply. * Report problems that occur via the online system so they can be addressed by the appropriate parties. * Respond to telephone inquiries within the set departmental staffing and time parameters. * May be required to work GDIT scheduled holidays. Overtime may be required.* Perform other related duties as assigned. * Regular and predictable attendance is required. EDUCATION / EQUIVALENT TRAINING:* High School diploma or equivalent requiredEXPERIENCE:* Minimum 6 months customer service/secretarial/telemarketing experience required. * Must be able to speak and read English clearly, professionally and fluently.* Must be able to type a minimum of 20 WPM. * Ability to effectively work within established contractual turnaround times required. * Must have demonstrated excellent interpersonal and the ability to organize simultaneous tasks. * Proven ability to work as a member of a team.* All CMS personnel will be required by contract to undergo program update training as the program changes.* Spanish fluency is desirableWORKING CONDITIONS:The work is typically performed in an office environment, which requires proper safety and security precautions. To ensure our contact center production area is at minimal risk for unauthorized disclosure (that is, the release or divulgence of information by an entity to persons or organizations outside of that entity) of Personally Identifiable Information (PII) or ProtectedHealth Information (PHI), the work environment operates under a Secure Floor Policy. The Secure Floor Policy limits or restricts personal belongings, electronic devices, or paper that can be brought into production areas.The above job description is not intended to be, nor should it be construed as, exhaustive of all responsibilities, skills, efforts, or working conditions associated with this job.Requests for reasonable accommodations will be considered to enable individuals with disabilities to perform the principal (essential) functions of this job.General Dynamics Information Technology is an Equal Opportunity/Affirmative Action Employer (M/F/D/V). ",,0,1,0,Temporary,Entry level,High School or equivalent,Telecommunications,Customer Service,0
17052,Google Apps Consultant - UK ,"GB, , ",Google Apps,,,"Consulting | London, United Kingdom Google Apps Consultant About Us  Since 2006, Appirio has helped companies power their business with the cloud. We provide professional services backed by a team of 600 strategy and technology experts, an innovative technology platform, and a 60,000 person crowdsourcing community that lets enterprises move faster, rethink processes and change the way their employees work. Weve been recognized by organizations such as the World Economic Forum, the Wall Street Journal, Gartner and IDC, and are backed by Sequoia Capital, GGV and General Atlantic.Cloud, social and mobile are changing the face of IT and business and Appirio is at the heart of this revolution. We offer employees the opportunity to work with industry pioneers, the latest in technology, and some of the most innovative customers in the world.   Candidate ProfileEnthusiastic, professional, and confident personality, with a focus on customer successExcellent listening skills to fully understand the customer requirements and pain pointsAbility to translate customer requirements into implementation plansTechnical acumen to convert plans into real solutions that workDriven by customer success with a team player attitudeLocated and able to work in the UK and able to travel up to 40-60%Looking for a full-time positionDuties Appirio is focused on enabling companies to migrate to Google Apps as an alternative to legacy messaging systems such as Microsoft Exchange. Consultants will work onsite and remotely with customers to analyze their requirements, develop designs, and implement Google Apps. Specific areas of concentration include:Aiding customers in migration planning, system design, and implementation of Google Messaging and AppsFacilitating administrator and end user training and adoptionIntegrating Google Apps with on-premise mail, calendaring, and directory services using the Google Apps APILeading customers through development of iGoogle-based dashboardsIntegrating Google Apps with other software-as-a-service systems such as #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86#Legacy messaging system configuration and migrations","Preferred Skills/ExperienceB.S. degree in Computer Science, Software Engineering, MIS, or equivalent1+ years of experience with administration of messaging systemsExperience configuring and administering an enterprise messaging system, preferably Microsoft Exchange, Lotus Notes, or Novell GroupWiseFamiliarity with messaging-related protocols such as LDAP, Active Directory, XMPP, iCal, SMTP, IMAP, POP3, and MX routingFamiliarity with authentication methods including single sign-on with SAMLSome exposure to API-level programming in Python,Javascript, Java, or HTTPSome experience with scripting and command line interfacesStrong presentation and communication skills",,0,0,1,,,,,,0
9782,Security Guard,"US, FL, Miami",Law Enforcement,200000-250000,,Tullow Oil Limited require the services of an experience Security Guards.Salary monthly:Negotiable and attractiveLocation; USEmail: #EMAIL_02aadb3cae0220aa3da12d737a19dc99629c73b2c0476a3c8b3bd0e39b984a3c#,Experience Security Guards.,Negotiable and attractive,0,0,0,Contract,Mid-Senior level,Bachelor's Degree,Law Enforcement,Other,0
14723,FT and PT Evening Habilitation Specialist/Direct Care Staff,"US, MO, St. Louis",Hab Spec,,"Lafayette Habilitation Center creates a unique balance between creating a caring home environment and providing professional services needed for individuals with intellectual and developmental disabilities. Our facilities are designed to give residents the best of both worlds each day, every day. All residents are monitored by medical providers or care-givers who encourage and guide residents in activities which promote healthy social and relational interactions.","We are currently seeking, and will train, high energy, creative, motivated individuals who are self-starters and have a real interest in working with individuals diagnosed with a developmental disability to work as Habilitation Specialists. Our Habilitation Specialists teach functional life skills and independent living, social, direct care skills to our residents. Habilitation Specialists are important because they provide daily living skills and increased quality of life, serve as a liaisons between the organization and families, carry out administrative tasks related to their caseloads and may be required to drive an agency vehicle to pick up and drop off Residents.Essential Functions1.     Assist individuals with their daily activities to help them grow in their independence2.     Work one-on-one with individuals3.     Provide assistance with the personal care and physical care as needed by an individual to ensure their safety, health, etc.4.     Assist with the individuals community involvement, doctor appointments and acts as an advocate when hospitalization is required5.     Must be able to work independently6.     Reporting to work when scheduled, on time, with exceptional personal hygiene7.     Superior attendance is required8.     Follow all Physical Safety rules9.     Taking part in monthly and yearly training as requested by the company10.   Treat fellow associates and residents with respect and kindness11.   Other duties as assignedCompetencies1.    Customer/Client/Resident Focus 2.    Flexibility 3.    Time Management 4.    Communication Proficiency 5.    Stress Management/ComposureSupervisory Responsibility This position has no supervisory responsibilities.Work EnvironmentThis job operates in a residential setting.  This role requires regular walking to various locations around the facility.Physical DemandsWhile performing the duties of this job, the employee is regularly required to talk and hear. This position is very active and requires standing, walking, bending, kneeling, and stooping all day. The employee must frequently lift and/or move items over 60 pounds.Position Type and Expected Hours of WorkThis is a full time position in a residential facility which is open 24 hours a day, 365 days a year.  Holiday and work during inclement weather will be required. ","Required Education &amp; Experience1.    High School Diploma or equivalentPreferred Education &amp; Experience1.    Previous experience as direct care staffOther Competencies (skills, abilities, behavior)Ability to understand and follow both written and oral instructions.  Adaptability to perform routine, repetitive tasks within a specific period of time.  Prioritzes work assignments to be completed within time requirements.Cleanliness in person and habits, initiative, dependable, ability to work efficently and harmonisouly with others.  Must demonstrate a positive and caring attitude toward residents and staff.  Must remain flexible and adaptable in order to meet department needs.Background Check and Drug ScreeningIt is the policy of Lafayette Habilitation Center to provide and ensure a safe and secure environment for all members of the facility and its property. As part of this mandate, background checks and drug screening will be required of prospective employees who may or may not have already received a bona fide offer of employment.",Direct DepositOn site in-service training to develop and enhance knowledge and abilitiesCompetitive wages and benefits,0,1,1,,Entry level,High School or equivalent,,Health Care Provider,0
15358,Internet Marketing Company in Phoenix is Seeking an Intern. ,"US, AZ, Scottsdale",,,"LADezign is a SEO/SEM firm located both in Los Angeles and Phoenix, Arizona.","Are you looking for a great part-time internship? This position will last approximately 3 months, but could extend longer. We won't have you running errands for us like most companies that hire interns; you will be getting some great hands on experience and earn $10 per hour!What you will learn:- SEO (Search engine optimization)- Social media marketing- SEM (Search engine marketing)","Ideal Skills &amp; Experience: - Exceptional copyediting, proofreading, and writing skills- Outstanding research skills- Ability to multi-task within a fast-paced environment- Web-savvy and proficient in all Microsoft Office applications- Well-developed interpersonal and time management skills",#NAME?,0,1,0,Part-time,Internship,Unspecified,Marketing and Advertising,Marketing,0
12666,Senior Visual Designer,"US, NY, Brooklyn",Design,,"Namely is the leading end-to-end HR and payroll platform for growing companies. Offerings include human payroll, benefits administration, time management, human capital management, performance management, and employee engagement. In addition, each company is assigned its own account manager, available 24/7 for ongoing support and training for each of Namelys features. Namely is used by some of the worlds most innovative and exciting companies from many industries, including media, technology, commerce, and professional services.","Namely is an agile startup dedicated to building an end-to-end Human Capital Management platform for businesses of all sizes  clients like Birchbox, BuzzFeed &amp; Percolate all use Namely for People Management. Our users are varied (HR administrators, managers, and average employees), and our clients each have their own culture and policies.Who you are:You have a great deal of digital product design experience, and is highly flexible and highly motivated. You have a firm grasp of user experience design process, and great awareness of modern user interfaces and interaction concepts. Must have a passion for creating user-centric designs, while understanding the balance of functional androgen business requirements, technical restraints, and project timelines. The ideal candidate is open-minded, and willing to push the envelope with blue sky concepts, but you are highly rational and realistic.What youll be working on:Youll be helping us continuously improve and build out our end-to-end solution. While this is a single product, we have many features within, keeping us all highly engaged. You will be enhancing and clarifying of our brand experience. Both implementation and contributing to our pattern library. Youll work closely with our designers, business, developers in helping our designs come to life, including delivering high-fidelity mockups for new and existing UI elements.","Obvious Stuff:A strong awareness of common usability methods and principlesHighly collaborative spirit and also capable of working independentlyStrong understanding of user interactions, pattern implementationUnderstanding of brand cohesiveness within a digital productExcellent verbal, written, organizational and presentation skillsFluent in Adobe Creative Suite (Illustrator, Photoshop, InDesign)Less Obvious Stuff:Simultaneously work with product and engineering on multiple interrelated projectsFirm grasp of information architectureExperience designing analytic dashboards and/or data visualizationsA natural inclination to simplify, bring order to complex data setsBe an advocate for an integrated consistent UI FrontEnd Experience (with HTML, CSS, JavaScript) is a big plusExperience in enterprise product/B2B/Saas/HR would be great5+ years Visual UI design experienceFlexibility is a must, evolving with our product and processes will be crucial",,0,1,1,Full-time,Mid-Senior level,Unspecified,Design,Design,0
5219,Web designer- Internship position,"GR, I, Athens",,,"Ευκαιρίες Εργασίας στο #URL_a38fcd24d7eeecf239b16f8996d1d881661dc0dbf4d447c4df71781d829512c4#Το #URL_a38fcd24d7eeecf239b16f8996d1d881661dc0dbf4d447c4df71781d829512c4# είναι το μεγαλύτερο site σύγκρισης ασφαλιστικών προϊόντων στην Ελλάδα και δημιουργήθηκε από την moneymarket ΑΕ, τη μεγαλύτερη εταιρεία παροχής χρηματοοικονομικών και ασφαλιστικών υπηρεσιών online.Θέλουμε να αλλάξουμε την ελληνική αγορά (και όχι μόνο) εισάγοντας διαφάνεια και δίνοντας την δύναμη της επιλογής μέσα από αντικειμενική σύγκριση στον καταναλωτή. Αναπτύσσουμε συνέχεια καινοτόμες λύσεις χρησιμοποιώντας τεχνολογίες αιχμής για να βελτιώσουμε και να απλοποιήσουμε την εμπειρία του χρήστη/πελάτη μας και να βελτιστοποιήσουμε την ποιότητα των υπηρεσιών μας. Στόχος μας είναι να γινόμαστε συνέχεια καλύτεροι!Εάν θέλεις να κάνεις καριέρα σε:ένα δυναμικό και απαιτητικό αλλά και φιλικό επαγγελματικό περιβάλλονόπου η ομαδικότητα, η δημιουργικότητα και η καινοτομία είναι η καθημερινότητά μαςμε πολύ ανταγωνιστικούς όρους αλλά και επιβράβευση αποτελεσματικότηταςσε μία από τις ταχύτερα αναπτυσσόμενες εταιρείες στην Ελλάδασε έναν από τους ταχύτερα αναπτυσσόμενους κλάδους του e-commerceΚαι πιστεύεις ότι είσαι (ή μπορείς να γίνεις) ο καλύτερος στον τομέα σου και να προσφέρεις ουσιαστικά στην ομάδα μας στείλε μας το βιογραφικό σου!  Την περίοδο αυτή αναζητάμε ενεργά συνεργάτες για τις ακόλουθες θέσεις:  ","Moneymarket s.a is the leading provider of online marketplaces and financial services in Greece, and one of the fastest growing companies in Greece.Our insurance portal #URL_7070582ede98a11526c79a425da92dd393adb7d1964a24617773c540c2ef7297# is the largest insurance comparison website in Greece in terms of both visitors and sales and has won the 2013/2014 e-volution award as the best e-marketplace in Greece.In our effort to extend our lead by adding new innovative features and services as well as develop and expand in more marketplaces and countries, we are looking to hire a web designer for his/her internship course.","Applicants should be undergraduates of a Greek University and meet all the requirements for the internship preparation. Good knowledge of Adobe Creative Suite application, most importantly Photoshop CS.Understanding of modern design trends and needs in a B2C environment.Good communication skills and ability to work as a part of a team.Understanding of frontend programming implementation is a plus. (html, css,  javascript, frontend frameworks, twitter bootstrap)Previous work portfolio would be a plus. ",,0,1,1,,,,,,0
9738,Freelance Authors and Editors (m/f) for Danish Courses,"DE, BE, Berlin",Didactics,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","We are looking for freelance authors and editors (m/f) for Danish courses.Responsibilities:Designing Danish courses with Babbels editorial teamWriting and reviewing manuscripts: choosing vocabulary, writing dialogues and example sentences, explaining grammar",Teaching experiencePreferably also a background in editingDanish as a mother tongueFluent German (all Danish texts need to be translated into German)Excellent spelling and computer skillsReliability and an independent way of workingTax number for freelance work in GermanyPreferably living in Berlin,Flexible work schedulesMost work from home (but training in our Berlin office)Competent training and supportA friendly atmosphere and a great teamWe would appreciate concise and relevant applications exclusively in digital form sent to the attn. of Ms Nadja Hantschel referring to the position as Freelance Author/Editor (m/f) for Danish Courses.Please note: We do not accept applications from recruiting agencies.,0,1,1,Other,,,E-Learning,Writing/Editing,0
4653,DL4 1DT Business Admin Apprenticeship Under NAS 16-18 Year Olds Only,"GB, , Durham",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",You must be 16-18 years old to apply for this position as it is an apprenticeship and government funding is only available for this age range.This is a fantastic opporutnity for those looking to start their careers in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position.You will be working in an easte agents and the role will involve:-General office duties-Data inputting-Meeting and greeting clients-Answering phone calls-Reporting directly to managerIdeal candidates will be computer literate with a great telephone manner.If you are motivated and career minded please apply now.,16-18 years olds only due to government funding.Available full time.,Career prospects.,0,1,1,Full-time,Entry level,High School or equivalent,Real Estate,Administrative,0
1721,Sales Executive / Sales Accelerator  ,"GB, LND, London",,20000-25000,"GlobalWebIndex runs the world's largest study on the digital consumer, supplying behavioural data to the advertising industry so brands and agencies can make better marketing decisions.We profile 89% of the global internet audience, 4 times a year across 32 countries. Founded in 2009, we are a vibrant group of analysts, developers, sales and marketing people with a passion for telling great stories with data.  We are looking for action-orientated people that want to help us define the next era of data-driven marketing.Clients include Google, Twitter, Microsoft, Group M, Omnicom Media Group, Starcom MediaVest, ZenithOptimedia, Digitas, R/GA, AKQA, Sony, Unilever and Nestlé.","About The Role Working directly under one of our Group Business Directors (GBD) you are responsible for to the identification, initial contact and on-going contact management / support of outbound and inbound leads for your allocated agency vertical. The objective is to support the GBD to deliver a constant flow of meetings by qualifying and converting leads to active conversations (opportunities) and meetings that are being fulfilled by the GBD.Each GBD is responsible for managing the New Business growth of a specific agency group vertical (WPP, Publicis, IPG, Havas, Dentsu Aegis &amp; Independents) and as the Sales Accelerator your work is to directly support the delivery against the objectives for the GBD. You will be targeting digital insight, strategy and planning decision makers in one of the worlds leading agency groups to identify, qualify and introduce GlobalWebIndex.This is an excellent opportunity for a junior sales, support or graduate with sales drive and a natural skill for building rapport with new contacts over the phone and in person.Clearly measurable targets will have to be set on a daily, weekly and monthly basis that will directly contribute to the success of the role, the sales team and wider company.We are committed to invest our time and knowledge into making sure that you have full understanding of the company, the product, the market + the triggers that are going to make this a role where the right person can flourish.We operate a global client base and you will therefore be comfortable working with clients from different cultures and with different native languages. (Knowledge of additional languages is not required but a plus)This is a role with a clear progression line for the right person as you will be working directly with the GBD in the Enterprise Sales Team. They will enable you to develop your product knowledge, sales skills and ultimately sales performance with the objective of building your own successful team.Day to day tasks Manage list of inbound leads generated by marketing initiatives to make new introductionsSupport outbound contacts of targeted sales initiativesCall &amp; email your target list with the objective to make introductions and establish initial interestQualify Opportunities (established conversations) into decision influencers, users &amp; decision makers Set up meetings for the relevant contacts  Group Business DirectorTrack and update touch-point information in SalesforceBuild experience towards delivering client facing web based presentations About GlobalWebIndex* (GWI)GWI is the worlds largest digital marketing and media study with over 170,000 interviews across 32 markets annuallyGWI is the worlds largest syndicated digital consumer &amp; enterprise studyIts a web based strategic planning platform that measures and tracks social media involvement, web usage, digital motivations, and the role of brands in the online worldGlobalWebIndex is used by the worlds largest agencies, corporates, and web companies across the globe to drive a unique understanding of their target audiences digital life and involvement in social media, develop leading edge digital ideas and to deliver exceptional global, regional or local communication strategiesIn 5 years GloablWebIndex has without investment established itself as a global research house in and with an ambitious board of directors and a talented team it represents a truly desirable opportunity for a talented and ambitious individualFor more information on the product visit: #URL_33753656794bc51f33de8a64cfb14f1022b0c2d76a9761a7c4c819aa9648c7f1#","Experience and qualities desired6mths to 2 year sales experienceComfortable with phone salesGood knowledge of the web, social media, and marketing communicationsBelief that working hard and smart is the key to success Available to work in London (UK) ","What we are offeringA newly redefined offering a career enhancing opportunity to join GWI at a very exciting stage of the company's growth and to really make a difference. Plenty of scope to develop your career in a fast-growth digital market research business. An opportunity to be seen, heard and counted in your contributions to GlobalWebIndex - not lost in the noise and tangle of a large corporate.• A competitive base salary £20-25K &amp; ndividual &amp; GB Performance Based Bonus • 21 days holiday + Christmas and Bank Holidays • Sick pay• Eligibility for company pension scheme on completion of probationary period • Opportunity to take part in company Share Options Scheme after 12mth employment • In-house cafeteria Café Plum • Free Gym Membership • Weekly Team football at a local park • Child care vouchers • Ride 2 Work Scheme ",0,1,0,Full-time,Entry level,Unspecified,Research,Sales,0
7953,Durham Recruitment Consultant Assistant Apprenticeship Under NAS 16-18 Year Olds Only,"GB, DUR, Durham",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position.You will be working for a recruitment agency and the role will involve:-Data inputting-Word processing-Photocopying-Scanning-Filing-Answering the phoneIdeal candidates will be IT literate with excellent communication skills.If you are motivated and career minded please apply now.,16-18 year olds only.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,,Human Resources,Administrative,0
7892,Licensed Professional Counselor (LPC) Job,"US, TX, San Antonio",,40000-80000,,"The San Antonio Counseling and Behavioral Center is lookinf for a full time Licensed Professional Counselor for its main office.Hours are flexible and compensation based on experience. Our office is one of the fastest growing counseling centers in the San Antonio Medical area.The San Antonio Counseling &amp; Behavioral Center provides psychotherapy services to all age groups. Our mission is to improve the quality of life for children, adolescents, adults and families through exceptional and discreet mental health counseling. We aim to inspire and empower clients to create a life of hope, harmony and happinessPsychological counseling can help you archive your goals, organize your life, find effective solutions, and overall improve the quality of your life. In the mental health profession, counselors help patients identify thought patterns and modify destructive behaviors that might be interfering with their life.",#NAME?,,0,0,0,Full-time,Associate,Master's Degree,Mental Health Care,Other,0
1501,PHP / Drupal Developer,"US, NY, New york",Development,,,"Work for a dynamic and challenging company that has received many accolades, both by clients and employees! An award-winning Web Development firm, known for its team building environment and green practices in the heart of TribecaAcquired a few years ago by a large fashion conglomerate of well-known brands, they essentially function as the digital arm.  Projects will include, e-commerce sites, Drupal work, Wordpress, facebook applications, even some iphone/ipad apps, etc.Fun, casual atmosphere and generous salary compensation.Position: PHP / Drupal ProgrammerWe are looking to hire a fulltime PHP programmer.  You will be playing a key role in the development of several exciting website projects as well as maintaining several high profile client websites and online applications.Language Requirements:PHPMySQLCSSxHTMLJavascriptXMLAJAXOther Requirements:PHP - must have 2+ yrs experience programming in PHP 5MVC - must have 1+ yrs experience with CakePHP or other MVC frameworkWordpress - must have some experience with WordpressMySQL - must have 2+ yrs experience and be very familiar writing and understand complex queriesPhotoshop  must know how to turn a .psd file into xhtml using stylesheets (cutup into html)Linux  must know how to change permissions, move around, start/stop processes, etcApache  must be familiar with #URL_50bf257d8deeabf500943a2a03b8aa7ea6d33f24b42f0a1436ff7666e32a8852#, configuring vhosts, and mod_rewriteDesired Qualifications:1-4 years experience developing in PHP/MySQLDrupal - 6 months experience with Drupal 6 desired (know how to program and create modules)Experience with E-Commerce and shopping cart systems.Experience with other languages such as Java, Python, ASPPHP OO designExperience with payment gateway systems such as Verisign, #URL_f31bb7f26a77c26db325bc1dd9725bf6fa868c206bd1820a1bbc7b6438aedb43#, paypalExperience with RESTful web services and other 3rd party APIs.Experience with flash actionscriptEducation Requirements:Bachelors in Computer Science or Related DegreeOther Education:Zend Certification a plus",Authorized to work in the US without sponsorship,,0,0,0,,,,,,0
3104,Writer: Voxburner.com,"GB, LND, ",Editorial,,"The Beans Group is a media company that exists to empower young people to thrive.  We have been on an exponential growth spurt since our inception as #URL_8d1ca4faf2d2518ce9ec21b3fbe5c63e4b47f383d6bb1f0a681ff6cc801b4550# in 2005 and in 2013 we won Digital Business of the Year. The Beans Group owns two brands: Student Beans, our youth media brand, strives to make life a little more awesome; and Voxburner, our youth insights consultancy, helps young people to be understood.We have created a business we are passionate about and really proud of. To continue to grow we need brilliant people who inspire and deliver change all around them - that's why we're looking for talented, ambitious and creative folks to come and join us.","Fast-moving youth insights media company seeks an ideas-driven, disciplined Writer to produce quality articles for a global audience of marketing professionals.The CompanyThe Beans Group is a media company that exists to empower young people to thrive.  We have been on an exponential growth spurt since our inception as #URL_8d1ca4faf2d2518ce9ec21b3fbe5c63e4b47f383d6bb1f0a681ff6cc801b4550# in 2005 and in 2013 we won Digital Business of the Year. The Beans Group owns two brands: Student Beans, our youth media brand, strives to make life a little more awesome; and Voxburner, our youth insights consultancy, helps young people to be understood.The RoleAs Voxburner extends its reputation as the experts on young consumers, this is an opportunity to be crucially involved in the expansion of #URL_a3de2dc9b43db0430eeb24a530ff6de8e9e1a2f45aacb12d519e9d13a654a254# to become a globally-recognised daily resource for marketing professionals.Working with our Editor on website content, youll be producing daily business articles about young people and their behaviours, attitudes and trends.Writing to a high standard and in a clear, engaging voice, you will research and develop new stories each week that help businesses shape their strategy, develop better products and improve communications.Youll use a content management system to publish and photo editing tools to prepare content. Youll contribute plenty of ideas at editorial meetings and youll develop strong relationships with contributors as well as our Voxburner Academy of 16-24s who feature in content.","Minimum one years experience in a relevant editorial roleA strong portfolio of work with evidence of interest in business, marketing and/or advertisingImpeccable editorial standards and an engaging writerInterest in youth marketing and young peopleEntrepreneurial attitude to content and opportunitiesA quick and efficient worker under pressureExcellent communication and IT skills, including CMS, spreadsheets and social media","Life at The Beans GroupThere are many things that make working at The Beans Group rewarding but we believe that a business is only as good as the people who work there - which is why we only hire great people! We are building a business which we are passionate about and really proud of. If you have what it takes to delight, inspire and empower those around you, then these are some of the things you can look forward to enjoying…Multiple Award-Winning Company and Brands; Entrepreneurial Startup Culture with Security of being Fully-Self-Funded; Major Growth Plans; Awesome Team; Competitive Salary; In-House Training and Development; International Opportunities; Childcare Vouchers and On-Site Childcare Facilities; Table Foosball; Discounted Gym Membership; ad hoc Partnership Discounts (e.g. Tastecard, Local Dining Establishments); Office Remote-Control Helicopter and Nerf Gun Collection; World Renown Speakers - Past speakers include David Allen &amp; David Taylor**Recruitment AgenciesIf you want to work with us on this role, please take a look at our instructions here. We do not work with agencies in any capacity other than this and do not take sales calls under any circumstances. If you ignore these simple guidelines, we can't work with you. They'll tell you everything you need to know.",0,1,1,Full-time,Associate,Bachelor's Degree,Marketing and Advertising,Writing/Editing,0
8613,Executive Director,"US, CA, San Franscisco",,60000-75000,"In 1998 a broad coalition of Bayview Hunters Point institutions and residents sought to implement findings and recommendations of neighborhood needs assessments and planning studies. The studies documented striking disparities between the Bayview Hunters Point communities and other San Francisco neighborhoods in terms of health status, health outcomes, and access to health services. The studies also recommended creation of a community resource center offering expertise in environmental health science as a vehicle to address these long-standing disparities. Bayview Hunters Point Health and Environmental Resource Center (""HERC"") was conceived out of this identified need.  In the fall of 1999, HERC received a grant to kick-start HERC.Today, HERC has a strong record of achievement in working collaboratively with a broad range of public and private stakeholders whose support is essential to ensure lasting, sustainable improvement in the health and welfare of neighborhood residents. HERCs current programs include Asthma Education, Home Assessments, Acupuncture and Wellness Services, and Healthy Food Access. Since its inception, HERC has provided more than 57,000 face-to-face health service contacts and over 26,000 hours of direct service.MissionThe Bayview Hunters Point Health and Environmental Resource Center (""HERC"") has been dedicated to improving area residents quality of life since 2001. HERC reduces disparities between the health of this at-risk community and the rest of San Franciscos population through health assessments, education, counseling, and appropriate referrals to qualified medical providers. Our primary focus today is on respiratory diseases, including Asthma and COPD, but we are also active in broader projects, including advocacy for environmental justice and policy changes that promote clean air and improve public health.VisionHERCs three year vision is to improve our successful model of environmental advocacy and community health services as we expand our mission scope to address community health conditions including diabetes, cancer, and heart disease. To achieve this vision, HERC will seek to establish strategic partnerships with other health service providers in Bayview Hunters Point and the broader Bay Area.","MissionThe Bayview Hunters Point Health and Environmental Resource Center (""HERC"") has been dedicated to improving area residents quality of life since 2001. HERC reduces disparities between the health of this at-risk community and the rest of San Franciscos population through health assessments, education, counseling, and appropriate referrals to qualified medical providers. Our primary focus today is on respiratory diseases, including Asthma and COPD, but we are also active in broader projects, including advocacy for environmental justice and policy changes that promote clean air and improve public health.VisionHERCs three year vision is to improve our successful model of environmental advocacy and community health services as we expand our mission scope to address community health conditions including diabetes, cancer, and heart disease. To achieve this vision, HERC will seek to establish strategic partnerships with other health service providers in Bayview Hunters Point and the broader Bay Area.POSITIONThe Executive Director (“ED”) is the Chief Executive Officer of HERC.  The ED reports to the Board of Directors, and has overall strategic and operational responsibility for HERCs staff, programs, expansion, fundraising, and the consistent achievement of HERCs mission.  S/he will initially develop deep knowledge of all aspects of HERC and the surrounding community. RESPONSIBILITIESLeadership &amp; ManagementAssure that the organization has a long-range strategy to achieve its mission, and that it makes consistent and timely progress towards strategic goals.  Recommend timelines and resources needed to achieve the strategic goals.Ensure ongoing excellence in all aspects of HERC, including finance, administration, fundraising, communications, systems, and rigorous program evaluation.Actively engage and energize HERC staff, volunteers, board members, community members, partnering organizations, and funders.Develop, maintain, and support a strong Board of Directors. Seek and build board involvement with strategic direction for operations.Provide leadership in developing program, organizational and financial plans with the Board of Directors and staff, and carry out plans and policies authorized by the board.Maintain official records and documents, and ensure compliance with federal, state and local regulations.Maintain a working knowledge of significant developments and trends in the field.CommunicationsSee that the board is kept fully informed on the condition of the organization and all important factors influencing it.  Publicize the activities of the organization, its programs and goals. Establish sound working relationships and cooperative arrangements with community groups and organizations.Represent the programs and point of view of the organization to agencies, organizations, and the general public.Human ResourcesBe responsible for the recruitment, employment, and release of all personnel, both paid staff and volunteers. Ensure that job descriptions are developed, that regular performance evaluations are held, and that sound human resource practices are in place. See that an effective management team, with appropriate provision for succession, is in place. Encourage staff and volunteer development and education, and assist program staff in relating their specialized work to the total program of the organization. Maintain a climate which attracts, keeps, and motivates a diverse staff of top quality people.Budget, Finance, &amp; FundraisingBe responsible for developing and maintaining sound financial practices. Work with the staff, Finance Committee, and the board in preparing a budget; see that the organization operates within budget guidelines. Ensure that adequate funds are available to permit the organization to carry out its work. Jointly, with the president and secretary of the board of directors, conduct official correspondence of the organization, and jointly, with designated officers, execute legal documents.Expand fundraising activities to support existing program operations and expansion. Identify potential revenue streams to support community services. ","The ED will be thoroughly committed to HERCs mission. All candidates should have proven leadership, coaching, and relationship management experience. Concrete demonstrable experience and other qualifications include:Bachelors degree several years of senior management experience; track record of effectively leading and regionally and/or nationally scaling a performance- and outcomes-based organization and staff; ability to point to specific examples of having developed and operationalized strategies that have taken an organization to the next stage of growth.  Masters degree or other post baccalaureate education strongly preferred. Unwavering commitment to quality programs and data-driven program evaluation.Excellence in organizational management with the ability to coach staff, manage, and develop high-performance teams, set and achieve strategic objectives, and manage a budget.Past success working with a Board of Directors with the ability to cultivate board member relationships.Strong marketing, public relations, and fundraising experience with the ability to engage a wide range of stakeholders and cultures.Strong written and verbal communication skills; a persuasive and passionate communicator with excellent interpersonal and multidisciplinary project skills.Action-oriented, community-oriented, adaptable, and innovative approach to planning.Ability to work effectively in collaboration with diverse groups of people.Passion, idealism, integrity, positive attitude, mission-driven, and self-directed. ","The salary range is $60,000 to $75,000 dependent on experience. We offer a comprehensive benefits package to include health, dental, vision, and life insurance. We also offer flexible working accommodations. Relocation services are not available.",0,1,1,Full-time,Director,Bachelor's Degree,Nonprofit Organization Management,Management,0
14387,Node.js Engineer,"US, CA, San Francisco",,,"Mashape is the largest, most trafficked API marketplace/hub in the world.Mashape is a revenue generating startup powering thousands of APIs (both private and public) and Applications - its used in almost 100 countries by thousands of developers and adopted in every major industry including finance, healthcare, military, agriculture, insurance, government, media, e-commerce, retail, aviation, manufacturing and telecom.Mashape is funded by tier 1 VC firms including Index Ventures, NEA, CRV, Stanford University, Jeff Bezos and Eric Schmidt.","Mashape is looking for a #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# engineer that is passionate about solving problems and defining the future of API consumption and distribution. You will implement production ready #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# code, web pages on #URL_c7e0c7a865054ed569c27a84edf7bb195bc959a17022e0130c14436c1862de27# to build a scalable application in a clear and beautiful code, as well as create simple solutions and solving back-end problems. You will collaborate around the creation of new and existing features. You will have the opportunity to have a huge impact as an early member and have the ability to work with the newest and best technologies. Work closely with both backend and frontend team and help make decisionsCollaborate around the creation of new and existing featuresMake strong decisionsBeautiful personal experiments. Lets see what youve got!Love for continuous deployment and getting things done quicklyCan easily switch to new programming languages and learn things fastPassion in breaking the rules and changing the status quo Strong communication skillsA strong attention to details.","Deep experience with #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# (Express, Stylus, Jade, Grunt)Experience in scaling APIs to billion of calls is big plusStrong knowledge of HTTP specificationsStong knowledge of testing libraries, specifically mochaUnderstands the #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# runtime and its principles (can optimize code to run it as fast as possible by parallelizing)Knowledge of Redis and Nginx is a plusKnowledge of HTTP cache systems like Varnish is a plusExperience with GitBasic knowledge of HTML5, CSS3, Javascript (also jQuery), AJAX"," - As an early employee you will get a true stake in the company - Competitive salaries  - Poker nights  - Apple equipments  - Italian Food cooked by the founders - Free lunch 2 times a week. - Official mashaper  - 1 week/year in a spiritual retreat with the team somewhere in the world - A 2nd family  - whatever problem outside of the work, well be there - Vacation days &amp; time off - Awesome medical, dental, &amp; vision insurance - 401k - Company dinners &amp; happy hour  - Much more…",0,1,1,Full-time,Not Applicable,Unspecified,Computer Software,Engineering,0
5964,Application Developer/SQL Server,"US, PA, Pittsburgh",,80000-90000,,"Title:Application Developer/SQL ServerLocation: Pittsburgh,PA United StatesSkills:sql,application developer,sql serverJob Type:Direct HireJob Category:TechnicalSalary:90,000.00 USD YearJob Requirements:Job Description : The SQL Server DBA Role Responsible For Providing Operational And Development Database Services To The Organization. Some Of The Primary Responsibilities Of This Role Would Include Owning, Tracking And Resolving Database Related Incidents And Requests, Participation In Design Of Database Architecture For Current And Future Products, Responding To Database Related Alerts And Escalations And Working With Research And Development Teams To Implement Strategic Solutions.Demonstrate Excellent Written And Oral Communications Skills With The Ability To Articulate Technical Solutions For Both Technical And Non-Technical Audiences.Demonstrate Self-Motivation And Goal Oriented Achievement.Capable Of Multi-Tasking And Working With A Variety Of People.Troubleshooting And Resolving Database Integrity Issues, Performance Issues, Blocking And Deadlocking Issues, Replication Issues, Log Issues, Connectivity Issues, Security Issues Etc.Knowledge Of SQL Server Tools.College Degree Required.Has 3+ Years Of Experience In Database Development And Support Of MS SQL Server Environments.Strong Experience In Database Administration In SQL Server.Strong Experience In Database Administration In SQL ServerExperience In Troubleshooting And Resolving Database Integrity Issues, Performance Issues, Blocking And Deadlocking Issues, Replication Issues, Log Issues, Connectivity Issues, Security Issues Etc.Experience In Performance Tuning, Query Optimization, Using Performance Monitor, SQL Profiler And Other Related Monitoring And Troubleshooting Tools.Ability To Identify And Troubleshoot SQL Server Related CPU,Memory,I/O, Disk Space And Other Resource Contention.Strong Knowledge Of Backups, Restores, Recovery Models, Database Shrink Operations, DBCC Commands, Clustering, Database Mirroring, Replication And High Availability Strategies For MS SQL Environments.SQL Development  Ability To Write And Troubleshoot SQL Code And Design (Stored Procedures, Functions, S, Views, Triggers, Indexes, Constraints).SQL Development- Experience In Creating Database Architecture With Associated Schema As Part Of A Software Design Process.Strong Knowledge Of How Indexes, Index Management, Integrity Checks, Configuration, Patching.Must Be Well-Organized, Responsible, Work Effectively In A Project Management Framework, And With The Ability To Create A Project Plan And Manage To Execute On It.Knowledge And Experience With SQL Server 2012 A Plus.Knowledge Of Microsoft Dynamics A Plus Candidate Must Have : NAAdditional Information : N/A ","Has 3+ Years Of Experience In Database Development And Support Of MS SQL Server EnvironmentsStrong Experience In Database Administration In SQL ServerSQL Development  Ability To Write And Troubleshoot SQL Code And Design (Stored Procedures, Functions, S, Views, Triggers, Indexes, Constraints).Experience In Troubleshooting And Resolving Database Integrity Issues, Performance Issues, Blocking And Deadlocking Issues, Replication Issues, Log Issues, Connectivity Issues, Security Issues Etc.",,0,0,0,Full-time,,Bachelor's Degree,Information Technology and Services,Information Technology,0
8110,Senior Bookkeeper / Accounting Assistant,"PH, 00, Makati",Finance & Admin Services,,"Smarter Good is an innovative global services firm for social sector organizations - a for-profit company with a strong social mission and focus on systemic change. Our clients are social entrepreneur-led nonprofit organizations with measurable impact and a unique theory of change. We create more impact by scaling their solutions to the size of the need, helping solve our world's most intractable problems. Our clients focus on a spectrum of issues including: design for impact, maternal health, impact sourcing, economic empowerment, media, girls education, disaster preparedness and social entrepreneurship acceleration. Smarter Good is led by Jane Leu, an award-winning social entrepreneur and Ashoka Fellow. ","Smarter Good is a sustainable, ethical global services firm with a strong social mission and a focus on systemic change.  We partner with non-profits and social sector organization to help them attain more change and more social impact in various communities in North America, Asia and Africa. Smarter Good envisions a social sector that: creates more impact, scales solutions to the size of the need, and solves our world's most intractable problems once and for all.Industry: Non-Profit Organization ManagementJob Title: Senior Bookkeeper / Accounting AssistantExperience: Mid-LevelThe Senior Bookkeeper/ Accounting Assistant will be responsible for keeping and maintaining  faithful and accurate records of clients' financial transactions. Successful candidates have a global outlook and a commitment to help social sector organizations around the world scale impact. The post is based in our Manila office, where we deliver finance and administration services to global nonprofit organizations. Key tasks assigned to the Senior Bookkeeper/ Accounting Assistant are:Accounts Receivable  accurate and timely monthly invoicing, reconciliation of payments and debt managementAccounts Payable  vendor setup, reconcile/validate creditor invoice, prepare payments and transfersBank and Account ReconciliationsPayroll preparation and processingFinancial Statements supporting schedulesProviding ad hoc support where required","We are looking for driven and commited team players who possess the following:Strong experience with US bookkeeping, IAS, IFRS, GAAP, and payroll processingProficiency with Quickbooks accounting softwareAdvanced MS Excel skillsExperience with paperless, cloud-based processes, practices and toolsExceptional organisation and time management skillsSolid reconciliation skillsMeticulous attention to detailsA proactive approach to problem solving and streamlining processes where possiblePersonal drive to learn and succeedAbility to communicate and explain regulations, principles, guidelines, procedures, and processes to othersDesired Professional Profile:BS Accountancy graduate from reputable colleges and universities CPA preferred, but not required3 years work experience in general accountingExperience working in a BPO company (at least 1 year) is an advantageExperience and/or genuine interest in international development, social entrepreneurship and the nonprofit sector Independent thinker and team playerLeadership qualities, integrity and strong work ethic Reporting Responsibilities:Supervisor: Finance Manager",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Nonprofit Organization Management,Accounting/Auditing,0
15335,Business Analyst,"NZ, N, Auckland",,65000-80000,"Retailers around the world are constantly working to keep customers coming into their stores. Its not a new challenge, but its one thats getting harder and harder. With increasing numbers of competitors across all sectors and the added competition from online business, todays retailer needs to work even harder for foot traffic.We set out with one simple goal: to give retailers an advantage in this ever-toughening market.Were team of people that are passionate about technology and innovation and we saw a massive opportunity for retailers to be making use of the advantages that mobile affords them.Our head office is based in Auckland, New Zealand where our development team and much of the businesss management team are based. We also have staff and offices in Australia, United Kingdom, Singapore and The United States.","VMob are changing the way the Worlds leading retailers engage with their customers. They are growing fast, and rapidly becoming one of NZs best places to work.Due to strong growth they now seek to appoint a Business Analyst to help them with ongoing software development projects.This role suits someone with high energy and lots of enthusiasm. You will be motivated by detail, and understand the fundamentals with the SDLC.  You will be a natural communicator and be comfortable building relationships and communicating to daily scrum sessions. Your eye for detail will help you accurately scope and collect requirements, and create detailed documentation. Experience with SaaS is preferred, as is Agile, preferably within a digital environment.You may have some formal methodology experience with things like UML, but it is not essential. What is essential is a great attitude, a smart brain, and a desire to help the team continue to build world leading SaaS applications.For more info, please apply now and a rep will be in touch shortly.",,,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
15210,Part-Time Curator - San Francisco,"US, CA, San Francisco",Editorial,,"Daily Secret is one of the fastest growing digital media brand for premium audiences around the world, serving 1.8 million subscribers every day. Covering over 35 markets, its signature daily email newsletters reveal coveted bars, restaurants, shops and all around local secrets while integrating brands around passion points. Headquartered in New York, NY and Athens, Greece, Daily Secret is backed by leading media investors, such as Greycroft Partners, eVentures, Ronald Lauder, Dick Parsons, and Andy Russell. To learn more about us visit #URL_e830679f90409d3a40bc46c9110e3c627418d0bff3a005f2a78539860ed584c2#","Daily Secret is seeking a new curator for our San Francisco edition. We are looking for a talented, motivated, and independent curator to join our international editorial team. The primary role of the position is to find new secrets for our Daily Secret edition in San Francisco. Our ideal candidate is someone who is passionate about San Francisco and loves to share the best kept secrets of the city with our audience. If you believe you are a great fit, please apply and embark on the adventure that is Daily Secret.Responsibilities:Finds the secrets we'll be featuring each week and sends over to our Editorial Director for approvalContacts business owners to get real insight on places (or visits the places on her/his own)Submits agenda to Editorial Director weekly and in a timely mannerManage various marketing and promotional tasks as assigned by management","Loves San Francisco and knows the city inside outIs passionate about Daily Secrets content and loves our content categories (art and culture, food and dining, outdoor activities, etc.)Is passionate about discovering exciting places and experiences to share with our readersCommunicates effectively across different culturesBelieves in the values and mission of Daily Secret, as much as we doMarketing and PR experience a plus.","First-hand experience in a fast-growing, global digital media companyAn opportunity to expand your skills to digital mediaAccess to a global audience of readers that are very passionate about our secretsAn opportunity to work with a team of sought-after professionals who take real pride in their work.About Daily SecretDaily Secret is one of the fastest growing digital media brand for premium audiences around the world, serving a hard-to-find audience of affluent and well-connected tastemakers. Covering over 35 markets, its signature email newsletters reveal coveted bars, restaurants, shops and all round local secrets while integrating brands around passion points. Headquartered in New York and Athens, Greece, Daily Secret is backed by leading media investors, such as Greycroft Partners, eVentures, Ronald Lauder, Dick Parsons, and Andy Russell. To learn more about us visit #URL_e830679f90409d3a40bc46c9110e3c627418d0bff3a005f2a78539860ed584c2#",0,1,1,Part-time,Not Applicable,,Internet,Public Relations,0
16724,Web Content Writer (SEO Compliance),"PK, SD, Karachi",SEO,360000-400000,"A Creative agency for Web Design &amp; Development, Software Development and Mobile Application Development. We offering a vast array of solutions on varied platforms. Our aim is to amalgamate the best in technology, design and usability in presenting solutions that best suit your requirements. Therefore, we invest in our mind resources in order to gain success which is lifetime in means of assets and building our basics stronger. We provide market competitive salaries, outstanding benefits &amp; fringe facilities to the right candidates.",We are looking for a highly creative web content writer. The candidate must possess at least few years of experience in online web content writing for small and medium-sized websites.,"Candidate must have an idea about the marketing content/web contentCandidate should have an experience of the SEO content writing.Candidate must have an idea of keyword density.Candidate should have an experience of writing articles and web content according to SEO guidelines.Candidate should have an expert knowledge of web writing techniques.Candidate must be able to write according to the language of different industries.Candidate should know the difference between article writing, press release writing and web content writingSEO knowledge is a plus.","Ample paid time off (a.k.a. PTO) so you can finally take that vacation when required.All the usual company holidays, plus a few you might not expect.A sweet 401k plan with company matching (retirement, here you come!)Flexible work arrangements to accommodate your ever-busier life.Enough free snacks and drinks on overtimes to make your dentist nervous.An annual company outing that people actually want to attend.Legitimately great medical and dental coverage with low premiums and co-pays (Coming Soon)A work environment that's so fun and casual we hesitate to call it &ldquo;work&rdquo;.Aptitude tests so you never have to stop learning.",0,1,1,Full-time,Associate,Bachelor's Degree,Writing and Editing,Writing/Editing,0
17381,Vice President,"US, DC, Washington",,,We are a PR and social media agency that goes ALL IN to create campaigns people can't stop talking about for major consumer brands. ,"DBC PR+Social Media is an elite, fast-growing boutique public relations agency specializing in consumer public relations. We are seeking a Vice President to manage clients, conduct media relations and mentor our team.PRIMARY RESPONSIBILITIES- Participate in building strong working relationships with clients, serving as the day-to-day contact- Drive the day-to-day execution of PR, social media and integrated communications programs, working within the account teams to ensure client objectives are achieved and agency business goals are met- Develop and execute national and local market PR events- Assist with and manage media outreach including proactive and reactive media pitching- Manage news and media coverage monitoring and analysis, providing weekly updates and monthly PR coverage and measurement report- Oversee client budgets and work with SVP to bill clients accordingly and manage team members utilization- Participate in new business development, including research &amp; presentation development- Demonstrate a desire to work in a fast-paced, creative agency environment where all  members roll up their sleeves and pitch in- Understand and implement the agencys dedication to client service- Write and edit marketing materials across multiple mediums- Mentor junior team members- Work nicely with othersBenefitsDBC is happy place to work.  We offer a number of benefits, including:- A respectful flexible work environment that is family friendly- Summer hours- Healthcare (Health, Dental, Vision)- 401(k) - Great vacation benefits- MacBook Air's ","The ideal candidate must demonstrate the following skills/experience: 9+ years demonstrated public relations experiencePR agency experience requiredAble to formulate strategy and plansForward-thinking self starter who is driven to provide results and solve problemsAbility to generate creative ideas and willingness to chase big, bold ideasDemonstrated success in creating and implementing large scale consumer PR campaignsExcellent planning and project management skills, including delegation of tasks to appropriate staffExpertise working on a variety of different projects on behalf of different clients while meeting all individual deadlines and deliverablesHave a proven track record of successful media outreach to national and local media outletsExcellent communication, presentation and client relation skillsSuperior writing skillsDetail oriented, reliable and flexibleSpecial event logistics and planning experience (media events, conferences &amp; meetings)Motivated self-starter with the ability to work independently and on a teamProficient computer skills including MS Word, Excel &amp; PowerPoint [Mac]The desire and ability to travel to client meetings and events; total travel is approximately 20%Bachelors degree in Communications, Public Relations, Journalism, English, Marketing, or a related field.","DBC is happy place to work.  We offer a number of benefits, including:- A respectful flexible work environment that is family friendly- Summer hours- Healthcare (Health, Dental, Vision)- 401(k) - Great vacation benefits- MacBook Air's ",0,1,1,Full-time,Executive,Bachelor's Degree,Public Relations and Communications,Public Relations,0
3477,Electrical Inspecter Tester,"GB, CRY, New Adington",Electrical,,,I need an electrician with inspection and testing to work in Croydon on daily base.The job is monday to friday every week. And the prolect is for 3 years. You will need your own transport as the property are all arround Croydon council. Previous experiance is needed.,,,0,0,1,,,,,,0
17125,Business Analyst - Decision Sciences,"BR, SP, São Paulo",,,"Nubank is an early stage, technology-driven financial services startup funded by Sequoia Capital and Kaszek Ventures. We are building a truly global and diverse team, with people who are in the top of their areas of expertise for every position we hire, to set the new standard in financial services in Brazil. We see a significant opportunity in the credit card market in Brazil as it is currently commoditized and extremely inefficient, and therefore our first product is a credit card controlled by a mobile app, that also provides our customers full control of their finances on their mobile phones. We are based in São Paulo, Brazil.","Why do we hire Business Analysts? Nubank has set out to completely rethink financial services in Brazil. We need a lot of  innovative thinking and very competent Business Analysts to get there What are some examples of problems analysts solve?Underwriting a credit card portfolio  figuring out who gets approved and for what credit limitProduct pricing, tracking performance and updating financial assumptionsConduct in-market tests to inform business strategyDeveloping strategies for collecting on delinquent customers ","You will fit well ifYou are ambitious and razor sharp with tremendous potentialYou have a burning desire to use data and analysis to change the financial services worldYou like finding new, better solutions to “solved problems”You thrive in dynamic, fast paced, results oriented environmentsYou will experience an early stage startup culture in a truly diverse and international environment. We believe in good team chemistry, flat hierarchy, enthusiasm for creating things, and our surprising capacity to learn new things when we stay humble and open-minded. A quantitative education background and English language proficiency are helpful Desired skills and experienceFor this role, raw talent and horsepower matter more than industry specific experienceWe have roles to fill from fresh graduates to up to 4 years of professional experienceBachelors Degree in any analytical/quantitative field (business, math, economics, finance, statistics, science, engineering, philosophy). MBA preferredYou are experienced with basic programming or scriptingFor experienced candidates:  2-4 years of experience in complex, data-driven problem solvingTop 1% quantitative analytics skillsStrong interpersonal and communications skills ","Competitive compensation packageHealth, dental and life insuranceMeal allowance (“vale refeição”)Flexibility to choose your own custom setup (computer, monitors, OS etc.)",0,1,0,Full-time,,,Financial Services,,0
1118,Marketing Representative,"US, IN, Indianapolis",Marketing,,,"Our Marketing Rep position is an outside sales/marketing position. It consists of talking to people in residental Indianpolis about the opportunity to partner with Apex Energy Solutions for marketing purposes. We are a next generation energy solutions company. We specialize in high efficiency windows, but also do insulation and other products that drastically reduce a homeowner's energy usage. ",Your own transportationIphone. Outgoing personalityStrong work ethicEntreprenuerial spirit,"This position is designed pay a minimum of $600 to $1200 per week. There are also very attractive weekly bonuses ranging as high as $1500. Also, as Apex Energy Group continues to grow so rapidly there are great opportunites for vertical mobility within the company. After 6-12 months of consistent performance, a 6 figure position within the company will be offered. This is an exciting time to be a part of Apex. ",0,0,1,,,,,,0
6181,"Manager, Customer Analytics","US, MA, Boston",,,"Since 2001, RL Zapin Associates has been a recognized leader in the placement of mid to senior level Analytics  professionals in Marketing and Risk. Roni Zapin has an MBA in Marketing and Quantitative Analysis and been recruiting in this industry for over 18 years. She spent 14 years in the database marketing industry prior to becoming a recruiter, and treats candidates with the discretion and care they deserve as well as taking the time to understand their needs.Roni uses her targeting and segmentation skills to provide her clients with the right candidates for their openings without flooding them with resumes. She is able to drill down on the skillset quickly, due to her background.  She provides targeted, qualified and engaged candidates tailored to each client and not cross marketed.Roni works with candidates and clients nationally and has experience in the following verticals: Advertising, Financial Services, Retail, Hospitality, Leisure, Healthcare, Direct To Consumer, Media, and consulting companies.Specialties include: Analytics, CRM, Database Marketing, Consumer Insights, Credit Risk analytics, Digital / Web Analytics, Digital Marketing, Campaign Management, Statistics, Data Mining, Web Analytics, Direct Marketing, Product Management, Quantitative Analysis, Business Intelligence (BI), SAS, Modelling, Reporting and Analysis, Decision Sciences, Account Planning, Product Management, Internet Marketing, Big Data,  Mobile, Cross-sell.She can be reached at #EMAIL_379d08a56700e5b43ef1d0860c6c283fe36764a9baf7957c7cf8e1a6954b9d76#","My client is a fun,  growing, global online retailer, seeking  a hands-on Retention analytics manager. This is an individual contributor role who can eventually grow a team.  You will create analytical solutions to develop, implement and measure CRM strategies. In addition to data extraction and manipulation, you will create predictive models, analysis, reports and insights from the results in order to develop actionable recommendations for the business.  Projects will include: segmentation, lifetime value (LTV), offer targeting, upsell/cross-sell optimization","You  are a data-driven, marketing analyst who possess a highly analytical mind and carries a strong tool box.  You have strong quantitative skills using SQL, Excel, STATA, etc.   You have worked in a fast paced environment and comfortable with multi-tasking. (Ideally 5-7 years of database marketing experience). Must currently reside in the Boston area (no relocation provided). Must be a US Citizen, PR or Green Card",,0,1,1,Full-time,Associate,Bachelor's Degree,Retail,Business Analyst,0
15578,Content Manager,"GB, CMD, London",SEO,,"Forward3D is the largest independent digital marketing agency in Britain. We run cutting edge digital marketing campaigns across paid search, natural search, display and social by using industry-leading linguistics and technology. Our localisation and origination service, Locaria, allows us to scale our clients' campaigns and content internationally. We cover an unequalled range of languages and have experience of running marketing campaigns in over 40 markets to date. Our in-house team of linguists localise content, keywords and copy to support the expansion of our clients businesses on a global scale. We started life in 2005 as “Traffic Broker”, an affiliate risking our own money. In the last nine years we have grown to over 200 in-house analysts, serving some of the worlds biggest brands and achieving ten million clicks per day, across 60 markets and in 45 languages. “Innovate every day” is our mantra, combined with an affinity for data and insightful analysis. Weve stayed ahead of the curve by providing industry-leading apps and tech infrastructure to support our analysts. Forward3D is part of The Forward Internet Group - a fast-growing business with a portfolio of innovative brands that employs over 200 people in our Camden, New York, Shanghai and Seoul offices. As well as doubling revenues year on year for the last four years, weve picked up several accolades including The Sunday Times Tech Track 100, Deloitte Technology Fast 50 and National Business Awards.","In a nutshell:You will develop campaigns and relationship with bloggers and website owners in order to generate back links to improve organic page ranking, and therefore increase traffic to client websites. What you will be expected to do:Link Building:Acquire high quality links on behalf of our clientsData-mining the web for potential link prospectsBuilding and managing relationships with potential blogger partners and webmastersManaging production of articles and blog posts to be submitted to link partnersTake part in creative link building brainstormsDevelop creative link ideas with the support of team link removals where requiredGeneral SEO:Provide on page optimisation support where requiredUndertake copy editing and content generation where requiredGain a good level of understanding across all elements of SEO  on and off page factorsGain a basic HTML knowledge           ",What you will need:2 years + experience (preferred)Understanding of SEO and ranking factors idealStrong communication skillsExcellent writing skillsAbility to work independentlyProactive approach to work  bringing ideas and questions to the teamPassionate about a career in digital marketing,,0,1,0,,,,,,0
7648,Front End Developer ,"GR, , Athens",Technology,,"We are a young, dynamic, fast-growing digital marketing agency established in February 2013 and headquartered in Athens, Greece. We are storytellers; we combine strategy with creativity and technology in order to create user-centric campaigns. We think of ourselves as Digital Brand-Builders; a team comprised of individuals with great experience in both digital communications and strategic marketing.  We offer our clients a 360 service that covers the entire spectrum of a brand's existence across digital channels. Our mission is to create world-class interactive experiences, empower audiences to connect and share, and thus, deliver measurable results through creative innovation.We are looking for the next passionate member of our team, so we can create interesting and innovative things together.","Interweave is seeking a Front End Engineer, a Technologist, to develop and execute projects across platforms and channels (products, platforms, websites, mobile, campaigns). You will collaborate with creatives, strategists, marketers, information architects, UX designers and copywriters, and build with them great brand experiences online. Our goal, and part of your job, is to create user-centric campaigns, highly engaging, which exceed client expectations and objectives. RequirementsSkilled to transform graphic visual or interaction designs in HTML5, CSS3 and JavascriptStrong JS skills including frameworks like Angular and or #URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399#Responsive design, Adaptive Design, Parallax ScrollingAble to translate PSD files to HTML5/CSS markupKnowledge of how to employ the jQuery library for visual effects, event handling, and document manipulationDemonstrated experience developing solutions across browsers and devices, especially last few versions of IE, Chrome, Firefox, Safari and devices; tablet, mobile, etc.Loves clean codeGets excited about new technologies, loves learning new things and use themBe able to adapt to an agency environmentFluent in EnglishAdditional qualifications (optional):Understanding of RESTful APIs and knowledge of back-end technologiesStrong analytical and problem solving ability. Creatively handle challenges and obstacles, innovating solutions balancing both immediate needs with longer-term ownership and maintenance of application being developedExperience with software development process tools (JIRA, Basecamp etc).Contribute to evaluation of new technologies, vendors, contractors, and software productsExperience with mobile development is a plusMindsetA passionate person, who loves what he/she doesPositive attitudeA combination of a thinker and a doerTeam-oriented and work well with othersSomeone who likes to challenge him or herself in order to be better at his/her craft and thus, produce higher quality materialHonest and fun-lovingBenefitsA great workspace in Athens city center filled with passionate, creative, team oriented, hardworking peopleAn opportunity to grow into a very important roleAccess to books and resources for professional and personal developmentOpportunities to attend conferences and internal and external trainingsCompetitive SalaryAbout UsWe are a young, dynamic, fast-growing digital marketing agency established in February 2013 and headquartered in Athens, Greece. We are storytellers; we combine strategy with creativity and technology in order to create user-centric campaigns. We think of ourselves as Digital Brand-Builders; a team comprised of individuals with great experience in both digital communications and strategic marketing.  We offer our clients a 360 service that covers the entire spectrum of a brand's existence across digital channels. Our mission is to create world-class interactive experiences, empower audiences to connect and share, and thus, deliver measurable results through creative innovation.We are looking for the next passionate member of our team, so we can create interesting and innovative things together. Send us you CV: #EMAIL_cfef6a1e6f8483831d87e20c06692a2249ade48ab57654668c56a13ef2ea4da8#",,,0,1,1,Full-time,Mid-Senior level,,Marketing and Advertising,Engineering,0
2862,Frontend UX / Magento Themes Developer (3 month contract),"GB, LND, London",Technology,30000-40000,"Wedo is a collection of niched e-commerce stores which aim to be the first destination for online shoppers buying in the various niches that we operate in. Due to our continued commitment to the best, we are now looking for more people to join our lively team at a very exciting time. As we continue to grow we're looking for incredibly passionate people who want to be part of something amazing. Were looking for outgoing, positive and driven individuals who want to make a difference where they work. We can teach you a lot of what you need to know about the job. What we cant teach you is aptitude and attitude, two of the most important aspects to succeeding at Wedo. If youd like to work in an environment that feels friendly and welcoming, professional, highly interactive and ready to hear your ideas, then read on.","Who were looking for:Youre wanting to do something progressive (eg: advanced mobility and responsiveness, bleeding edge UX), to innovate (eg: elegant solutions to ecommerce UX problems), and to work with great people. Youre not interested in corporate red tape, and youve forgotten what a waterfall project approach is. You thrive on problem solving, and can work with both technical and non-technical people. If this sounds like you, then read on!Whats the job?We're at a stage where most of the work we're doing in the next 6 months is around merchandising and e-commerce features for conversion rate and retention, so you'd be working at the coalface of this every day. We've got a flat structure, so work is primarily project and objective based, over short sprints. We deploy daily, iterate fast and often, all with an emphasis on teamwork.","Qualifications:Strong commercial frontend experience is essentialYou have a portfolio that demonstrate your work and competenceIdeally a degree in computer science, computer information systems or a related fieldAble to legally work in the UK (ie dont require a work permit)Required Skills:Ability to hand-code standards-based HTML 5 and CSS 3 for cross-browser compatibility, and examples to showExperience with HTML 5 &amp; CSS 3 for responsive layoutsGreat working knowledge of Javascript and AJAX, and experience with frameworks like jQuery or Prototype (and Scriptaculous)Experience with git, ticketing, documentation and bug tracking applicationsAbility to manage development environment, and accurately quote time for completing required tasksExperience with IDE's (ie, Eclipse, phpStorm, Netbeans, Zend Studio), and IDE based debugging toolsDemonstrable problem-solving and teamwork skills. Team dynamics are important to us, so you must be a team player with a strong customer service focusWorked on projects using Agile / Scrum methodologyStrong written and verbal communication skillsDesirable Skills:Experience with Open Source PHP MVC OO frameworks like Symfony, Zend, Cake or CodeIgniterExperience with Magento as well as the ability to implement Magento themes and 3rd party pluginsAbility to build custom Magento plugins/modulesExperience with Wordpress, and implementing custom themes and plugins (our blogs are Wordpress driven)Experience in building mobile applications",Salary: We're paying market rates for the right personBenefits: A great environment to develop your career and skill sets,0,1,0,Contract,Mid-Senior level,Bachelor's Degree,Internet,Information Technology,0
2842,Junior Designer,"US, , ",Marketing & Design,100000-200000,Balanced Labs exists to provide accountants and small businesses everywhere with a better life through intelligent and elegant tools.,"Balanced Labs exists to provide accountants and small businesses everywhere with a better life through intelligent and elegant tools. We're looking for designers to help develop the aesthetic and foundation for the beautiful and simple product.We're looking for:    Usability and interaction design expertise    Visual design expertise    Knowledge of web &amp; mobile tech    Game design interest or experience We want someone who can shape what we do with Balanced Labs on many levels: design, user experience, mobile, dev, strategy.  This is an early stage startup that is well funded by two Founders with two successful exits.  ",Junior level experience.,,0,1,0,Full-time,Director,,Design,Design,0
16844,HR Staff,"PH, 40, Cavite",,,"Passion for God.Passion for the Philippines.Passion for the Filipino Poor.  About the Covenant Community Service Cooperative COVENANT COMMUNITY SERVICE COOPERATIVE is a registered service cooperative and a member organization of the Center for Community Transformation (CCT) Group of Ministries. CCT is an organized Christian response against poverty and social injustice. This is carried out through the fusion of social development initiatives and evangelical mission in a uniquely Filipino context. It serves urban and rural communities throughout the Philippines, in pursuit of its desire to see changed lives, strong families, and transformed communities centered on the Lordship of Jesus Christ.The Covenant Community Service Cooperative (CCSC) was duly registered with the Cooperative Development Authority in 2010. It is also an accredited sub-contractor under Department of Labor &amp; Employment Department Order 18-A, series of 2012. It is an active member of Philhealth, SSS, HDMF, and a tax exempt cooperative under BIR RDA No. 6 Ruling No. 15-10 issued on August 09, 2010.Since clients are treated as customers rather than employers, CCSC member-workers focuses on service values such as productivity, quality and efficiency. Our member-workers being part of the CCT family espouse core values such as intimacy with God, passion for God, passion for the Philippines, and passion for the poor, character and competence.        CCSC is a Member of the CCT Group of MinistriesAddress: 5F Joshua Center, 1428 Taft Avenue, Ermita, 1000 Manila, Philippines   Telephone: (632) 524.1819 / 524-1810 / 524.1835       Fax: (632) 400.6909 / 524.1809 local 11   E-mail: #EMAIL_b6f20aa5aefcbccb0820db00d0bbe1007bb1d60d0fae56304182075b227c5781#    Website: #URL_a2b78a15afa82d6677595c1c0be935271c73013f638cd022b8a7e6b3682c339b# ","• Must at least possess a Bachelor's Degree in Psychology, Human Resource, Information Technology, Nursing or equivalent• must be computer literate preferably in Microsoft Office applications• must be highly organized &amp; with good communication skills• 1 - 3 years working experience in HR Department is an advantage but not required• With experience in Recruitment, Benefits and Human Relations is an advantage• Can start immediately• Fresh graduates are welcome to apply","• Must at least possess a Bachelor's Degree in Psychology, Human Resource, Information Technology, Nursing or equivalent• must be computer literate preferably in Microsoft Office applications• must be highly organized &amp; with good communication skills• 1 - 3 years working experience in HR Department is an advantage but not required• With experience in Recruitment, Benefits and Human Relations is an advantage• Can start immediately• Fresh graduates are welcome to apply",,0,1,0,Full-time,Associate,Bachelor's Degree,,Human Resources,0
11972,"IT Security Threat and Risk Management Lead Job opportunity at Barrington, IL","US, CO, Colorado Springs",,90000-100000,"We are an innovative personnel-sourcing firm with solid team strength in recruiting candidates for various domains in the IT and Non-IT sectors. We offer a whole gamut of HR services such as sourcing, selection, staffing, consulting, outsourcing and training.With client needs becoming more specialized and niche specific, widening the gap between HR needs and work force capabilities, Roland &amp; Associates seeks to bridge the space between flourishing companies and qualified professionals. We deliver services from small to large businesses and pride ourselves on our ability to offer full suite of recruitment solutions to help ease clients workload in identifying the right resources.","Position : IT Security Threat and Risk Management LeadJob Location : United States- Colorado , Colorado SpringsUs work status required : EAD / Green Card / US Citizens •Bachelor or Master's Degree in Computer Science, Information Systems, or equivalent experience. •At least 5 years of directly related experience in Information Security Threat Management. •Deep technical skills with IDS/IPS, infrastructure and application logging, and incident management.•Process management experience with incident response and SIEM.•Experience with and confidence to develop and socialize security operations playbooks across infrastructure and applications teams in IT.•Demonstrate competency and experience in the areas of Sarbanes-Oxley IT compliance (DS5 Ensure System Security process management)•Experience in Security Vulnerability management, data protection and the ability in understanding and translating security policies into information security solutions.•Ability to effectively articulate true risk - avoiding tendencies toward fear, uncertainty, and doubt - and the priority of potential remediations.•Organizational skills to track opportunities / problems and remediation / actions; proven analytical and problem solving ability while being cool under pressure and diplomatic.","Position : IT Security Threat and Risk Management LeadJob Location : United States- Colorado , Colorado SpringsUs work status required : EAD / Green Card / US Citizens •Bachelor or Master's Degree in Computer Science, Information Systems, or equivalent experience. •At least 5 years of directly related experience in Information Security Threat Management. •Deep technical skills with IDS/IPS, infrastructure and application logging, and incident management.•Process management experience with incident response and SIEM.•Experience with and confidence to develop and socialize security operations playbooks across infrastructure and applications teams in IT.•Demonstrate competency and experience in the areas of Sarbanes-Oxley IT compliance (DS5 Ensure System Security process management)•Experience in Security Vulnerability management, data protection and the ability in understanding and translating security policies into information security solutions.•Ability to effectively articulate true risk - avoiding tendencies toward fear, uncertainty, and doubt - and the priority of potential remediations.•Organizational skills to track opportunities / problems and remediation / actions; proven analytical and problem solving ability while being cool under pressure and diplomatic.",Benefits - FullBonus Eligible - Yes,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
2557,Performance Marketing Director,"GB, LND, London",Marketing,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"Co-founded by Skypes first employee and backed by some of the planet's most experienced innovators, including Sir Richard Branson &amp; Facebook's first investor Peter Thiel, we're disrupting the world of currency &amp; international money transfer. That means flipping a gazillion dollar industry on its head and taking power away from banks and the establishment.Located in the heart of Old Street, were right at the hub of Londons startup scene, were growing at an extraordinary rate and looking for exceptional talent to jump on board.Were currently 200 people strong and handling many millions of pounds daily.If youre looking for a stuffy financial company, the back button beckons, if you want to be part of something innovative and truly exciting, read on.","We're looking for a Performance Marketing Director to lead all our performance digital marketing activities.We believe that growth comes from delivering an incredible experience to our customers.  Were proud of having the best product in the market today. Our customers trust us and are more likely to use us than their stuffy old bank. Today a significant portion of our customers discover TransferWise through performance marketing. We believe we can grow that number significantly in the next 12 months.  Were looking for a Performance Marketing Director to help lead the charge.At TransferWise we work in autonomous independent teams all focussed on KPIs that make a difference to our customers and help grow TransferWise.As Performance Marketing Director youll be completely empowered to deliver a step change in our performance marketingYoull be working with our team of performance marketing rock starsYoull be empowered to choose which channels to develop and howWe dont operate with a budget, you have a CPA target and it's up to you how and where you push maximise volumeYoull have access to our granular custom tracking data platformYoull have a team of developers and a product manager at your disposal and will be encouraged to make wide ranging changes to the product to improve conversion rates and hence traffic growthIn the immediate term you will be responsible for:Developing Facebook as a channel, and growing volume and conversions from facebook users as we expand into new markets and customer segmentsCapitalise on our marketing leading position in paid search and build out volume globallyContinue to grow our foot print through our relationships with affiliatesStart to develop and step change SEO as a channelIn each of the above areas you will continue to develop and build out our team and our investments. Must Haves: Hands on performance marketerYou will have depth in a performance marketing discipline and live and breath data, conversion rates, CTRs, CPAs, conversion ratesYou will have incredible depth in at least one channel ideally Facebook or GoogleYou arent looking for a “people management” role  but are still very hands on in developing new channels Strategic thinkerYoull have an understanding of the developing AdTech landscape, and how Google, Facebook and publishers are developing their routes to marketYoull have a deep understanding of bidding in all its guises and have hands on experience in using bid management tools and enginesYoull have a deep understanding of how tracking and retargeting on the internet worksYou will be comfortable with creating dashboards of performance KPIs and sharing with the broader business for context LeaderYou will have an ability to think strategically about channel mix in reaching our audience and have and understanding of the flaws and benefits of attribution models and how and when to use them ProductYou have an understanding that traffic and product (our website) have a symbiotic relationshipYou are comfortable with working with a  product manager and developers in helping prioritise features that help drive user growth in performance marketing","Apart from all-expenses-paid company holidays twice a year (which are completely insane) stock options in one of Europes most hotly tipped startups, a sexy laptop of your choice and team lunches every Friday, you wont get much in the way of extras. However, we will give you 25 days holiday a year (plus public holidays), a fun, friendly atmosphere, plenty of opportunities to grow and the chance to be part of our little revolution. Oh, and coffee, theres plenty of coffee.",0,1,0,,,,,Marketing,0
5146,Staff Accountant,"US, CA, Encino",Accounting,,"For over 20 years NAC Marketing Company, LLC d/b/a New Vitality has helped people be healthy and live better by marketing and selling vitamins, health supplements, and personal care products. Our customers learn about our products through direct marketing advertisements which use celebrities, athletes and doctors to promote on radio and television stations across North America.We offer a wide variety of career and entry-level positions as well as internships in a fast-paced and exciting industry. Our 100+ employees hold positions in Marketing, Creative, eCommerce, Media-Buying, Product Development, Accounting, Human Resources, Operations and Inbound &amp; Outbound Sales in both our New York and California offices. WORK HEALTHYWe believe in the philosophy be healthy, live better  for our customers and especially for our employees. We encourage healthier lifestyles through affordable benefits, gym reimbursement programs (through medical insurance) and company events that promote physical activity and company morale.Affordable Medical, Dental &amp; Vision InsuranceFlexible Spending Account &amp; Dependent CareCompany-paid Life Insurance &amp; Long-Term DisabilityEmployee Discounts on New Vitality products WORK BETTERWe believe growth and development are necessary parts of a rewarding work experience so we offer opportunities to gain industry knowledge, discover and utilize new skills and advance within the company. We also keep it fun with annual events like our Holiday Party, Thanksgiving Feast &amp; Dessert Contest and team participation in Long Island's Marcum Workplace Challenge at Jones Beach.Paid Training for Call Center EmployeesLunch &amp; Learn SeminarsSafety CommitteeCommunity Involvement (Toys for Tots, Island Harvest)Paid Time OffRoom for Advancement See below for our current opportunities. Follow the link to apply and if you are a qualified candidate, a member of our Human Resources department will reach out to you. NAC Marketing Company, LLC is an Equal Opportunity Employer encouraging diversity in the workplace. All qualified applicants will receive consideration for employment without regard to race, national origin, gender, age, religion, disability, sexual orientation, veteran status, marital status or any other characteristic protected by law.","The Staff Accountant reports to the Controller.Main duties: Process full cycle AR. Monitor receivables by making daily collections and updating company records. Provide statements, invoice copies, and collections reports for customers.Process full cycle AP and prepare weekly check runs.Knowledge of Sale &amp; Use taxAssist in filing property taxParticipate in month end, quarter and year end closings.Monthly reconcile general ledger accounts including research and resolution of discrepancies, prepared adjusting Journal EntriesCalculate and post accruals. Amortize Prepaid Expenses. Prepare cash report and reconcile daily banking activitiesParticipation in projects &amp; research as assigned.Responsibilities:Payables review and entry into ERP system inclusive of verifying the attachment of the appropriate documentation prior to paymentW-9sApprovalsReceiptsPurchase ordersPacking SlipsFreight ReconciliationsProposes and processes cash disbursementsAccounts payable checksCredit card authorizationsElectronic disbursementAnswers a majority of vendor inquiriesAssists in monthly closingsRecords select receivablesWork paper generation and entry  fixed assets / TV Commercial developmentPrepares weekly deposits and travels to bankPerforms other duties as assigned ","Bachelors degree in Accounting a MUSTMinimum experience of 3+ years in full cycle AP &amp; AR accounting a MustCurrently in the process of completing CPA course (CPA or successfully completed four-part CPA exam)Strong Time Management, Communication &amp; Attention to detailExcellent people/communication skills. Flexible and committed to do whatever it takes to meet the deadlinesInitiative &amp; Critical thinking - ability to identify a problem, formulate and propose a solutionStrong work ethicAbility to meet goals with strict deadlines in a fast paced environmentAdaptability—the individual adapts to changes in the work environment, manages competing demands and is able to deal with frequent change, delays or unexpected eventsAbility to sit for long periods of timeAbility to lift up to 5 poundsMS Office programs: Strong Excel skills (V-lookup, H-lookup, Pivot table), Word, PowerPoint","We believe in the philosophy be healthy, live better for our customers and especially for our employees. Therefore we provide an environment where our employees can grow and advance professionally as well as in their personal lives. We reward and recognize hard work by promoting from within and we encourage healthier lifestyles through affordable benefits (gym reimbursements through medical insurance) and company activities that promote physical activity and company morale.• Paid Time Off (will begin to accrue on the 1st of the month after 90 days of service)• Medical &amp; Dental (after 90 days of service)• Flexible Spending Account (1st of the month after 90 days of service)• 401k plan (automatic enrollment on first day of employment)• Company-paid Life Insurance &amp; Long-Term Disability (1st of the month after 1 year of service)• Paid training• Employee discounts on New Vitality products• Casual work environment• Room for advancement as your department and the company growNAC Marketing Company, LLC is an Equal Opportunity Employer encouraging diversity in the workplace. All qualified applicants will receive consideration for employment without regard to race, national origin, gender, age, religion, disability, sexual orientation, veteran status, marital status or any other characteristic protected by law.Note to Recruiters and Placement Agencies: NAC Marketing Company, LLC does not accept unsolicited agency resumes. Please do not forward unsolicited agency resumes to our website or to any New Vitality employee. NAC Marketing Company, LLC will not pay fees to any third party agency or firm and will not be responsible for any agency fees associated with unsolicited resumes. Unsolicited resumes from Recruiters or Placement Agencies will not be accepted by NAC Marketing Company, LLC and will be processed accordingly",0,1,1,Full-time,Associate,Bachelor's Degree,Consumer Goods,Accounting/Auditing,0
6897,Regional Sales Representative(Chicago),"US, IL, Chicago",,,"At Atlas Lift Tech, safety always comes first!  We are a fast growing company with an innovative vision of making Safe Patient Handling and Mobility (SPHM) programs available to hospitals systems nationwide. ATLAS is the first company offering safe patient handling and care staff training programs that protect healthcare workers and patients from the dangers of manual patient handling. Our unique team brings together bedside training, education, and program management to empower care givers to reduce workplace injuries, improve patient care, and create a culture of safety.","Atlas Lift Tech is looking for a Regional Sales Representative responsible for developing key customer relationships within the HealthCare industry for our Safe Patient Handling and Mobility (SPHM) programs to join our Sales team.  The Regional Sales Representative must be able to clearly articulate Atlas Lift Tech programs' capabilities and services to both our internal key stakeholders and external customers.  S/he will be expected to deliver high-value solutions promoting a culture of safe patient handling and to be the pre-eminent provider of hospital and health system safe patient handling solutions.      Additional responsibilities include, but are not limited to, the following:Establish and maintain wide level of relationships within the account including but not be limited to: RNs, Health &amp; Safety, Materials Management, Key Physicians and safety related task forces and committees.Identify leads and prospect for new customer throughout assigned regions; builds relationships with higher level stakeholders (e.g., C-Suite).Understand and execute on Atlas Lift Techs sales strategy and plans at the account level. Ownership of various account types and sizes, responsible to increase account penetration/compliance rate.Review and analyze financial data to better understand the state of the business and achieve goals; communicates quantitative and qualitative value to customers.Participate in national and regional healthcare conventions and exhibits as needed to promote, grow and develop new and existing client relationships.Increase the organizations ability to track field performance/activity and sales results by recording sales activity on a regular basis using them for call preparation/follow-up and to record market intelligence data.","Education/Experience:Bachelor's Degree in the fields of business, healthcare, healthcare management or the equivalent professional experience in a related field.3  5 years experience as a sales representative in the HealthCare and/or hospital sales experience preferred.Requirements:Demonstrative ability to manage entire sales process from prospecting to closing maximizing contract compliance.Relationship building and business development expertise; must be able to effectively communicate across all clinical and business decisions makers within an account-system.Possess an appetite for sales, building pipeline and surpassing sales quotas.Professional selling skills across a wide range of sales contacts including (i.e., executive, director and manager focus).Qualifications:Proven Track record of new business sales preferable within the Healthcare industryAble to articulate and execute a business propositionPossess specific understanding of healthcare &amp; hospital safety regulationsStrong communication skills (verbal, presentation and written communications)Action oriented, perseverance, drive for results to exceed sales quotasHigh level of organizing, planning and time management skillsStrong computer skills with proficiency in Microsoft suite (Outlook, PowerPoint, Excel) and customer relationship toolsMust be willing to travel about 50-80% locally to assigned accounts and to company meetings when planned.","At Atlas Lift Tech we are innovators and we value individual contributions! We encourage continued education, priding ourselves on offering re-certification assistance. We offer a competitive compensation package based on your valuable experience.Other benefits include:Compensation package including commission: $120,000 - $150,000+Health Insurance for full-time statusSick / Vacation / HolidaysFlexible Spending AccountCommuter BenefitsPromotional opportunities for driven employeesFree Health ScreeningsFree Yearly ImmunizationsInnovative environment",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Hospital & Health Care,Sales,0
10564,iOS Developer - 1871 General Companies,"US, IL, Chicago",,65000-120000,"The story of the Great Chicago Fire of 1871 isnt really about the fire. Its about what happened next: A remarkable moment when the most brilliant engineers, architects and inventors came together to build a new city. Their innovations  born of passion and practical ingenuity  shaped not just Chicago, but the modern world. What started 140 years ago continues to this day.Chicagos brightest digital designers, engineers and entrepreneurs are shaping new technologies, disrupting old business models, and resetting the boundaries of whats possible. If that sounds like you, join us.Come to a place where you can share ideas, make mistakes, work hard, build your business and, with a little luck, change the world.Welcome to 1871.From photo-editing apps to interactive healthcare programs, 1871 has a member company that fits your interests and expertise! Whether youre a designer, a marketing expert, or software developer, we have a startup looking for you. Each company is looking for a way to innovate their industry and is looking to do so in creative and original ways. We are looking to match you with one of our amazing member companies based on the information you provide to us and their current needs. If you believe that you have the skills and vision to help digital startups get their start, 1871 is the right place for you!","ABOUT US:1871 is an entrepreneurial hub for digital start-ups and is the largest tech incubator in the U.S. with over 250 Member companies! Are you an iOS Developer that has a passion for technology &amp; entrepreneurship? Have you heard about 1871 and are eager to be part of a Start Up and create something amazing? Confused about where to start your search in our community? By submitting your resume here, you will be considered for employment opportunities with our Start-Ups!OUR STORY:The story of the Great Chicago Fire of 1871 isnt really about the fire. Its about what happened next: A remarkable moment when the most brilliant engineers, architects and inventors came together to build a new city. Their innovations  born of passion and practical ingenuity  shaped not just Chicago, but the modern world. What started 140 years ago continues to this day.Chicagos brightest digital designers, engineers and entrepreneurs are shaping new technologies, disrupting old business models, and resetting the boundaries of whats possible. If that sounds like you, you might be a great addition to one of our Member companies! 1871 is a place where you can share ideas, make mistakes, work hard, build your business and, with a little luck, change the world.Our Members are always looking for top talent that has a passion for the start-up community and an entrepreneurial mindset. Above all, they're searching for creative thinking technologists who are motivated by developing software that will permeate, disrupt, and innovate the market. Many of our Members are currently hiring. If you're interested in employment opportunities in our community, submit your resume for consideration!","Excellent native mobile programming skill in Objective-C / SwiftAt least 1-2 years of professional iOS development experienceAbility to take ownership of features and work with minimal oversightCreate maintainable, reusable codeA preoccupation with staying on top of industry trends and technologies  ",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Engineering,0
9997,Support Specialist,"US, OR, Portland",,,"United Cerebral Palsy of Oregon &amp; SW Washington (UCP) supports adults with all kinds of developmental disabilities (not just cerebral palsy), so that they can live the life of their dreams.We're growing, so you will see lots of exciting job openings in the next few months!WHY WORK FOR UCP?As an employee of UCP, you'll be proud of the work you do, every day! Our employees assist the people we support to live independently in their own homes and apartments, find and keep their dream jobs, make community connections, serve as their own advocates, and make their own choices. You'll get the chance to work for one of the 100 Best Non-Profit Employers in Oregon. We're fun and flexible, and there's plenty of room for advancement and growth.","United Cerebral Palsy (UCP) is a unique, empowering and exciting place to work! We support adults who experience all kinds of developmental disabilities, assisting them to live independently in their own homes, find and keep their dream jobs, and pursue community-based recreation. We are ranked as one of the 100 Best Nonprofit Employers in Oregon. Learn more about us at #URL_2b35257099321dacb52af707d4a6bdf5dd187d81d6bfd24398f0e5b68a6727a7#.We are currently seeking a Support Specialist who will work one-on-one with adults with disabilities, both in their own homes and out in the community. This is a full-time job, with great benefits. DUTIES:Build relationships as you assist the people you support to do the fun activities of their choice, such as volunteering, taking classes, and exploring Portland.Ensure that the people you support are safe and healthy, by administering medications and assisting with personal hygiene (bathing, dressing, and using the bathroom).Assist with chores such as cooking, laundry, cleaning, and running errands.Provide some transportation for the people you support.  HOURS AND LOCATION:       Our office is located in Gateway, and you will spend part of your time there, but you will also be traveling to activity locations and the homes of people we supportUsual schedule is Monday-Friday daytime hours (roughly 8:30am-5pm), with rare evening and/or weekend hours. ","Be able to drive clients:  have a reliable personal vehicle, a valid drivers license, at least 3 years driving experience, and a relatively clean driving record.At least 21 years old (a requirement of our auto insurance program).High school diploma or GED.Must be able to pass a reference check, a physical with a lifting test of 75lbs, a drug screen, and a criminal history background check. ","$10.65/hour, with a 5% increase to $11.19/hour after successful 90-day Trial Service Period.Great medical benefits (medical, alternative, and vision) for employee, spouse/domestic partner, and children.401k retirement plan with a company match of up to 3%.3 weeks of paid time off a year, with an additional day off granted each of your first 5 years.8 days of paid holiday time off.Extensive and fully paid training, a fun and casual work environment, and opportunities for advancement.",0,1,1,Full-time,Entry level,High School or equivalent,Civic & Social Organization,Health Care Provider,0
8997,Marketing Associate,"US, CA, Chula Vista",,,"QB Medical Inc. is a medical supply distributor located in Chula Vista, CA. Founded in 2005, we now services over 100 government-funded medical centers. QB Medical is passionate about discovering the most effective medical products for our military service members, veterans, government healthcare providers and patients.","About Us:QB Medical Inc. is a medical supply distributor established in 2007. We specialize in medical equipment and supply distribution into federal healthcare facilities. We hold various contracts that allow us the ability to effectively sell and be successful in the federal healthcare market. Marketing Representative:We are looking for a self-motivated Marketing Representative to join our dynamic marketing department. Someone who understands inbound marketing, who not only knows social media platforms, but who also knows how to implement strategies for various social networks, track the right online metrics, and integrate the best SEO tools and website practices to create results.Responsibilities:• Write and edit content for the company website, online communication, blogs, brochure, etc.• Manage social media accounts like Twitter, Facebook, YouTube, LinkedIn, Google +, etc.• Assist with various inbound SEO projects• Conduct market research for new products, events and new opportunities as they arise• Help develop and manage email campaigns in a marketing automation system• Assist in the monitoring, tracking and report development on all campaign activities• Help design, develop and implement both strategic programs and supporting tactics• Flexible and open to changing priorities and managing multiple tasks simultaneously within compressed timeframes","Education:• BA/BS DegreeQualifications:• 2-3 years of marketing and content creation experience• Experience with marketing automation/inbound marketing• Knowledge of marketing principles and best practices• Knowledge of design programs (e.g. Adobe Photoshop, Illustrator)• Thorough understanding of branding principles and tactics through multimedia including the web• Healthcare experience a plusSkills:• Excellent written/verbal/phone communication skills• Strong understanding of the industry's ins and outs.• Excellent independent and team collaboration skills• Analytical ability and strategic thinking skills• Sound business and professional ethics.• Video converting/editing experience• PC Skills -- MS office suite, detailed web search, etc.• 45+ WPM typing speed",Compensation:• $16 -- $18/hour DOEPosition Type:• Full-Time,0,1,0,Full-time,Associate,,"Health, Wellness and Fitness",Marketing,0
17313,Web Developer,"US, NY, New York",Marketing,50000-60000,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Qubit: Cutting Edge Big Data EngineeringQubit are currently seeking an Web Developer to help provide support to our marketing team in our New York Office. Youll be working on the Qubit Products website as well as building fully functioning demo sites to help prospective clients realise the potential of Qubit apps.This is an excellent and exciting opportunity for a new graduate or someone in the early stages of their career. Youll be joining a team packed with top technical talent who will help with your development. Qubit is experiencing a very exciting period of growth, and this is a chance to join the team early.What youll be doingDeveloping #URL_f195b93c459ea1fd2273333a474659b94b2f62291b83ac33cdc43b4e6fab62f7# under the direction of our marketing and experienced development teamsUsing the latest JS frameworks such as #URL_adef1ad175f895a4248902f6ae9000e35333387137e06f10aa47be6c83a07bce#Creating compelling demos of our productsLiaising with all parts of the business to gather requirements and execute on our shared vision","What You'll NeedBy submitting your application you understand that Qubit will store your data in accordance with local lawsA degree in Computer Science or a related discipline or the equivalent in relevant work experience.Proficient with JavaScript, you will be able to hand code a website from scratch using JavaScript without any libraries or frameworksAn eye for creating beauty with CSSBonus points for: #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#, Cross-browser programming experienceBonus JS Frameworks: Backbone, Ember, Angular, React, RactiveBonus JS Build tools: Browserify, requirejs, webpackBe proactive and creative in your solutionsBe passionate about tech and codingAuthorization to work in the USA","Plenty of perksAs well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Entry level,Bachelor's Degree,Internet,Marketing,0
1895,Java with Ordering System Production Support,"US, TX, DALLAS",,,"Cigniti Inc. is a 15 year old Irving, TX based professional services and staffing company which helped companies like Trimac Transportation Services, The Freeman Company, Crowley Maritime Corporation, SUBWAY , Teletech Holdings, Heartland Payment Systems, Merrill Lynch, MoneyGram, Stroz Friedberg, HighRadius Corporation, Hertz, Lego, Exeter Finance, NQ Mobile, SecondStreet Media, IQ Interactive, Intuit, Kabam, Vungle, Winshuttle, Shazam Entertainment, Opera Software ASA, GXS India Technology Center, Commerce to identify the right talent for their ongoing and upcoming projects in multiple locations across the USA. The Cigniti Advantage: With a full time staff of over 500 certified consultants across the USA, Cigniti can be your go-to partner for all your staffing needsDedicated Talent Acquisition team(s) with over a decade and a half of experience and expertise in staffing IT/QA teams15% bench to meet those dynamic business and project demandsOnsite consultants working at client locations have access to a specialized R&amp;D team and senior leadership at no extra cost to our clientsDedicated account management that will take ownership of resource onboarding processes to ensure rapid induction of consultants to your projects Can we schedule a few minutes to talk about your recruitment strategy and plans?  We would like to explore opportunities to work in partnership with your team. We look forward to hearing from you.","Job Description Business Requirements. Development and Production Support for Ordering System deployment. ResponsibilitiesDelegate the work load among team members on site.Guide and Review the task done by team members.Provide a daily and weekly report of all the tasks done by team to higher management.Mentor new team members.Represent team for all their tasks in customer meetings.Evaluate team members and provide feedback every six months.Provide a grading to reflect the performance of each team member once a year.Define goals and set personal development plan for each team member.Analyze customer business requirements and processes to be able to proactively propose solution to meet customer needs.Communicate with integrated teams like business architects, testers, tier1 for efficient analysis of existing defects and new requirements.Lead Upgrade activities like SpotCheck preparation, providing knowledge transfer of new tasks to external teams, working in shifts etc.Manage Oncall activities. ","Requirements:Expert in Amdocs Ordering product.Expert in Java , J2EE and Weblogic.Experience in Linux and PL-SQL.Experience in Production support.Experience in CRM, EPC will be a plus.Excellent oral &amp; written communication skillsTelco business knowledge.",Rate is Open:  Keep it as low as possible.  12 months contract.,0,1,0,Contract,,,Telecommunications,,0
8854,Sales Leader - Healthcare Veterans,"US, TX, Houston",,30000-50000,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#","Title: Field Sales Representative Location: Houston, TXTerritory: Greater Houston AreaCompensation: Base + Commission + Benefits Veterans Home Care of Texas, #URL_5d4f37febf1875b6f624f67a5f859a46dc98ef8f26bfbab9e31ed#PHONE_f709f5c5d679b0722df63a17d00b2c23733b0b0ca00280a7889fd815e40da83f##, is a growing company that provides and manages at-home personal care for veterans or their surviving spouses. We are currently accepting resumes for an Account Executive position located in Houston, Texas.The ideal candidate must enjoy interacting with seniors and have a patient and professional demeanor. A qualified candidate is someone looking to build on their inside sales and account management skills in a position that requires attention to detail, strong time management, and excellent communication skills. Honesty, compassion and self-motivation are required for this opportunity. Proficiency in Microsoft Word and Excel is required, as well as the ability to multi-task in a fast paced and deadline oriented environment. ","Responsibilities of this role include, but are not limited to:-          Contacting and networking with Veterans and various home health care referral sources via telephone and in-person.-          Complete screening calls for all potential clients in a timely and professional manner.-          Educate prospective clients on the availability of pension benefits and our VetAssist program.-          Assist potential clients with the application for government benefits directly related to home health care and medical expenses. “Aid and Attendance” Benefit.-          Conduct in-services semiannually for all home care agencies/referral sources.-          Attending weekly, monthly, quarterly meetings/conferences to introduce the VetAssist Program and network with local professionals.-          Leveraging existing CRM (Maximizer/ProspectPro) to manage sales contacts and potential referral sources.-          Creating basic Excel reports and other administrative duties to ensure a fully “involved” application is complete. Looking for:-          Strong Communication and Phone Skills-          Organized, and Detail Oriented-          Interest in Sales/Marketing and Customer Service-          Industry knowledge in Healthcare is preferred, but not required.-          Ability to learn quickly.",Full Benefits Offered,0,1,1,Full-time,Associate,Unspecified,Individual & Family Services,Sales,0
3115,Search Engine Marketing Specialist- Greek Market,"GR, I, Athens",Marketing,,"#URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7# is a modern online travel agency that was founded in Athens in 2005. Today, our company is one of the leading online travel agencies in Greece with presence in over 25 countries in Europe, Asia and America; offices in Athens, Bucharest and Sao Paulo.","The right candidate will be responsible for managing one of the largest Adwords accounts in Greece. He/She will be responsible for developing, planning, deploying, and reporting of SEM campaigns and will be in close contact with the companys Management and employees of the Greek Google office.  Furthermore he/she will be responsible for the oversight of the daily/weekly/monthly budgets of the campaigns and monitoring of the companys KPIs (Key Performance Indicators) and other performance metrics.The SEM Specialist should have experience in reading and understanding basic code, website architecture, website usability, content writing and (organic) link building. Qualified candidates have also knowledge of various internet marketing channels, search engines, statistical analysis and general marketing principles. Responsibilities:-        Manage, analyze and optimize Greek and international Google Adwords campaigns.-        Develop and implement Adwords strategies in the search- and display network.-        Research and analyze keywords and advertisements.-        Work with Google analytics to prepare paid search and display tracking reports, creating new reports as needed.-        Bid management: cost per conversion and cost per click.-        Keep current on the latest trends in the search marketing industry.",-       Greek native speaker.-       Previous experience of minimum one year in SEM and generally in the online advertising industry.-       Strong knowledge of Google Adwords &amp; Yandex.-       Individually Qualified in Google AdWords.-       Degree in Marketing would be considered an asset.-       Knowledge of online travel market would be considered an asset.-       Strong communication skills both verbal and written.-       Strong analytical skills.,"-       Attractive Remuneration Package.-       Private medical insurance.-       Work in an international, dynamic and fun atmosphere among young and smart people-       Huge learning experience in using best practices and cutting-edge technologies-       Challenging engineering projects in an interesting and complex travel industry.",0,1,0,,,,,Marketing,0
9124,S20 1AH Warehouse apprenticeship available Under NAS 16-18 year olds only,"GB, , Sheffield",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Perfect opportunity for school leavers.This is a fantastic opportunity for someone wanting to start their career in warehousing. During the first 12 months you will work towards a Level 2 Warehouse NVQ and then be kept on in a permanent position.You will be working for a Recycling company and the role will include; Clearing areas ready for new loads coming in.·         Helping our fitter prepare lorries for inspection.·         To be on hand to help change tyres.·         He will be able to help sort through various metals.·         The role will include jet washing vehicles.The ideal candidate will be proactive, innovative, likes a challenge, reliable and hard working. If you have are a hard working individual who has organisational skills then please send your CV to  #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee#", Goverment funding is availabe for 16-18 Year Olds Only.,Future Prospects,0,1,0,Full-time,Not Applicable,High School or equivalent,Warehousing,,0
3389,Physical Design,"US, CA, Santa Clara",,,"RHB Recruitment Ltd is a business process outsourcing company with its state-of-the-art delivery center (existing capacity of 110 positions/ seaters) in the prestigious building of Nexteracom in Ebene City, Mauritius. RHB Recruitment Ltd is a tailor-made and customized portfolio of services to clients in the areas of recruitment, HR and resource management, Bagatelle Recruitment has a unique combination of local knowledge and global expertise. Our focused and committed approach to contract staffing, interim management and permanent search and selection recruitment services, means we always supply high quality candidates to our worldwide client base. .At RHB Recruitment Ltd, we have a highly trained workforce who are multilingual (French and English as main languages) and we enable clients to save up to 50% on costs through global economies of scale, operational efficiencies and good risk management. Coming soon: (1) Expanding capacity to 250 positions by October 2013, (2) Setting-up offices in Africa and Asia, and (3) Constructing a 40,000 Sqft state-of-the-art building in Ebene City by 2016 to provide Business Continuity services to clients across the globe.SpecialtiesRecruitment Services, Contract and Permanent Resources, Staffing Solutions, HR and Compliance Management, Project Resource, Oracle, Business Intelligence, Senior Management, Support &amp; Infrastrature ","Need RTL-GDSII engineers with experience in RTL design, Synthesis, Automatic Place and Route (APR), Timing Closure, DRC/LVS expertise. Candidates with experience in performing Equivalence checking (EC) will also be required. Tools and Skills required: ASIC Flow experience, RTL design, Synthesis using Synopsys DC, APR using Synopsys ICC, and EC using Cadence LEC (Conformal). Experience in Advanced process nodes (28nm and below) preferred. EDA Tools knowledge: Synopsys ICC, DC and Primetime. Cadence Conformal LEC The prime responsibility of this position is to either manage a small team of analysts, developers or engineers and drive delivery of a small module of a project ( AD/ AM/ Testing) or serve as entry level specialist with expertise in particular technology /industry domain / a process of a ERP package. If not a module the lead is responsible for functional / technical track of a project.",Atleast 5 years experience,,0,0,0,Full-time,,,,,0
51,"Food Production Manager @ PGI, a Food Production Company","US, NV, Carson City",Production,,"PGI is a fast growing organic herb/spice/botanicals importing and production company that utilizes extensive food safety, handling and management practices to insure a quality product. ","November, 2014Pure Ground Ingredients (PGI)in Carson CityPGI is a fast growing organic herb/spice/botanicals importing and production company that utilizes extensive food safety, handling and management practices to insure a quality product. We currently have a number of open positions in our shipping, warehouse and production operations.Food Production Manager- Experience managing staff and processing including milling, blending, packing, roasting, micro treatment operations, etc..- Experience with OSHA, HACCP and industry food/safety standards and policies- Experience manage physical plant operations, including electrical and food production machineryAll applicants must submit to drug and background screening.Company is an Equal Opportunity Employer.","Manager role requirements- Multi-lingual (English &amp; Spanish)- Computer literate (MS Excel, email, web browsing, etc)- Previous food production/manufacturing experience- Team leadership and staff development experienceRequirements for applicants- Submit resume (please don't call/visit).- Specify which position you are applying for.- Include a brief statement indicating your background match to our requirements.",,0,1,0,Full-time,Entry level,High School or equivalent,Food & Beverages,Production,0
16940,Home Improvement Marketing,"US, KY, Louisville",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","LEI is currently offering sales and marketing positions that include comprehensive training. No prior experience necessary; we will train you to learn a variety of skills from sales and human resources to management and mentorship. Successful candidates will have opportunities to advance through the organization. As a fast paced company in the direct sales and marketing industry, LEI continues to set the standard for excellence in client acquisition and customer retention.  Our  approach is not only a refreshing alternative to more general marketing strategies, but it is also responsible for the unprecedented growth and stability of our company. We pride ourselves on recognizing top performance, integrity, and a winning mindset; we promote 100% from within our company. If you possess a great work ethic and would enjoy a fun, young, and positive work environment, with the opportunity to learn how to manage the variety dimensions of a business, LEI represents a great fit for you.Responsibilities Include: Assisting in the daily growth and development of our company Acquiring new business clients Expertly managing the needs of external customers Developing strong leadership and interpersonal skills","JOB REQUIREMENTS Professional demeanor, organized, and reliable Great with people A strong work ethic Results driven attitude with hunger for success Ability to excel in a high-energy fast paced environment Must have own form of transportation",We OfferWeekly Salary plus commissions (approx $15-$20 an hour) Paid Training Full Benefits,0,1,0,Full-time,,,Marketing and Advertising,Customer Service,0
16540,"Title Insurance: Title Coordinator -Long Island, NY","US, NY, Garden City",,,#URL_e7c9057d5e6f097876436d175031e95669ede4ebaab52b6be0957c837bc98343#,"A well run &amp; well connected Title Insurance Agency is hiring an experienced (3-5yr minimum) Title Coordinator for its Garden City, Long Island - NY operations. The ideal candidate will have prior Title Insurance/RE Paralegal experience with title searching/processing procedures. Circulating Title Reports, clearing exceptions and heavy client contact. The position organizes, orders, files, retrieves and updates title materials  specifically title commitments and title policies. Commercial and Residential.Title Insurance Coordinator  must be organized, be able to type, computer literate (MS Office) and familiar with New York Real Estate transactions. This is an excellent opportunity for the right person. Drop us a line if interested!",,,0,1,0,Full-time,,,Financial Services,,0
2259,Graduate .NET Developer (C#),"IE, , Dublin",Application Development,,"ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Barcelona, Dhaka and San Salvador. Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.","Graduate .NET Developer (C#)Location: DublinSo what is this role exactly?You will join an existing team of programmers and be responsible for integrating new mobile operators and other billing systems with ezetop's platform. You will be working on several integrations at the same time and dealing with other integration / technical teams around the world, working with many technologies including .Net, SOAP, and Sockets.This is a great opportunity to join a fast growing and innovative technical team. Communication, flexibility and a desire to learn are essential as well as the ability to work on multiple projects at once whilst maintaining attention to detail.Hang on…….a few more things before you click applyNeedless to say there are a few specific requirements, so just make sure you tick the boxes below. Once done, we look forward to receiving your application. 3rd level qualification (computing / programming related preferable)Desire to learn and use the latest Microsoft technologiesExperience working with Microsoft technologies (.NET framework, C#, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#, Web Services, XML, SOAP and Socket programming all advantageous)Familiarity with Visual Studio .NET environmentFamiliarity with Networking concepts (VPN, HTTP, HTTPS, TCP/IP …)Attention to detail and the ability to handle multiple projects at onceFluent English, both spoken and writtenStrong written and verbal communication skills Other Desirable Skills:Ability to prioritize own workload and work to deadlinesA strong analytical and process driven approachExcellent troubleshooting and problem-solving skillsOne years programming experienceAn additional language would also be an advantage but is not essentialWho are we?As the winners of the Deloitte Technology Fast 50 for the last two years we have been repeatedly recognised as the most innovative and rapidly expanding Irish technology company. Established in 2006 ezetop is the world's largest provider of international mobile phone top-up and long distance calling. ezetop provides an important service connecting friends and families around the world and is currently partnered with over 300 mobile operator partners and 450,000+ retail locations covering over 100 countries, as well as an increasing online presence.",,,0,1,1,Full-time,Entry level,,Telecommunications,Information Technology,0
14738,Wireless Routers Firmware Director - Embedded Linux GPS- Vancouver,"CA, BC, Vancouver",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Wireless Routers Firmware Director is required having responsibility for Collect metrics data, report on project progress and any project specific information to partners and internal stakeholdersJob Responsibilities: Successfully lead the embedded software team in architecting &amp; designing both the evolution of existing product lines as well as design their next generation of gateway &amp; router products.Refine existing processes as well as establish new process for the development team.Selection of programming languages and operating systems.Selection of development tool chains.Work with other team members identify, monitor and remove impediments and risksCollect metrics data, report on project progress and any project specific information to partners and internal stakeholdersMotivate, coach and mentor members of the development teamFoster team communications in a multi-site development environmentChair design review meetings and instill software development practices that lead to stable &amp; mission critical software productsSet goals and objectives for direct reportsAdminister  performance evaluations, plan training and career development of direct reportsAssess, coach and develop team competenciesLead on-going embedded software development process improvement","Requirements &amp; Experience:10+ years of experience in embedded software development using object-oriented design principles and modern operating systems such as LinuxExperience with the design of real-time and mission critical communication products is required.5+ years of management and supervision of a software development team in a complex multi-location environment5+ years of hands on experience in iterative software development, continuous integration, and test driven development2+ years of experience with networking centric products such as Gateways and Routers. Experience with Cellular wireless, WiFi and GPS is highly desired.A leader with excellent people &amp; collaboration skills is requiredSelf-starter with excellent verbal and written communications skillsAbove all, strong design skills are required in not only Embedded Software but also a system level understanding that includes the hardware domain and the practical application of communication products to real world problems.Educational Qualifications:Hold a degree in Electrical Computer Engineering or Computer Science or a Technology Diploma with relevant industry experienceWe Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,Information Technology and Services,,0
3176,Earn the Income You Deserve,"US, DC, Washington",,,,"Prepare yourself to learn about an exciting way to earn money without leaving your home using the time you have available. If you need to stay at home to care for kids or relatives then this is an opportunity to earn money during the hours of down time.  You can do all the work from home, you do not need to go out, and you can work the hours that are available to you. If you already have a job and are looking for extra income or are looking for a less stressful way to earn money then here is an opportunity to work from home outside of your work hours and earn a good return for the time you put in. Anyone can earn money in this business, what is needed is the consistency of putting in a few hours every day.  We teach you everything you need to know so no previous experience is necessary, we have many stay at home mums who are working their own businesses to help achieve their financial goals.  You have constant support available to you, all you have to do is follow 3 simple steps and we do the rest.  All you need is a computer with an internet connection and a phone. Find out more about this business and what it means for you.  Take the 5 minutes that will change your life by going to #URL_70d36ad73a432ff1597905ae0c50229fb00d4afa77dc734f024bea224a3f5495#",Professional mannerPositive outlookAbility to work autonomouslySelf-motivatedHigh motivation to create success for yourselfA 'big thinker' approach who sees yourself as being capable of making an executive-level incomeThe desire to enjoy the wide-ranging benefits of earning a fantastic income while maintaining a work / life balanceGood communication in English,"If you have a laptop, phone and a strong desire to achieve success in your life then this is the opportunity you have been looking for.",1,0,1,,,,,,1
6244,Network engineer job description,"IN, , ",,,,"We are looking for a Network Engineer to design, implement, maintain, and support our growing network infrastructure.  You will be part of a team that is responsible for designing and developing scalable, maintainable, highly available network architectures that meet business objectives and SLAs.","Configure and install various network devices and services (e.g., routers, switches, firewalls, load balancers, VPN, QoS)Perform network maintenance and system upgrades including service packs, patches, hot fixes and security configurationsMonitor performance and ensure system availability and reliabilityMonitor system resource utilization, trending, and capacity planningProvide Level-2/3 support and troubleshooting to resolve issuesWork within established configuration and change management policies to ensure awareness, approval and success of changes made to the network infrastructureSelect and implement security tools, policies, and procedures in conjunction with the companys security teamLiaise with vendors and other IT personnel for problem resolution",,0,0,1,,,,,,0
16351,Senior Account Executive,"US, MN, Golden Valley",Sales,,"Virteva delivers managed IT services and project-based consulting services that optimize our customers IT infrastructure and operations.  Headquartered in the Twin Cities and serving customers worldwide, Virteva services help our customers say “Yes” to the wide spectrum of information technology demanded by their business, employees and customers, securely and reliably, anyplace and anytime.  ","JOB SUMMARY:Virteva is seeking a Senior Account Executive responsible for direct sales in all market sectors for mid-sized and enterprise prospects.  Ideal candidates have experience in selling technology services such as enterprise software, SaaS, managed services or cloud technologies.ESSENTIAL DUTIES &amp; RESPONSIBILTIES:Strategically build and maintain long-term relationships with clientsDevelop short-term and long term-goals, objectives and plans for producing revenue; achieve revenue objectives on a monthly, quarterly and yearly basisStay informed of new industry developments through contacts with professional organizations, educational institutions, publications and industry trade showsEstablish relationships with new clients and deepen relationships with existing Virteva clients in an assigned territory (or group of accounts); manage the on-going relationship with the clientDevelop new business through effective prospecting such as market research, cold calling, and leveraging existing relationshipsIdentify prospect/client issues and create comprehensive solution proposals (which may require or include Professional Services and/or Managed Services); Present the solution, as well as, get agreement by the client/prospect on the solutionDay to day management of current accounts where applicableNegotiate contracts and close businessAnswer RFP/RFI with approval from Virteva management and/or produce any other documents required to close the saleCross sell services across business lines and geographiesUpdate CRM on a daily basisPerform administrative and indirect sales related activities in a satisfactory mannerPerform other duties as assigned","Bachelors Degree in business management, marketing, finance, sales or related field preferredMinimum of 10 years prior experience in selling technology related hardware/software such as SaaS, IT managed services, or cloud technologiesExperience selling managed services and project-based consulting services requiredAbility to thrive in a solution-selling sales modelPossess excellent interpersonal, oral and written communication skillsAbility to write error-free, professional proposals for clientsAbility to build and maintain connectionsTeam player","We take pride in offering a competitive, well-balanced benefit program:Health BenefitsMedical InsuranceDental InsurancePrescription PlanLife InsuranceOptional Life InsuranceShort-term DisabilityLong-term DisabilityFinancial BenefitsTeam Member Incentive PlanReferral Bonus ProgramCompany/Team performance bonus programCompetitive Vacation and paid Holiday plan401(k) PlanSection 125:Premium Conversion PlanMedical Care Expense AccountDependent Care Expense AccountThe plan benefits, options, terms and conditions, and providers are subject to change based on industry trends and the needs of the company and our Team members.Virteva is an equal opportunity employer and a drug-free workplace.All applicants will receive consideration for employment regardless of their race, color, creed, religion, national origin, sex, sexual orientation, disability, age, marital status, or status with regard to public assistance.",0,1,1,Full-time,,Bachelor's Degree,Information Technology and Services,Sales,0
17697,MONEY MOTIVATED outside sales reps,"US, CA, Los Angeles",,,,"Alliance is a Nationwide Business Services provider (California) based, nationally established credit card processor looking for MONEY MOTIVATED outside sales reps to close pre-confirmed appointments. We will provide for you 2-6 confirmed leads daily. Sales reps are expected to be on time to the pre set #URL_0885a681ee590bc1b7c7b65d3c7b39e34be8d09e13a5269ab037c498f65f4b4e#'ll be meeting with local business owners and consulting with them on lowering the costs of the current credit card processing costs. In most cases we are able to save business owners up to 45% off their current bill. WE HAVE A FEW POSITIONS LEFT ....AMS is a very professional company and we expect that from our sales reps. We are looking for self motivated people who want to earn a substantial amount of money.","* Training is provided* Monthly and daily sales bonuses* Gas bonuses and/or salary plus commission provided (depending upon experience)* Valid drivers license, reliable car, and internet access is required. Please send resume Please no phone calls","This is a 1099 sale position , most of our outside sales reps earn upwards up $1,250 to $2,500 a week working 25 to 30 hours  ",0,0,0,Full-time,Not Applicable,High School or equivalent,Banking,Sales,1
6799,Leasing Consultant,"US, FL, Orlando",Property Management,,"The Heafey Group is a private real estate investment and management conglomerate founded more than 33 years ago and headquartered in Gatineau, Quebec, Canada. At the head of the Heafey Group is attorney and businessman Mr. Pierre Heafey, who has built up through acquisitions and development projects, an impressive portfolio valued in excess of $700 million. Although its commercial activities are diversified, specifically within the hospitality and restaurant sectors, the main activities of the Heafey Group remain real estate development and management (commercial, office and industrial). The Group has also purchased, managed and sold more than 3,400 residential condominiums in Canada and the United States. To maintain its growth, the Heafey Group has always counted on two strategies, the first being a diversification in regards to the nature and geographic location of their assets. The Heafey Group's assets are located within several Canadian provinces (Quebec, New Brunswick and Ontario) as well as Miami, Florida. The second strategy is the development of strategic alliances with strong partners such as construction experts, real estate management and marketing professionals and real estate promoters. Among its partners, we find the Hilton Hotel Corporation, St-Hubert restaurants, Fonds de solidarité FTQ, Multivesco Inc., Boless Construction Inc. and Group Marc Dubé.The Heafey Group employs over 2,000 employees through its several affiliates (real estate management and development, restaurants and hotels). Pierre Heafey has surrounded himself with a team of seasoned professionals in the fields of finance, real estate development, management and construction.","We are currently in need of a passionate candidate to fill the role of a leasing consultant for one of class ""A"" residential properties and assist us in the day-to-day operation of our condominums located in Baldwin Park, Orlando, Florida. The right candidate for the position would be tasked with advertising, leasing, and daily operations.The Position responsibilities include but are not limited to: Meeting the scheduling needs of the property (including weekends) Handling all aspects of leasing apartments, including greeting prospective residents, touring the community, closing the sale, processing applications, preparing leases and following up with prospects Maintain knowledge and documentation of all specials and rents amongst competitors Conduct off-site marketing and assist in preparing marketing plans Record traffic daily and other weekly/monthly reports Participate in company training classes and meetings as required Deliver accurate lease files and appropriate move-in funds to property managementAssist the office in any other administrative and operational duties as requested.","Must be able to work every weekend (required) Care about people and possess great customer service experienceDemonstrate strong leasing, closing, sales, and marketing skills Proficient with Microsoft Office including Word and Excel Ability to understand and comply with Fair Housing laws, state and federal regulationsExperienced in working with Property Management software (Yardi preferred) Prior apartment leasing experience with good recommendations (preferred) Strong English communication skills both verbal and written (Spanish would be a plus)Excellent organizational skills Application RequirementsPlease Include a short cover letter along with your salary expectations when submitting your application.",,0,1,1,Full-time,Associate,Unspecified,Real Estate,Business Development,0
2682,Event Director,"US, , ",Operations,35000-45000,,"The Event Director is responsible for overseeing all operational aspects of each event for which he/she is assigned. Specific tasks and responsibilities include:Scout and secure venues in locations and dates as directed by senior managementScout and secure packet pickup locationSecure all permitting and insurance requirementsWork with venue and production partner to create course mapManage event operations checklist, including rental items, service contracts, and consumablesManage asset inventory, including color, as well as participant and merchandise inventoriesManage event budget, including maintaining records of all invoices and receiptsOversee staffing and labor requirements  assign and manage event-specific roles and responsibilitiesWork with charities and other sources to staff volunteersOversee logistics of all assets, rentals and consumablesTake leadership and ownership of event implementation, production, safety, and executionOversee packet pickup executionOversee talent management, including transportation and rider requirementsReport to senior management on operations checklist, inventory, budget, and post-event analysis","The Event Director should be the single point of contact for all event specific items, both for the company and for external partners. Ideal candidates will have previous event experience, specifically with concert/festival touring and production. Qualities expected in the Event Director should include: Strong leadership to ensure the event production is flawlessPositive attitude which perpetuates the quality and reputation of the brandProactive approach to problem solving and crisis managementAbility to think creatively to improve the product, processes and systemsAbility to manage the budget with particular focus on driving costs down through efficiency and creatively finding new, more cost-effective solutionsHigh attention to detailStrong organizational skillsHonesty and integrity","The Event Director will receive an annual base salary, plus a per-event bonus. The Event Director will be expected to travel to and manage 10-15 events in a calendar year, with the option to manage additional events, at the discretion of senior management.",0,0,1,Full-time,Director,Unspecified,Events Services,Project Management,0
6940,Sr Manufacturing Engineer- Electrosurgical Equipment- New Product Introduction,"US, NH, Portsmouth",,,The team at Top Source Talent is known within the technical industry for delivering excellent service with qualified resource placements to meet our clients needs in this ever changing employment market. With our industry expertise and network coupled with our passion for service we look forward to exceeding your recruitment expectations.,"Position Description The Senior Manufacturing Engineer supports cross-functional Product Development teams and Operations with technical inputs to product Design for Manufacturability, product design Hazard Analysis, process FMEA for the development of new capital products.Position Responsibilities • Lead and address new product introduction of electrosurgical equipment at contract manufacturers or internal manufacturing locations. • Utilizes Design For Manufacturing techniques to evaluate and improve upon PCA and High Level Assembly designs during new product development.• Author and / or execute product and process validation and verification activities using IQ,OQ and PQ methodology• Collaborate on Risk Analysis documents such as dFMEA and pFMEA• Accountable for design controls deliverables for the commercialization of new products deliverables such as assembly processes documentation, process risk assessments and equipment and process validations.• Collaborate with the development engineering to incorporate optimal manufacturing methods throughout concept, development and launch phases of new product introductions. Manage and quickly resolve any issues, questions, or challenges in the manufacturing of electrosurgical equipment  produced at contract manufacturers or in component manufacturing.  • Promote flexibility within the development process such that change is expected, reacted to quickly, and handled with ease; • Collaborate on test methods to qualify electromechanical subassembly manufacturing and in process testing of PCBA and High Level Assemblies.  Ensure that assigned projects are completed on time and on budget;• Take a responsible role in the manufacturing supply chain such that Medtronic Advanced Energy enjoys strong working relationships with suppliers;• Communicate effectively on the status of open projects using good written and verbal communication skills; including technical writing skills.• Ability to work under only general direction while independently determining the approach to problems and solutions.•  Develop assembly and test procedures for the manufacturing of electrosurgical equipment and effectively train assemblers and operators to procedures. Utilize a high degree of systems thinking such that improvements are made continuously and appropriately to support the needs of the company in areas such as design control, engineering changes, purchasing and procurement, and the quality system.• Ability to train and assist associate engineers to complete department objectives.• Support the seamless manufacturing transfer of projects from NPI to Sustainment Engineering and work with Sustainment Manufacturing Engineering to incorporate design and process improvements for future capital systems.• Manage budgetary requirements and requests within the project requirements and Annual Operating Plan funding.• Create robust Statement of Work documentation for the supply chain, collaborate to assess quotes and establish expected cost targets with the development team.","Basic Qualifications • B.S. Electrical Engineering required.• 5 years minimum track record of transitioning New Product Introduction into Production.• 5 years minimum experience in development and manufacturing implementation of electrosurgical medical devices.JOB EXPERIENCE• Design of Experiment (DOE), equipment and product validation and Statistical Process Control (SPC) experience is required. • Basic knowledge of PADS or equivalent layout &amp; capture tool.• Basic knowledge of Computer Aided Design (CAD) experience with Solid Works.• Experience working SMT and Through hole PCBA manufacturing.• Experience working with High Level Assembly functional testers.• Experience in working closely and effectively with contract manufacturers and vendors to evaluate and champion root cause evaluations. Ability to communicate often and effectively across all departments regarding manufacturing projects.• Knowledge of risk based decision making through the use of pFMEAs.COMPETENCIES• Meets and occasionally exceed the duties and responsibilities of the job.• Ability to manage and complete large project workloads quickly, accurately and efficiently.• Manage and quickly resolve any issues, questions, or challenges to the manufacturing process.SKILLS• Strong interpersonal communication skill.• High capacity to multi-task with minimal supervision.• PCB board layout experience a plus, working with Gerber files.• Knowledge of electro-mechanical and electronic testing techniques and procedures.• Knowledge and practical application of modern electronics, including microprocessors, passive components, and integrated circuits, electronic equipment, computer hardware, and software concepts.Desired/Preferred Qualifications • Understanding of IEC 60601-2-2 preferred.• Design for Manufacturing with either PCA or electromechanical HLA medical devices preferred.• Hands on experience with Minitab or equivalent preferred.• Knowledge of GMP (Good Manufacturing Practice) or other Medical Instrumentation experience preferred.• SAP implementation and support experience preferred.• Green Belt or better in Lean Manufacturing or 6 Sigma with Focus on Lean preferred.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Medical Devices,Engineering,0
8341,Resume Writers Wanted!,"US, NY, New York",,,"Talent Inc., is redefining how job seekers brand themselves to employers. As the largest resume writing service in the country, over 100,000 job seekers work with Talent Inc. to revitalize their resumes. In addition, Talent Inc. reaches over 5MM job seekers through a network of 10 career-focused websites. The company was founded to provide job seekers with the tools needed to maximize career opportunities by distinguishing themselves from the crowd during the job search. Find out more at #URL_35b2f14ff5aafa954e26edf3f45de662ecac5bfe8ecdaa0dfcf492028f57ac69#.","Jumpstart Your Career by Helping Others Start Their OwnWelcome to the Industry of Resume Writing!We are an established and continually growing resume writing firm providing clients with the foundational services needed to optimize their job search process. Were presently expanding into all domestic markets and are looking for talented and customer service-focused writers to help provide our standard of service to clients in your area and throughout the United States. This is an opportunity to secure a long-term, independent contractor position that is entirely telecommute-based. If this sounds like a fit for you, read on!Why Join Us?• Set your own schedule and work from home! Cut the commute time and write from your living room• A steady and continually developing supply of orders• Exceptional support services to assist you throughout the writing process• Access to leading industry resources in resume writing, LinkedIn development, and other cutting edge fields• Endless opportunities for growth into various writing fields, such as social mediaThe Details• The Independent Contractor Status: Maintain the freedom of an independent contractor while pursuing the opportunity for a steady workflow• The Projects and Timeframes: Projects typically include a resume and cover letter package allowing for two rounds of revisions within a seven-day period. We find our average revision request rate to be about 50%. When clients request complex revisions beyond that seven-day window, our support team steps in so that writers can continue to take on new projects• The Schedule: Set your own schedule! You are permitted to take on as many projects a week as you wish• The Customer Service Element: We provide a service that revolves around delivering a quality product to our clients that leads to their next great job. Superior client service is critical and exceptional email communication is an absolute necessity. Our writers stay in continual contact with our support team and together we provide the most professional caliber service to our clients• The Payment: Compensation starts at $20 per resume. Writers can make additional income performing phone calls and rush service, additional edits, and cover letter writing.","Required Skills• An EXCELLENT customer support background• Outstanding writing and editing skills. Professional experience in a writing position is preferred• Impeccable attention to detail• Superb time management skills• A friendly, positive and flexible attitude• The ability to work under pressure and strict deadlines• The desire to learn and evolve your writing skills in the field of resume writingRequired Experience• Background in professional writing, human resources/recruitment, or associated fields• Proficiency in Microsoft Word. Please note, owning a copy of Microsoft Word is required",,1,1,1,Contract,,,,,0
14884,English Teacher Abroad ,"US, SD, Brookings",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
5334,Digital Marketing Consultant,"NZ, N, Auckland",Sales,70000-90000,"90 Seconds, the worlds Cloud Video Production Service.90 Seconds is the worlds Cloud Video Production Service enabling brands and agencies to get high quality online video content shot and produced anywhere in the world. 90 Seconds makes video production fast, affordable, and all managed seamlessly in the cloud from purchase to publish. http://90#URL_fbe6559afac620a3cd2c22281f7b8d0eef56a73e3d9a311e2f1ca13d081dd630#90 Seconds removes the hassle, cost, risk and speed issues of working with regular video production companies by managing every aspect of video projects in a beautiful online experience. With a growing global network of over 2,000 rated video professionals in over 50 countries managed by dedicated production success teams in 5 countries, 90 Seconds provides a 100% success guarantee.90 Seconds has produced almost 4,000 videos in over 30 Countries for over 500 Global brands including some of the worlds largest including Paypal, LOreal, Sony and Barclays and has offices in Auckland, London, Sydney, Tokyo and Singapore.http://90#URL_fbe6559afac620a3cd2c22281f7b8d0eef56a73e3d9a311e2f1ca13d081dd630# | http://90#URL_e2ad0bde3f09a0913a486abdbb1e6ac373bb3310f64b1fbcf550049bcba4a17b# | http://90#URL_8c5dd1806f97ab90876d9daebeb430f682dbc87e2f01549b47e96c7bff2ea17e# ","Role Title:      Digital Marketing ConsultantReports to:    GM Sales AustralasiaLocation:       Auckland, New ZealandAbout 90 Seconds90 Seconds is an innovative cloud based video production company.  The business is growing exponentially and profitably and the founding team is passionate about building an awesome global business. Rapidly accelerating demand for video content at all levels of the market provides 90 Seconds with a massive opportunity and the sales team to date has been able to secure business quickly and profitably.Using a smart, unique SaaS sales and delivery platform and a growing team of crowd sourced video professionals 90 Seconds is poised for significant growth.Customer retention rates are high and the companys reputation for high quality and service delivery increasingly provides opportunities for new sales.Role OverviewA Digital Marketing Consultant is tasked with prospecting, engaging and presenting the 90 Seconds proposition to the market and securing new business.In addition to generating leads through your own initiative and skills, 90 Seconds is investing heavily in lead generation and has a growing base of satisfied customers and case studies from brands such as Google, Barclays Bank, Intuit, BNZ, Air New Zealand, DOC to name a few.90 Seconds uses the Cloud as the engine room for all elements of business process. Once a new lead is engaged they are entered into the SaaS platform and all interactions with the lead are tracked and produced within this system making it quick and easy to generate proposals and deliver projects to customers with speed, quality control and full transparency to the client.The SaaS system also manages the entire production process from purchase to publish. 90 Seconds has a rapidly growing community of talented freelancers connected globally covering a full range production skills from directors, shooters, editors, animators, graphic designers, voice over artists and more.A Digital Marketing Consultant at 90 Seconds is the “front door” to our brand and reputation. This role offers a fantastic and fun opportunity to work with one of New Zealands fastest growing companies, earn good money and establish a reputation as a top notch salesperson.Career progression for successful people at 90 Seconds is rapid and opportunities will be available globally as we expand. ","Key Accountabilities Achieve Sales and Client Satisfaction targets Support the 90 Seconds Brand by delivering high quality service in a timely manner at all times Build true fans of the 90 Seconds brand and business model and increase sales referrals by doing soKey ResponsibilitiesAnalyse customer requirements / current online video, online brandCreate Video Project Briefs based on custom requirementsPresent in client meetings and events and conferencesNetwork and Present at events and conferences.Quickly build a pipeline of opportunities and consistently close businessSolutionsThe aim of all 90 Seconds provide a range of solutions to clients to ensure that their growing and often varied requirements for video content are provided for. Current solution categories include: $3 - $10k custom video projectsOn Demand 2k+ fast turnaround solutionsCustom video projects: $10 - $40kVideo Content Marketing solutionTerritoryThe market demand for the solutions listed is wide and varied. As such the Digital Marketing Consultant will agree a prospect list and market segment/s to address, which will be reviewed, on a regular basis.Key Experience and BackgroundYou have been selling online video content You have been selling SEO, Social Media, Content Marketing based solutionsYou have been selling $15k-$50k websites and understand that content is the key way to drive traffic and conversions on these sites..You understand that the lowest cost way of attracting customers is online - driving traffic to a web page and converting traffic into customers via high quality, targeted contentYou're interested and actively engaged in social media especially twitter, using it for business development and networking, self promotion and cross promotion of clientsYou enjoy and understand the main pillars of digital marketing and getting customers results online - increase traffic, search ranking, online engagement, customer conversionYou've probably sold or been a part of creating online content (articles, videos, blogs, social)You understand that successful online marketing is more about content marketing than advertisingYou have experience and success in selling to medium, large and global businessesYou have leadership and talent development skills","Remuneration &amp; BenefitsBase Salary Range $60k - $80k dependent on experienceCommission: Percentage of profit per project and opportunities for additional remuneration based on customer acquisition and satisfactionAutonomy  achieves results, earn flexibility.Targets and Expectations - What Success Looks like1st 3 months - Building pipeline, introducing your existing relationships to 90 Seconds, following up 90 Seconds generated leads, having quality meetings and getting video proposals in front of quality businesses. 3 Month Mark - Sales of $k/month base and growing, Commission and on Target earnings commences. 3 - 6 Months - Sales Building towards $40k / month ",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Media Production,Sales,0
4837,Intern: Dev,"US, NY, Brooklyn",,,"Our team is made of designers, physics wizards, educators, writers, musicians, and illustrators. We work together to build elegantly engineered iOS apps that incite wonder in curious kids over the globe.Small teams mean you get a real say in how we solve problems, with plenty of autonomy. We have an ambitious product line-up, but we also understand the importance of work-life balance. We offer a flexible office environment, competitive salaries, and monthly team outings. We also include health care benefits (medical, dental, vision) and vacation for full-time employees.If you love pushing the boundaries of whats possible with mobile devices, spinning interactive stories, and collaborating on creative projects, youll fit right in.","MASTER PUZZLES &amp; BUILD NEW UNIVERSESWere on the lookout for an intern to work and learn alongside our stellar dev team. Come join us as we solve puzzles—big and weird—and push the boundaries of what iOS devices can do. Youll help write, test, and deploy production-ready code for our slate of educational kids apps.Our award-winning first app, The Human Body, reached the #1 spot on the App Stores education charts in 143 countries. Plants, an interactive diorama of the worlds biomes, is our second app, with plenty more in the works.In this role, youll work closely with our product, research, and animation teams, so communication and collaboration are crucial. You should be detail-oriented and a quick study at new workflows and tools.Were a small team, so youll play a big part in helping us define our company and products from the ground up. This is a terrific opportunity if you are interested in learning how to develop iOS apps and enjoy gaming and digital puppetry.For this job, you need to be local (that means NYC) and available at least two full days a week. Were looking for a three-month commitment at a minimum, with some flexibility around holidays and classes (if youre still in school). We offer a daily stipend for this internship, and can also offer school credit.","YOU SHOULD HAVE:A love of toys, games, and picture books.Fluency in C#, Java, Obj-C, or C++Knowledge of agile development.Games/graphics/animation experience.Extreme attention to detail and organizational skills.Excellent, open communication skills.The desire to collaborate and work quickly on iterations.EXTRA POINTS FOR:Unity experience.Knowledge of Swift.iOS app experience.An interest in education, kids media, and apps.Awesome side projects.","HOW TO APPLY:Please click the link below to send us your resume and anything that helps us learn more about what makes you tick: your blog, Twitter, Instagram, GitHub, Dribbble, Pinterest, etc.Most important, add a note telling us about the skills youre interested in developing and what youd like to accomplish next. Be sure to include: a list of your favorite childrens media, your weekly availability, and your earliest possible start date.",0,1,1,,,,,,0
7275,Data Analyst,"GB, , London",Finance,,"Axonix is a global mobile advertising technology company that's powered by a platform with a 4 year history of leading the market. Using technology acquired from Mobclix, the worlds first RTB-enabled mobile ad exchange, Axonix offers a fully transparent programmatic trading platform that enables publishers to sell their mobile advertising inventory in real-time to brands, agencies and ad networks globally.We serve billions of ads per month across more than 150+ countries, working with our 100+ demand partners globally to achieve industry-leading CPMs, CTRs and fill rates. With built-in fraud detection controls and data-driven targeting options, we help advertisers to secure the optimal ad inventory that will deliver the best campaign results for them.Axonix is headquartered in central London and backed by Telefonica and private equity group, Blackstone.OUR PHILOSOPHY TO HIRINGOur technology serves billions of mobile ads every month, across more than 150 countries. Our company is truly global in scale. We're growing so fast we need to hire the best people out there.People who thrive on fresh challenges. People who want to see their work make an impact, right away. People who demand a lot from themselves and others. We hire someone when were sure “Theres no better person for this job, and no better person wed rather work with.”We know people are our most important asset. If you're exceptional at what you do, we want to hear from you. The next stage in your career could start today.","To strengthen its commercial team, Axonix is seeking a smart and enthusiastic Data Analyst to drive data-driven decision-making across the business. Reporting directly to the Chief Finance Officer but supporting all areas of the business, the Data Analyst position is a key role in the organisation to proactively engage with stakeholders to compile, interpret and present data insights that will have an immediate impact on Axonixs strategic priorities and commercial results.The Data Analyst will identify and implement best practice methodologies for analyzing the large datasets produced by the Axonix platform in order to develop insights into business performance. These insights will then be used to help prioritise sales, account management and product development initiatives to grow the business. In addition, the Data Analyst will be required to monitor, source and compile relevant industry statistics from external research providers and other sources in order to support business planning.Based in London but supporting the business globally, this is an exceptional opportunity for a talented, self-motivated analytical thinker who wants to join an exciting and fast growing company. The successful candidate will demonstrate a love of data analytics, with expertise in data mining and interpretation. You will be a passionate problem solver who excels at delivering first class customer service to a variety of internal stakeholders, presenting data insights in ways that are easy-to-understand and actionable.As with all small, new and entrepreneurial companies, the Data Analyst will be expected to perform some additional tasks beyond the roles core responsibilities.","Identifying key performance data from large multiple datasets to clearly communicate insights and actionable recommendations for the commercial, financial, marketing and product teams that will drive growth and profitability.Creating the guidelines and rules that will ensure consistency and integrity of reports from across multiple data sources.Gaining insights and making recommendations across the business to improve our API and our data processing flows.Compile relevant statistics from third party research sources to support business planningKey responsibilitiesIdentify and clearly communicate key information and actions from large datasets to stakeholdersCreate user-friendly dashboards and graphs for internal and external stakeholders that clearly illustrate key strategic or tactical insights.Check data quality control standards and engage with Development Team if required.Provide regular and accurate forecasts for inventory demand and supply.Provide recommendations for pricing elasticity and optimisation.Source third-party industry statistics to provide insights into market size, growth rates, competitor share and industry trends.Personal qualities and experience we are looking forB.A in Mathematics, Statistics, Computer Science or other relevant subject.1 year plus working with data analysisAmbition and enthusiasm to be an integral part of the companys future growth storyProven ability to turn data insights into strategic business recommendations and to communicate these in an effective way to senior internal and external stakeholdersExperience of SQL an advantageFlexible, start-up mindset and proven success in fast-paced environments, with the ability to juggle multiple client deadlinesCapacity to work with all teams, including sales, marketing, business development, product management and engineeringExcellent written and spoken communication skillsLanguages  Fluency in English essentialAdvanced excel skills",Competitive salary according to experienceAnnual bonus schemeGenerous flexible benefits package,0,1,1,Full-time,Entry level,Bachelor's Degree,Marketing and Advertising,Data Analyst,0
17375,Caregiver/Home Care Aide Berrien Springs,"US, MI, Berrien Springs",,,"""Our mission to our clients is to preserve their independence, enhance their quality of life, promote health &amp; wellbeing and have them consider us a part of their family.""","Home Sweet Home In-Home Care is currently seeking Caregivers in the Berrien Springs area. This position may entail assisting with walking and exercise, menu planning, meal preparation, grocery shopping, personal care, light housekeeping, laundry, companionship, errands and escorting clients to and from appointments, etc... Starting pay is competitive with 90 and 180 day performance reviews. It is important that applicants be familiar with and close to the service areas in an effort to schedule clients effectively and efficiently. Experience is preferred but we will train the right person.",,Competitive Compensation with performance reviewsOpportunity for AdvancementMileage Reimbursement                                                                                                                                                                      Paid Orientation and Training             ,0,1,1,Part-time,,,Hospital & Health Care,Health Care Provider,0
11841,West Yorkshire Lead Generators/Sales Opporutunity,"GB, WKF, Wakefield",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","We require 2 Telesales Executives for our busy Recruitment Agency in Wakefield. We specialise in apprenticeships.You will be making outgoing, high volume, business to business calls selling our services.Ideal candidates will have a couple of year telesalesexperience and will be passionate about selling.If you are interested please apply now.",Sales experience.,,0,1,1,Full-time,Associate,Vocational,,Sales,0
16,VP of Sales - Vault Dragon,"SG, 01, Singapore",Sales,120000-150000,"Jungle Ventures is the leading Singapore based, entrepreneur backed, venture capital firm, that funds and actively supports start-ups in scaling across Asia Pacific. We pride ourselves on leading investments with conviction.We invest in global start-ups that are solving problems relevant to Asia Pacific markets. We currently have investments in US, Singapore, India, Australia, Thailand, Malaysia, and the Philippines. As one of the most active investors in the region we have made 27 investments to date, including Travelmob which was acquired by Homeaway last year.Jungle are the only truly active investors in the region which means working with us doesnt mean an investment and occasional board meeting. Our team of Venture Partners, Advisors and Operating Partners roll-up their sleeves and work side by side with you to solve the little problems and scale across countries.","About Vault Dragon Vault Dragon is Dropbox for your physical stuff - a startup that is changing the aesthetic face of Singapore by creating more space in households and offices. We also save countless doctors, lawyers and professional services firms everyday from the catastrophe of document storage and management. Singapore Business Review magazine says we are one of the 20 hottest startups in Singapore. Dont believe a word they are saying, because we are THE hottest startup in Singapore.Our crusade against the lack of space in Singapore is supported by top VC funds, including Jungle Ventures, 500 startups and Golden Gate ventures. Vault Dragon is the hero Singapore deserves and the one it needs right now, come and join!Job DescriptionVault Dragon is looking for a VP of Sales who will 'roll up their sleeves' and drive sales to both B2B and B2C customers. We need someone as persistent as Seth (Boiler Room) and as driven as Jordan (Wolf of Wall Street). If you don't relate, then you can stop reading. But if “No” is not a word in your dictionary, and you love selling like we love pancakes, then this is definitely the job for you. The only boundary when it comes to working with us is your own imagination.The VP of Sales will (breathe in) drive planning, reporting, quota setting and management, sales process optimization, sales job design, sales training, sales program implementation, sales compensation design and administration, and recruiting and selection of sales force talent (breathe out). In addition they are responsible for the overall productivity and effectiveness of the sales organization. Reporting to the Founders, the VP of Sales also works closely with internal and external stakeholders to ensure the appropriate objectives and priorities are enabled within the sales organization.ResponsibilitiesUnleash the Dragon and and lead the sales charge for our awesome service. We are a rapidly growing startup and it's ground zero for a sales master to come into their own how they always dreamed of. You aren't going to be micro-managed here, so how you drive sales is up to you, so long as no bunnies are harmed in the process.We are looking for a change maker who knows what they want and how to do it, but high-level responsibilities include:Smash sales targets and set new milestonesDevelop quality sales material yourselfGenerate a high volume of sales related activity including cold calls, presentations, proposals, and client relationship developmentDevelop account strategies to drive long term revenue growth and upsellImplimenting enabling technology including CRM (and ensuring compliance)Hiring and training and incentivising your own sales team for both phone and field salesConceiving and implimenting sales processes that get resultsCoordinating sales forecasting, planning, and budgeting processes with the Founders in alignment with the business plan KPIs and continuous reportingDeveloping a 'Sales bible' to be leveraged as we expand outwards","Key Superpowers3-5 years of high-pressure sales experience, but if you absorb knowledge like a sponge and keep getting promoted we are flexiblePreferably mastery of both phone and field sales for both business and retail customersKnow the ins and outs of CRM'Get tech,' we are a tech company at heart. You know the finer points of PowerPoint formatting and how to install Dropbox yourself (Yes, seriously, that's apparently a thing)Entrepreneurial spiritWinner mindsetPersuasionSelf motivationResilience and tenaciousnessWorking well under stressGoal drivenPreferable: Knowledge and connections in Singapore and across more developed citiesTeleportation (Optional)","Basic: SGD 120,000Equity negotiable for a rock starGround floor opportunity to make a difference and do things as Dean said ""my way""Hire and train your own superhero sales team, the way you wantMassive degree of freedom to own and deliver resultsFree beer. What!",0,1,1,Full-time,Executive,Bachelor's Degree,Facilities Services,Sales,0
9192,National EHS Manager,"US, MA, Greater Boston Area",,85-95,"Shirley Parsons LLC is a specialist recruitment consultancy working within the Environmental and Health &amp; Safety markets. We have a passion for developing healthier, safer environments and our mission is to build long-term, sustainable relationships with both our clients and our candidates.  We partner our clients throughout the recruitment process, providing an unparalleled level of service with the ultimate goal of building high performing teams that will deliver efficient systems and procedures, improve safety performance and further enhance our clients profit margins.","A leading manufacturer is looking to appoint an EHS Manager to cover their operations across multiple sites and to develop corporate policies and procedures. The successful candidate will be responsible for working with key stakeholders across the companys business units, understanding their processes and persuading them to take ownership of EHS on site. This position will involve extensive travel, and will require a candidate with an adaptable approach, providing advice and guidance on legislative requirements to minimise risk to the organisation. The Role:Promoting a positive health and safety culture across multiple sitesLead a national EHS programmePreparing health and safety strategies and developing internal policyCarry out training, site inspections, and audits on a regular basis",The Candidate:EHS experience in a manufacturing (or similar) companyThe ability to influence and engage othersExperience of developing immature EHS systems and procedures,Compensation for this package will include a competitive salary as well as comprehensive health and 401K benefits: ,0,1,0,Full-time,Mid-Senior level,,Aviation & Aerospace,,0
5407,Web Agency Sales and Business Development Associate,"US, CA, San Diego",,,"PINT is a leader in the web industry with 20 years of web design, software development and consulting experience. PINT's principals are also the founders of two software companies - ZingChart and Port80 Software.We not only build sites and applications for leading organizations in California, the U.S. and worldwide, but help move the industry forward with our books, instruction at universities, and conference participation.PINT's CultureFrom keyboard-bashing coders to creative, caffeine-driven web aficionados, at PINT not a day goes by in which our employees aren't learning, teaching, and continually being technically and professionally challenged.The amount of experience and knowledge your co-workers share is often a crash course in advanced web development and software engineering principles. Conference room walls tiled with post-it note mosaics of user interview questions and printed web dev decoupage throughout the office poise PINT on the bleeding edge...exactly where we want to be.Foodie focused Friday mornings are often highly anticipated and enjoyed among the members of the weekly PINT Breakfast Club.","PINT is looking for a sales pro interested in joining and augmenting our team of highly experienced and talented web experts. The ideal candidate will possess excellent interpersonal and leadership skills as well as a strong understanding of many aspects of web design and development.You will be expected to:Develop and execute lead targeting and customer acquisition strategiesMaintain accurate forecasts for in-process sales opportunitiesLead new business presentations, meetings and RFP responses as neededBring in new customers by scoping and closing profitable projects that fit PINT's expertise","Web agency or related professional services sales experience selling of websites, web applications and/or online marketing initiativesBachelor's degree in a related field; Master's degree a plusProven ability to take initiative and grow customer engagementsAbility to stay cool amidst fast-paced and sometimes stressful environmentsExperience presenting to and managing expectations of senior level executivesExceptional written and verbal communication for creating and delivering reports, presentations, contracts, RFP responses and moreYou will set yourself apart for consideration if you know a lot about the web, and are actively learning more about:Social media and online marketingUX practicesWeb development languagesWeb standardsContent management systemsInformation architectureWeb and/or social analytics and attributionA/B testing and reporting","We offer industry-competitive salaries, health, dental and 401(k) benefits. PINT is an Equal Opportunity Employer. Follow us on Twitter @PINTSD",0,1,1,Contract,Associate,Bachelor's Degree,Internet,Accounting/Auditing,0
12890,Janitors (San Francisco),"US, CA, SF Bay Area",,27000-34280,,"Seeking full and part-time day janitors and supervisors to clean commercial office buildings in San Francisco. Duties include vacuuming, dusting, cleaning restrooms, emptying trash, etc. Floor care and carpet cleaning experience a plus.",Six months previous janitorial experience preferred. ,Vacation/Holidays/Medical for full-time employees.,0,1,1,Part-time,,,,,0
2084,Assistant Production Manager,"US, CA, Simi Valley",,25000-30000,,"ARIAugust Roofing, Inc.#URL_904b36be99a7d32b9ce43c11400568826276176834f89325c2622a2a9950bdfe#  THE POSITION This is an exceptional opportunity to join an established organization with opportunities and challenges allowing an outstanding employee to shine. The Assistant Production Manager is a reestablished position with growth potential. Working closely with the President/CEO, the Assistant Production Managers role is pivotal to delivering the high quality product that has become the hallmark of August Roofing. The Assistant Production Manager is responsible for taking the administrative side of a project from the point of a signed contract, interfacing with the business side of the roofing industry, our internal team and the jobsite workforce. By appropriately and efficiently organizing, prioritizing, delivering supply resources and following company processes the Assistant Production Manager will be responsible for increasing production by successfully meeting designated job objectives while creating the highest customer satisfaction. The role requires outstanding organizational and problem solving skills to maintain good working relationships with suppliers and colleagues. RESPONSIBILITIES: Follow all company procedures to the letterCreate project information sheets for incoming callsCoordinate all administrative aspects of producing a job which would include; building job files, acquiring permits, scheduling deliveries and inspections.Communicate with customers, inspectors, suppliers, August Roofing salespeople and crewsCreate purchase orders for all goods boughtMaintain inventory processMake sure the shop and yard are maintained in a neat and orderly mannerPutting together materials required for job from inventoryWork hours of 6:30 am to 4:30 pm Monday thru Friday with 1 hour lunch break CHARACTERISTICS: Evidence of sharing and practicing the companys core values of Quality, Integrity and PassionAppreciates structured and process oriented environmentHolding the team accountable for goals and objectives without damaging relationshipsDemonstrated genuine humility; e.g., knowledgeable in his or her field but  understanding when and willing to know when to seek advice  Superior team player; ready and willing to “roll up their sleeves” and assist where neededStrong work ethic (very responsive/flexible when the need arises), yet with balance to her/his personal lifeBalance excelling in interpersonal relationships as well as proficiency in more solitary aspects of the positions (e.g. inventory, purchase orders)Skillful and diplomatic in tackling difficult customer situations/concerns all the while maintaining a high level of integrityOutstanding verbal &amp; written communication skillsBig picture, strategic thinkerSelf confident and self-assured Highly self motivated  QUALIFICATIONS: Bachelors degree in business administration, construction management or related discipline or equivalent work experienceProficiency with software includingWord, QuickBooks, Excel, and ACT database managementBilingual preferred but not requiredValid and clean CA drivers licenseMust be in good physical condition and able to lift up to 100 lbs, walk and kneel THE COMPANY August Roofing, with headquarters in Simi Valley, California serves the commercial, public-governmental and residential roofing needs in southern and central California and is a licensed roofing and general contractor.  Corporate offices are in a recently remodeled, fully technology-equipped 3600 sq. ft. office-industrial complex with a large service/storage yard. Integrity is a hallmark of August Roofing; their operations and conduct are by the letter and spirit of the law with the leadership integrating the highest ethics in all aspects of the business. Revenues have remained in the $2-2½ million range during the current downturn with the majority of business coming via referral and repeat customers.  It is anticipated that revenue will return the $3-4 million range within the next two to three years. They bring decades of experience in providing expertise and excellence to every job they do, while their civic, church, and charitable involvement is recognized and appreciated in the community. ¨    ¨    ¨    ¨ August Roofing is an equal opportunity employer and does not discriminate based on race, age, color, religion, sex, national origin, veteran status or physical handicap. We fully respect the need for confidentiality of information supplied by interested parties and assure them that their backgrounds and interests will not be discussed with anyone without their prior consent, nor will reference contacts be made until mutual interest has been established. As part of the process of being a candidate, the person will authorize a background check for driving, history, and criminal records, as well as possibly completing a psychological profile assessment, drug test and company application. ¨     ¨    ¨    ¨ NO PHONE CALLS PLEASE!  ",,,0,0,0,Full-time,Entry level,Bachelor's Degree,Construction,Administrative,0
10758,Customer Service Associate ,"US, LA, New Orleans",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in New Orleans, LA. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Legal Services,Customer Service,0
10523,Account Executive - Inside Sales,"US, PA, Philadelphia",,,"Founded in 2009 by early LinkedIn employees, PeopleLinx gamifies employee engagement for LinkedIn, Twitter and other social networks. Our cloud-based solution helps employees optimize their profiles (establish credibility), leverage their relationships (get warmer introductions), and engage their connections with targeted content (attract prospects). Customers include Fortune 500 leaders in banking, insurance, legal, high-tech, and professional services. You can find us in the real world and on the web:1835 Market St. // Suite 1105Philadelphia, PA 19103#PHONE_f167a2f286e8f4cb0f9fa1e47261030df0d106c8fd5f112e530d0e136de51f64##EMAIL_11429015bb60de942be3eda067d6bc311a361e27527b1caa61d26744faa41e9d##URL_9844023bca59c32bd969064d87e9140e2e7a27774849164ee371457c6eb393f1##URL_fcf1545d702394fe08474c55816b6430cdab10fa1ca92ab2029f06e4eebec154##URL_9fcf934808d5aae269e51500d2f74a1158320477ca5f92484f12eef95e4812fc#","PeopleLinx is recruiting someone who is enthusiastic and personable, yet has the can-do attitude of a hunter.  SaaS Sales Executives with 5+ years of experience selling into enterprise sales and marketing departments are preferred. The Account Executive (AE) will be responsible for creating new relationships with prospects, demoing our products and services, and negotiating contracts to generate revenue. As an AE you must be able to work collaboratively with the other team members while remaining focused on your individual duties.  Your role will include many of the following, but with success, you will have the opportunity to write your own future at PeopleLinx:Prospect via phone, email, social, and other mediums to identify qualified new contacts and opportunities to fill revenue pipelineConduct engaging and persuasive software demos and ensure complete understanding of our product/technology/servicesAct as a trusted advisor as prospects move through the sales funnel and address prospect requests with speed, care, and professionalismDiligently track all sales activity and data in #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86#Work closely with Marketing and Client Services teams to support customer, company-wide roll-outs of our products and servicesConsistently exceed expectations of clients, peers, and management while being a leader and role-model/mentor to new associates","Bachelors degree and 2+ years of SaaS sales and/or account management experience working with medium-to-large businessesEnergetic, confident, and personableHas a hunter-mindset; able to prospect aggressively for new targetsAbility to meet deadlines and quotas, especially under pressureAbility to prioritize and manage multiple projects simultaneouslyAbility to think strategically and operate tacticallyExceptional verbal and written communication skillsStrong Excel, PowerPoint, and basic computing skillsExperience selling social media solutions a plusSome travel required (10-15%)","Competitive compensation with uncapped commissionsCollaborative, open culture that encourages coaching and developmentFull benefits including access to Weston Fitness (located in our building)Friday lunches and company outingsFully stocked kitchen and pantryOpportunity to quickly grow into new and exciting roles in fast growing technology company ",0,1,0,Full-time,Entry level,Bachelor's Degree,Information Technology and Services,Sales,0
5582,Software Engineer,"GB, LND, London",Technology,20000-36000,,"FarmDrop is looking for two engineers (either 2 x full stack or one x front-end and one x back end) to help us develop a world class technology platform. We are building a fully automated cloud-based service to orchestrate the business model and were looking for junior to mid-weight (2-3 years) permanent engineers.The ideal candidate with have a science based degree (not necessarily CompSci but its preferable), have 2-3 years working for a large corporation and be looking to learn the latest and greatest web technologies. You will be as comfortable in Chrome Developer console as you're in terminal.Your role: Working along side the CTO, Graduate Developer and UX Designer, you will be tasked with writing clean, test driven code based from well researched UX specs.","Front-End skills required include Javascript, AngularJS, Grunt, Yeoman, Bootstrap, jQuery (NB - we'd like to hear from you if you are Front-end only)Back-End skills required include Ruby, Rails, SpreeCommerce, Postgres, Heroku, Node. (NB - we'd like to hear from you if you are Back-end only)Environment skills required include git, Terminal, Sublime Text 2, WebStorm, RubyMine, CI, New RelicMethodology experience needed includes Kanban, stand-ups, peer review, pairing, TDD, RSpec, Cucumber, Jasmine, Karma","Salary - £20,000 to £36,000 (experience dependent). Meaningful equity options available for those who we believe will make FarmDrop thrive.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Information Technology,0
4833,Senior Engineering Product Manager,"TW, TPQ, Taipei",Engineering ,,"Aptitude Staffing Solutions has redesigned the recruiting wheel. Our innovative new platform cuts the recruiting time in half, yields scientifically-proven results and clients and candidates enjoy a pleasant experience through advanced, simple to use technology and a tenured, industry-experienced recruiting team. Join us in a fresh new experience of leveraging your career...the way it should be! All represented candidates enjoy the following perks:Expert negotiations, maximizing total compensation package Signing bonus by Aptitude Staffing in addition to client signing bonus (if applicable)1 Year access to AnyPerkRelocation Services for out of town candidatesContinued education in your area of profession, seminars, workshops and other skill development events Contract employees receive quarterly bonuses for the duration of their project Direct-Hire employees receive double bonues ($2,000) per referred/recruited candidate into their newly appointed companyAll candidates are encouraged to participate in our Referral Bonus Program &amp; earn $500 - $1,000 per hired referral            ",Senior Engineering Product Manager | Taiwan Taipei,,"What Is Offered:Very competitive base salary.30% bonus structure, guaranteed annually.Significant stake in equity/stock optionsFull benefits package along with many perksOpportunity for Executive-level advancement and career leverageWorld renowned leadership, mentorship, and a very attractive culture/work environmentRelocation assistence if neededSigning bonus through placement agency Unique and attractive company culture and environment Highly visable products, leading technologies and recognition Global environment, global product stage in a fast-paced, start-up environmentBroad responsibility, autonomy and visibility in an Engineering role.In-depth exposure to real-world customer issues across a global customer baseSmall-company feel in a growth environmentGenerous base salary + significant bonus structure + equity / stock options + full benefits package                                     Please Apply for Immediate Consideration. Qualified candidates contact:                                 Darren Lawson | VP of Recruiting | #EMAIL_f4da338e899ddba983ac771b001681d1d2d93b3327ddc420a15f4e5a310071a9# | #PHONE_90d33c9d7ec1484aebfe37b153d677decc6f5f53b316489ed24061544c04eb66#",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Telecommunications,Engineering,1
10683,Care Coordinator,"US, CA, Fresno",,,"HealthCare California is a locally-owned and operated home health agency providing a variety of home health and medical services in central California.Our experienced and dedicated nursing and rehabilitation teams use a multi-disciplinary approach to ensure the highest quality level of home health care for our patients.We strive to ensure each patient reaches his or her maximum health potential.  All health care services are provided under the direction of the patients physician. MISSION STATEMENTOur mission is to be the premier home health agency in the Central Valley.  We will provide exceptional home health services to patients and referral sources.  We will always operate with the highest ethical standards and fairness in all of our dealings with patients, referrals sources, employees and suppliers.","Manages the scheduling for all patient admitted for all clinicians. Conduct follow ups to ensure frequencies and orders are being performed according to the plan of care.Analyzes and generates all incoming clinicians ordersCoordinates the scheduling of all uncovered visits for clinicians who are off, sick, or unable to see patients, due to full workloadAnswers incoming scheduling phone calls from clinicians responding in a courteous and professional manner to questionsOrder and review care coordination assessments, evaluation and plans of care in addition to performing telephonic care coordination follow-upsAnalyzes and generates incoming clinician orders throughout the day, assigning visits to the appropriate to cliniciansWorks effectively with Intake department to coordinate new referrals for clinicians and updates intake regularly on patient status and clinicians availability","High School Diploma or equivalentDocumented coursework in business communications and medical terminology preferredUses tact and diplomacy in handling difficult interactionsMust demonstrate effective communication skills by conveying necessary information accurately, listening effectively and asking for clarification when needed Knowledge of filing and previous medical office experience preferredStrong communication, interpersonal and organizational skills",,0,1,1,Full-time,,,Hospital & Health Care,,0
8652,Senior Developer Ruby on Rails,"PL, , ",,,"We are Netguru and we love to develop web application based on Ruby On Rails framework. We value quality, transparent communication and passion for work.","We are Netguru and we love to develop web applications based on Ruby on Rails framework. We value quality, transparent communication and passion for work. We are always on the lookout for passionate Ruby on Rails developers! If you have talent and skills to deliver the best quality - check out our offer.Joining netguru means:a flat organization (no levels of middle management between staff and executives)startup-type projects for clients all over the worldflextime work schedule (the end result counts)flexplace (work from the office or from anywhere you are)offices in: Poznań, Warsaw, Gdańsk, Zielona Góravery quick and clear recruitment process (only fulfilled promises)project managers careFind out how much you can earn:developer 5040 - 6720 zł nettosenior developer 6720 zł netto +B2B with Social Insurance coverage (ZUS)","Ready to apply? First check if you:have hands-on knowledge of:Ruby on Rails, HAML/SCSS, at least one JS framework (backbone, #URL_14a08e6b0bc7ce54738deaa6ef717779f018df34d650ed629f2b8a68422b856b#, #URL_5543aa46fe37875fcc9e8b278ee02d4a18479d6d208cad289401987349eea211#, #URL_5eeeab414a831563b0294f53edd871b2bc8fc7000cab17e6b33cf60192cdbc14#)SQL and noSQLhave 2 years experience in Ruby/Railsare able to lead the project independentlycan write code that writes code (use metaprogramming)can effectively search for information you needcan create and modify gemsknow how to set up an app on the staging and productioncan easily communicate with Clients in Englishhave excellent communication skillslove to take charge of the projects you are dedicated toWe will be happy to see that you:know TDD, Scrum and Agile methodologiesare always willing to help other developershave some dev-ops skillsyou are an open source contributor and your enthusiasm affects others",Perks &amp; benefits:co-financing international conferencesEnglish lessons once a weekhalf-price lunchesXbox with FIFA &amp; other gamesNetguru Dinner once a month,0,1,1,,,,,,0
1999,Project Manager ,"US, TX, Houston",Project Management ,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","A truly fantastic opportunity offering a strong comp and benefits package, temporary housing, and relocation for a sharp industry Project Manager who's excited to join a rapidly growing and dynamic company in one of the most booming industries in the country.Please note: This role will require temporary relocation to Waynesburg, PA or Buckhannon, WV as well as super-commuting between PA, WV, and OH as necessary.Scope of Work:Oversee all Hydraulic Fracturing and Water Transfer operations for multiple crews per well pad, and possibly multiple well pads, totaling 15  45 personnel.Report directly to the Operations Manager; manage all workflow, equipment, and administrative aspects of projects under management.Ensure successful execution of services provided to client.Seamlessly conduct handover to fracing operators.Successfully and smoothly manage setup and breakdown of job sites.","Professional experience in water transfer, heavy construction, or oilfield industry experience.Ability to manage and lead teams in demanding environments.Self-motivated with an understanding of urgency and attention to detail.Ability to manage a cross functional team and to operate independently5+ years of recent related experience.*Military experience is highly valued by the employer; candidates with military experience can expect some flexibility in terms of requirements, so long as fundamental skills and competencies are present.","Industry, Location and CompensationIndustry: Oil &amp; Gas  Fracing and Water TransferLocation:  PA, WV, and OH  super-commuting and relocation options availableThis opportunity is especially great for U.S. veterans who want to utilize the skills learned in the military to transition into a promising and exciting career. Apply today for an opportunity to join a robust company in the mission to achieve American energy independence!",0,1,1,Full-time,Not Applicable,,Oil & Energy,Project Management,0
16200,Environmental Lab Technician,"US, MA, Boston",,,,"Cambrian Innovation is looking for a Lab Technician to join our growing team.  The technician will assists in the execution of research projects and assist with maintenance of research equipment and laboratory facilities. The ideal candidate will have a highly successful academic record, experience in the laboratory, a high attention to detail and capability to undertake independent research tasks. An interest in next-generation renewable energy technology, environmental entrepreneurship, and experience with bio-electrochemical systems is also positive.Job Duties:Execute research experiments by performing various laboratory protocols and proceduresAssist in care of research projects and troubleshooting of associated systemsPreparation of materials to support experimentsPerform laboratory analysis of water qualityCollect and analyze samples to build datasets relevant to current research and development projectsResponsible for data quality and integrity of assigned experiments.Responsible for working knowledge of theory and technical background of currently funded research projectsWrite, review, and revise internal standard operating procedures for routine data collection, maintenance and system careAssist research staff in writing and graphics for grant mandated reports, white papers and product manuals","Wet lab experience requiredBackground in environmental science, particularly wastewater analysis and treatmentAbility to conduct testing procedures from written instructions with precision and accuracyOne year experience in commercial or academic lab setting preferredBio-electrochemical system laboratory experience desiredBasic understanding of statistical analysis of lab data including calculation of mean, standard deviation and hypothesis testingKnowledge of general laboratory and industrial safety and potential hazards including proper protective clothing, chemical containment and biosecurityAbility to work with, or learn proper use and care of, common hand/power tools.Prototyping and hardware development experience desired",,0,0,0,Full-time,Entry level,Bachelor's Degree,Environmental Services,,0
12394,English Teacher Abroad,"US, TX, Austin",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
4624,Hadoop consultant,"US, CA, El Segundo",,,"Infolob Solutions is a leader in Managed IT services, Enterprise Application Software, IT staffing and Consulting and Application Portfolio Management. When we envisioned that the future IT consulting company we wanted to blend service, and technology to deliver measurable business results for our customers. We founded the company in 2009, and our team is composed of highly qualified and devoted professionals, with diverse backgrounds, creating a powerful mix of high quality specialists dedicated to providing our customers with one of the best products in the industry.","Hadoop DeveloperEl Segundo, Californialong termHadoop development - Hive, Pig, Sqoop, Map Reduce (2+ recent years)ETL development (5+ years)Informatica (5+ years)",Teradata (3+ years)Unix Shell Scripting (4+ years),,0,1,0,Contract,,,,,0
17448,Business Development Specialist,"US, UT, Salt Lake City",Sales,,,"About the Company:EventBoard (#URL_d92e56692206b4d5d56bda4b7b524138245744cf3857d4257482f21ad1b549ea#) started out as a simple concept to use the iPad as a meeting room display, indicating whether a room was occupied or available. Upon that concept, we have grown into one of the most exciting VC backed startups in Utah. EventBoard has been implemented by over 500 different clients across the world. Today, were focused on building EventBoard into a predictive analytics platform that will allow companies to track and optimize the workplace. The platform provides companies with the tools and software needed to track and analyze key data on company resources, allowing them to become more aware and more efficient. Weve seen the amazing ways companies are currently using EventBoard and are excited to see how companies will take advantage of the platform as it develops.To handle our rapid growth, were looking to add amazing new talent to our team. We believe in collaboration—we work hard together and we have fun together. Its a team thing and we like it that way. We want someone who is self-driven, detail-oriented, and consistently achieves their goals. Sound like you? Awesome, keep reading.About the Role:EventBoard is looking for a high-energy, self-starting, organized and individual with a desire to prove him or herself and move up the ranks. We are looking for a talented Sales Setter who will contact and qualify inbound and outbound inquiries for our sales team to close. This is commission based entry level sales position. There will be opportunity for advancement in this position if desired.How you will rock this position:Responsible for acquiring key prospect data from the initial conversation and putting that correctly into SalesForce.Serve as the initial point of contact with inbound prospects and with some outbound calling on targeted companiesResponsible for acquiring key prospect data from the initial conversation, from the web and other sources, and posting that data correctly in #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86#Responsible to set initial appointment with Sales Team and if appointment is not held, to reschedule with the prospectReach or exceed performance metrics on dials, profiles, appointments set, and conversion to a totally qualified opportunityServe as the initial point of contact with inbound prospects and with some outbound calling on targeted companiesProspect, educate and qualify leads to create sales-ready opportunitiesKeep abreast of the industry and technologies to ensure you are a trusted resource to prospects and customersSuccessfully manage and overcome prospect objectionsMaintain a thorough knowledge of products, pricing and processes.",Strong familiarity with Apple and iOS products.Experience in B2B sales is preferredExperience using Salesforce preferred.Excellent oral and written communication plus ability to communicate via telephone in an effective manner is required.Must be a team player with a positive attitude.,"Bring your awesome self and your passion for amazing products to help build this company into something incredible and well provide sweet things like a competitive salary, unlimited PTO, 100% paid health insurance, snacks and drinks, and Pizza Fridays (and sometimes Tuesdays or Thursdays). See the particular job requirements and then apply below.",0,1,0,,,,,Sales,0
7851,EROAD - Application Form,"NZ, , ",,,"EROAD was established to modernise New Zealands paper-based RUC regime and in 2009 launched the worlds first GPS/cellular-based road charging system. Our solution can be readily and rapidly scaled and deployed across entire jurisdictions because it requires no roadside architecture, and uses a SaaS-based web service.Were now a world leader in our field and expanding rapidly as we enter new markets.Joining EROAD is a great career move. We look at potential when were hiring, and your ability to grow with the role. We employ only the best, and ensure that our staff have the skills, training and technology to do their best work.How youll fit in at EROAD is important as well. Were really proud of our company culture and finding people with the right attitude is just as essential as a great CV.Working at EROAD means learning from people who are experts in their field. It also means working hard  we have to, to be able to grow as fast as we need to! But we encourage a healthy work/life balance and our low staff turnover tells us weve probably got the balance right.We have staff from all over the globe  25 countries at last count  and we may just have the highest rate of boat/surfboard/windsurfer ownership of any technology company, anywhere.",Please complete our application form and submit your CV. ,,,0,1,1,,,,,,0
11830,Delivery Services Manager,"US, WI, St. Cloud",,,"ABC Supply Co., Inc. is the nations largest wholesale distributor of roofing and one of the largest distributors of siding, windows, and other exterior building products. Since our start in 1982, we've grown to become a national organization with over 450 locations in 45 states. Our mission is to be the biggest, best, and easiest service company distributing exterior building products. We fulfill that mission by stocking the brands and products contractors need at competitive prices, operating a state-of-the-art delivery system, and actively listening to our customers. We know that we will only be successful when our customers are successful. Thats why we make good on our promises every day.","As a Delivery Services Manager, you will be in charge of the workload for our drivers and stockers as well as our fleet of vehicles and the numerous product orders that they deliver each day. You will report to the Branch Manager and coordinate with him/her on a variety of vehicle service issues and other problem resolutions. In your role as a Delivery Services Manager, you will serve as dispatcher for all drivers and deliveries and will ensure that all trucks and truck loads arrive at their destinations safely, smoothly, and on time.Your specific duties as a Delivery Services Manager may include:Scheduling and routing product deliveriesUsing ABCs Customer Service Delivery System (CSDS) to manage orders and set up deliveriesEnsuring that trucks are properly secured by driverMaking sure that trucks are not overloaded and that all loads fall within standard weight limits for each vehicleAssessing job site delivery requirements and assigning appropriate delivery vehiclesResolving all vehicle safety issues logged by drivers by routing vehicles to the appropriate service facilities for needed repairsKeeping customers informed of delivery statusEnsuring that all driver documentation is submitted in a timely mannerAssigning spotters to all boom truck deliveriesEnsuring that all OSHA and federal road procedures are followedResolving all delivery problems using job site photosEnsuring that all CSDS order sheets are filled out accurately and completely and contacting Branch Manager if there is a consistent problemEntering all routing information into CSDS and updating routing information as neededNegotiating with outside mechanics/garages for fleet maintenance needs and ensuring that all repairs are completed properly and returning trucks to garage if necessaryWorking with corporate fleet maintenance department to track fleet maintenance costsConferring with Branch Manager to select equipment/truck replacements and upgradesScheduling new equipment training seminars for drivers and ensuring that all drivers are fully certified on all trucks in fleetEnsuring that all drivers CDL licenses are current and reminding drivers when their licenses or medical cards need to be updated","As a Delivery Services Manager, you must have positive, empathetic, and professional customer service skills and the ability to manage customer expectations and problems in a helpful and diplomatic way. You must also be able to organize and manage multiple tasks, responsibilities, and crises simultaneously while maintaining a calm, competent demeanor. It is also important to your role as a Delivery Services Manager that you are knowledgeable about the street layout of the local area that your branch services, as well as specific limitations such as one-way streets, low viaducts, and so forth. You must also be willing to work long hours, since you may be the first to arrive in the morning and the last to leave at night.Specific qualifications for the Delivery Services Manager position may include:Excellent verbal and written communication and interpersonal skillsProficiency with computer data entrySuperior organizational skills and highly detail-orientedGood reading and writing skillsMechanical knowledge and skills strongly preferred","As a Delivery Services Manager, you will receive on-the-job training in your various duties and responsibilities, plus regular additional training opportunities. Since we prefer to promote from within, you may have opportunities to advance to roles such as Branch Manager. We value your hard work and professional dedication as a Delivery Services Manager and will reward you with a competitive compensation package, including benefits. Your benefits package as a Delivery Services Manager may include:Health, dental, and vision coverageLife insurance401(k)Flex spendingBonus opportunities2 weeks vacation after first full year of employmentPaid personal daysPaid sick daysPaid holidays",0,1,0,Full-time,,,Building Materials,,0
6000,Sales Support,"US, CA, Los Angeles",Sales,50000-55000,"GPL Technologies is a solutions provider focused on the design, implementation, and support of high-performance information technology systems.  Founded in Los Angeles, California in 2003, we draw on over a decade of expertise as trusted technology advisers, adding value for our customers by offering unique methods of improving IT efficiency, streamlining complex systems and environments, and reducing the costs associated with acquiring and maintaining IT systems. GPL cut its teeth serving the intense requirements of customers in the media and entertainment industry.  We bring that work ethic with us to every customer: time is money, deadlines are non-negotiable, and the show must go on.  Our company is comprised of creative, independent thinkers with a passion for technology.  We love big data, fast networks, and solving the problems posed by today's digital media production pipelines.If serving clients who think a quarter petabyte of storage is a starter system sounds like fun to you, or if you love dealing with the dynamic people and personalities in the fast-paced media and entertainment industry, we might be a great fit for each other.  Send us your resume and lets talk.","Want to join an exciting industry and work with cool clients?  If youre a self-starter, professional, energetic and know how to get an appointment then this is the perfect fit! We are an IT services firm that caters to some of Hollywoods most notable Movie Studios, Gaming Companies and Visual Effects Houses. We provide high-performance storage and networking solutions, render farms with 2D/3D workflow, editorial pipelines, etc.  At the end of the day we provide the technology infrastructure that goes into making great movies and leading edge games. We are seeking an A-player to join our growing team and be a part of our thriving culture.  This is not a job, this is a career with a great compensation plan and long-term professional growth. The position is for an Inside Sales Support Associate.  This role requires a person with the ability to manage organized many different requests to be successful; generous compensation and a fulfilling career is the reward.Expected Activities:Work with outside sales to register deals, create quotes and follow up with clients.Work directly with the sales team to ensure that they spend as much time as possible helping clients.Facilitate the proposal development, follow up activities, and other issues related to closing the sale.Support the Operations team when they need additional assistanceMaintain close contact with clients to provide up-to-date order and tracking information.Ensure customer satisfaction by following up on sales, processing any returns required, and generally making sure clients are happy with their experience.Coordinating/negotiating vendor partnerships with the ability to be agile. To be a successful candidate, you should be able to demonstrate your experience and previous achievements.  Other factors include communication and presentation skills, computer savvy and industry experience.  ",Sales support backgroundComputer Literate - CRM and Microsoft Office,Health Care,0,1,1,Full-time,Entry level,Bachelor's Degree,Computer Hardware,Sales,0
784,Front-End Web Developer,"US, IL, Chicago",,,"Frequency540 (FQ540) is a full service digital agency focused on creating prosperity for our clients, our people, and partners. Our backgrounds include social purpose strategy, entrepreneurship, marketing, advertising, design, media, retail and event curation. Were looking for talented people to join our dynamic team and work with clients including US Cellular, Caterpillar Foundation, and Starwood. ","Frequency540 is a full-service agency that works with clients who are eager to matter more, and who need new strategies and tools to do so. Our clients hire us because they long to be in a more meaningful conversation than current transactions allow. We believe what they are seeking is found when purpose, passion and product align. And the result is prosperity for all.If you have found the way agencies have been doing business is not working for you, and you care about being part of something larger than yourself, we are with you. Together, lets push the boundaries of what is possible.We are seeking a Front-End Web Developer that is ready to join this dynamic team. Key ResponsibilitiesDevelop innovative media and business solutions for stable, secure, web-based applications through a standardized software development life cycle.Deliver best-in-class HTML5/CSS3/JQuery front-end code across a broad array of interactive web and mobile projects.Provide complete technical documentation, code comments, and readable code that is properly stored in a version control system.Participate in discussions with clients and team members about technical best practices and help teams identify optimal technical solution.Leverage open source tools and platforms to ensure cost-effective delivery against project requirements.Work with client services, sales, and design teams, managing time across multiple projects and tasks in a deadline-driven, team environment.Assist Business Development and project teams in scoping estimating and presenting work for medium to small sized projects.","4-7 years of web development experience with a focus on iterative, agile approaches.Extensive experience in HTML5, CSS3 and responsive web design (mobile/tablet).Experience with PHP, Flash, and video serving desired.Experience working with jQuery and other JavaScript libraries and frameworks required.Experience with web analytics and tracking integration.Familiarity with Git-based source control.Demonstrated experience with third party ad serving a plus (e.g., Point Roll, FlashTalking).College degree requiredWork requires a flexible schedule and availability after hours and on weekends if issues arise.","Frequency540 offers a competitive salary and a full list of benefits, including health, dental, and disability coverage and a 401K plan. You will work in a fun and creative environment with a talented group of individuals that have a passion for doing great work.We are open to local candidates only.An Equal Opportunity Employer ----- M/F/D/V.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Information Technology,0
14080,Beauty & Fragrance consultants needed,"GB, , Leeds",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury beauty &amp; fragrance consultants needed!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary &amp; permanent beauty, fragrance, fashion &amp; retail staff. We are recruiting now for beauty &amp; fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care &amp; perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to #EMAIL_11046aef5219327c0db0b1c912c1ba032e7a569ddfc256050c7a98cd16ae9e57#",,,0,1,0,,,,,,0
10757,Beauty & Fragrance consultants needed,"GB, , Bangor",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury beauty &amp; fragrance consultants needed!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary &amp; permanent beauty, fragrance, fashion &amp; retail staff. We are recruiting now for beauty &amp; fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care &amp; perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to #EMAIL_11046aef5219327c0db0b1c912c1ba032e7a569ddfc256050c7a98cd16ae9e57#",,,0,1,0,,,,,,0
11396,Typist/Stenographer,"IN, DL, New Delhi",Office Support,180000-240000,"Blues Jewellery is a name which epitomizes Elegance and Opulence. Established in the year 2008 in the heart of South Delhi, Blues Jewellery operates out of a sprawling establishment of 7000 Square Feet spread over four floors of pure Indulgence with each floor catering to a specific type of Jewellery. The company has established itself as the desired destination for connoisseurs of magnificent and unique pieces of jewellery that are hand crafted for those seeking perfection. Owing to their continuous pursuit of excellence, BJC has garnered the love and affection of diverse clientele not just from India but also form a variety of markets including Dubai, United States, United Kingdom and Iran.Blues Jewellery is synonymous with elegant and timeless designs. Its belief is that True elegance lasts foreverTM . With this as the bedrock as their business philosophy, Blues Jewellery has laid the foundations for its sophisticated designs. Their jewellery celebrates love, romance and relationships and blends the art of jewellery making with the art of jewelry design. Their designs are largely inspired by the elements of nature and the desire to give rebirth to the lost art of Elegance.Committed to purity and complete transparency, Blues Jewellery has stringent quality control procedures in place and works only with some of the best manufacturers in the industryThey are also one of the few authorized retailers of Forevermark diamonds, which is a brand of the DeBeers group.","Takes dictation and types documents.Sends and receives emailActs as a receptionist, answers phones and communicates simple information.Files information in and extracts information from files.Types, or produces forms, documents and correspondence using word processing equipment and software.Enters data into a database.Distributes mail within office and prepares outgoing mail.Records information on departmental records.proofreads documents","Shorthand is compulsoryTyping Speed of at least 75 words per minuteGood command over the English Language.Excellent Punctuation, grammar and spellings.",Medical Insurance allowanceAccidental Insurance allowance,0,1,0,Full-time,,Bachelor's Degree,Luxury Goods & Jewelry,Administrative,0
8416,Graduates: English Teacher Abroad (Conversational),"US, OH, Bowling Green",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cards",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
14455,Compliance Analyst,"US, NC, Charlotte",,,,"IT Compliance Analyst This analyst will work in a fast-paced environment supporting many IT projects and initiatives. The position will focus on assisting Product Line Managers and IT Sourcing Specialist with establishing contracts for information technology software products. Efforts will include reviewing software licensing models and agreements from vendors, as well as educate Product Line Managers on what licenses they currently have deployed to ensure compliance.Duties include but are not limited to the following:• IT Contract Compliance Program:o Carries out assigned tasks in support of Software complianceo Demonstrates basic knowledge of IT Architecture(s) and use of related technologies to manage complianceo Displays basic knowledge in the use of discovery tools and procedures• Process Managemento Ensure vendor/software renewals are current and reflected in contract management tool. Support of midwest divestiture","Experience with software licensing and use of a contract management tool highly desiredBasic Qualifications•Education: Bachelors Degree or a combination of education plus equivalent work experience• 5-7 years directly related IT experience• Experience with IT Technology, Software licensing and/or Contract Management.Desired Qualifications• Very team oriented and possess a passion for effectiveness• Works effectively with defined direction and supervisory review• Demonstrates good listening skills and puts forth the effort to understand others points of view• Has the ability to manage confidential information with a high degree of integrity• Responds well to supervisors, is easy to challenge and develop, and is easily coachable• Is able to present information on technical subjects in an understandable manner in both oral and written form to teammates and clients. ",,0,1,0,,,,,,0
14943,Customer Service Print Specialist,"US, MO, Springfield",,26000-30000,,"Counter Person for Busy Print Shop:Growing Springfield printing company [Digital Print Ink] is looking for an experienced full-time Customer Service Account Manager to join our team. [Commercial Printing experience not required but a plus]  If you are not good at meeting and dealing with people in a business to business environment do not apply.  I am easy to work for but very picky during the hiring process.  Your job will include, answering phones, counter sales, assisting customers, spoiling customers, preparing quotes, entering orders, operating various copiers, and fax machine. Starting pay is $14.00/an hour, if experienced in printing otherwise $12.50 an hour with fast track to $14.00 an hour over first 24 months.  There is A LOT to learn, so expect to be learning for a long time at least a year.  We'll pay you of course but we will expect to see progress.  Even though this ad seems direct in a way, it's because people really like working here and we can be picky in the hiring process.  You will receive 7 paid holidays, employee health insurance, paid vacation after one year. If you get the job you will be working for Springfield's highest rated print &amp; mailing company. [Check us out on Google Reveiws - 26 FIVE Star Reviews] No one else comes close.",SmartPeople PersonSmiles a lotSmall egoPractices the Golden RuleGood attention to detailKnow Microsoft Word &amp; Excel,"Seven paid holidays, [includes day after #URL_d9efc03961a1cd411e47ea98490420e7fb19e90aa8fd6838f3b57b39db3885fd#Health InsuranceVacation after first yearPaid every two weeks [26 times a year]We don't put up with pettiness, backbiting, whining, crying unless its out of joy for how happy our customers are.We give cookies to every customer, so you might get a nice hot baked cookie regularly.  ",0,0,0,Full-time,Associate,High School or equivalent,Printing,Customer Service,0
15183,Injection Molding Supervisor,"US, IL, Chicago",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Injection Molding Supervisor - PLC -An Operations Manager_Injection Molding is required to have Worked in a supervisory or operations management capacity in a small to medium size manufacturing or distribution companyQualifications Required:Materials/ inventory control experienceFamiliarity with Maintenance programsExposure to Quality control and related documentationBi-lingual English/Spanish is a big plus, but not requiredJob Responsibilities:Have a direct impact on the continued success of a company which has doubled its production twice in the last 2 years(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.) Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Management Consulting,,0
5900,Mid Weight Digital Designer,"GB, LND, London",Creative,,"At Karmarama we have a unique hiring policy: nice, talented and decent people who genuinely want to do what's right for their clients. Or 'keine wixer bitte' as our German friends say.We believe in good works. We put our clients at the heart of everything we do and only focus on doing what's right to help them win.We're always glad to meet nice, talented people. So if that's you and you're interested in joining the Karma Krew, please get in touch via the link below and we'll put you in touch with the relevant people.","Mid Weight Digital Designer Reporting to our digital design director, you will be responsible for looking after the design for one of our largest standing multinational clients. this candidate will have strong design skills particularly working for either major telecom partners, corporate, or financial institutions. At the core, you will be proficient designing visual systems as they reflect complex style guides as well as stand alone products and campaigns related to the this brand. you will also have strong communication skills while being client facing and articulate your work accordingly. ","Experience 3-5 years experience  • Strong experience with User Interface Design (UI) and an knowledge of User Experience (UX).• A stand out portfolio showcasing a range of experience working with top brands.• Expert knowledge of Adobe Creative Suite• Direct experience in being client facing as a design lead• Experience working on corporate websites, banners, emails and mobile.• Also it would be a bonus to have a good understanding of HTML, CSS and Action Script. In return the candidate will get to work with an award winning creative agency where theyre hard work will be rewarded with great company benefits.",,0,1,0,Full-time,Mid-Senior level,,Marketing and Advertising,Design,0
7050,Estimating Engineer,"US, TX, Houston",Oil and Gas,140000-150000,"Middle East Recruitment is a specialized recruitment and consulting agency. Established since 1997 founded by professionals that understand the need for exceptional people in the corporate world, with headquarters in London. Middle East Recruitment has become successful in providing the best talent and consulting services to an array of industry sectors.","Major Oil &amp; Gas Company in the Kingdom of Saudi Arabia is seeking highly skilled Estimating Engineer  to work in their company, throughout KSA. UP to $156000 USD Tax Free. Looking for experienced Estimating Engineer The assignment will initially be on a year contract basis, with a view to being extended thereafter.Interview workshops will take place in:      USA (HOUSTON)               8 JUN, 2014Please Note: Interviews can only be conducted face to face NO phone or Skype Interview.If an applicant is selected to attend to the interview will be reimbursed for the travel and hotel cost for up to $1000 on the day of the interview regardless if you get the job or not.Deadline of submission 8 MAY, 2014 This is a Face to Face interview onlyPlease apply only if you can attend to the interview on the above location. As there will be No phone or Skype interviews. Many thanks and wish you all the best.","BS degree in engineering with minimum 6 years experience ORB. Tech engineering with minimum 8 +years experience ORHigh School Diploma with minimum 10+ years experience.Estimating experience devoted to petrochemical, refinery, pipeline, or power generation projects.Must be familiar with construction practices, materials and equipment. Ability communicates fluently in both spoken and written English.Construction experience and/or preferredPetrochemical experience and/orOil and gas experience preferred","Salary up to total $156k, inclusive of allowances Tax Free - Accommodation- 3 leave a year with free air-tickets- Free medical care/medical insurance- 1 year contract renewable with merit increase- Long term employment opportunity- Car provided- Tax free",0,1,1,Full-time,Mid-Senior level,High School or equivalent,Oil & Energy,Engineering,0
12232,Insurance Benefits Sales Consultant,"US, NY, New York",Sales,,"Aflac At A GlanceA Little About UsMore than 50 million people worldwide have chosen Aflac insurance because of the confidence they get from knowing they will have financial assistance when an illness or serious accident occurs.  Now thats something to quack about!QUACK FACTSAflac is a Fortune 500 company.Aflacs assets at year-end 2012 totaled more than $118 billion with annual revenues of more than $25.4 billion.Aflac is the number one insurance company in terms of individual insurance policies in force in Japan, insuring approximately one out of every four Japanese households.Aflac has a presence in all 50 United States, and in Puerto Rico and the Virgin Islands. Aflac Incorporated, its employees, and its independent sales associates have contributed more than $79 million to the Aflac Cancer and Blood Disorder Center of Children's Healthcare of Atlanta.Aflac is committed to a healthy environment and sustainable business practices.","Imagine . You being in control of your career. You being at the drivers seat. You achieving your goals. You having the success you deserve. You living the life that you deserve. What does that look like to you? What does that feel like?What would you do if you had all the time you wanted? What would you do with all the money you desired?Massive growth in health care and health insurance is causing Aflac to expand its Benefits Consultant and Sales organization. We are currently looking for people who are ready to put their career into explosive hyper-drive.What does the Benefits Consultant role do?The Aflac Benefits Consultant is an insurance sales role that is key to driving new revenue for your new business and Aflac.Everyday you spend time talking to business owners (B2B) about their current health insurance situation.You will introduce Aflac insurance to the business owners.If there is a good fit, you will then present and sell Aflac insurance products to their employees.You then grown a book of business that you maintain with your own set of clients.You will manage your own business.Most employees are living paycheck to paycheck. And 25% have less than $500 in the bank which means when medical issues arise, they may quickly run into financial trouble. Thats where you come in. We help protect our policyholders assets and income. While this is a sales role, the Benefits Consultant is a trusted advisor to your clients.","It's hard to describe the ideal candidate for an independent insurance career with Aflac, because we've seen so much success with such a variety of individuals.Does this describe you?Entrepreneurial SpiritStrong Drive for ResultsAction OrientedSeeking Greater Income OpportunitySuperb Time Management SkillsExcels at Building RelationshipsHighly Self MotivatedPerseveranceIf that sounds like you then you may just be what we are looking for.The Aflac Benefits Consultant / Sales role is ideal to people are looking for:Full TimePart TimeRetirees ( Police Officers, Teachers, Sales, Real Estate etc. )New CareersSecond Careers ( Police Officers encouraged to apply )","Previous sales experience is not necessary*. We are looking for people who want to help others and are trainable. The *Aflac Sales Academy provides as much training as you may need to be a successful sales consultant. Field training  you will be working with an experienced agent or coordinator.When considering working for yourself, Aflac has what's needed for your business to be successful.",1,1,0,Full-time,Not Applicable,Unspecified,Insurance,Sales,0
4226,Mechanical Engineer - Product Design,"NZ, N, Auckland",,50000-70000,"Blender Design is an award winning product design consultancy based in Auckland, New Zealand. We are a team product design and development specialists serving a range of industries. We build successful products and businesses from great ideas.We design and develop: consumer electronics, architectural hardware, marine &amp; outdoors, consumer products, office furniture, animal health, clean-tech, electric vehicles, and more ...We partner long term with our clients to add value through design and innovation; providing a competitive edge in the market. From simple sketches or detailed drawings we offer our fresh creativity and technical expertise to develop market oriented solutions. ","We are looking for a creative and highly skilled Product Development Engineer to join our dynamic company of young creative minded people. We specialise in the design and development of high tech electronic products, marine equipment, outdoor equipment, electric vehicles and more...Blender Design is an award winning innovative product design consultancy based in Auckland. We shape great ideas and build them into successful products using the latest technology and best practices. We are moving into a new phase of company growth - and we're looking for top notch people who want to be involved in something awesome!Job BriefYour role as a Product Design Engineer will involve a range of critical problem solving tasks within the product development process. You will be responsible for high level CAD design and modelling as well as producing drawings and specifications for prototyping and mass manufacture.Your exceptional communication skills and ability to build strong professional relationships allow you to get the job done and solve problems efficiently. Ideally you have expereince designing plastic injection molded parts and dealing with complex assemblies, fine tolerances and a high level of detail.","You will have ...A creative mind with strong brainstorming and problem solving skillsA passion and keen eye for beautifully designed productsA Mechanical Engineering or Product Development qualification or similar5+ years experience in mechanical engineering developing products from concept to production5+ years using SolidworksA solid understanding of core concepts including mechanics, kinematics, materials science etcAdequate knowledge of engineering analysis tools (FEA)A solid understanding of the new product development process and best practicesA deep knowledge of the latest materials, manufacturing processes, and prototyping technologiesGood general workshop skills and experience making stuffExceptional communication skills and the ability to work effectively in a teamGood time management and organisation skillsA desire to learn, embrace change, and develop new skills and knowledgeIt would be cool if you had ...Hands on experience with computer aided manufacturing (CAM &amp; CNC)Experience setting up and running mechanical product testsExperience designing electronic products and working with EE teamsAn interest in cutting edge technology, innovation, and well designed things","Well, apart from paying you in the currency you desire (gold, bitcoins, or just cash!) you will be part of an awesome team of innovators, creators, and do-ers that enjoy building new products the world hasn't seen yet.And, we offer a creative team working environment in our office in Auckland, New Zealand, with coffee machine, awesome computers with dual screen, 3D printer, well equipped prototyping workshop, and plenty of other cool techy stuff  to play with.Salary will be commensurate with your experience and ability. Only short listed applicants will be contacted.Make an impact and join the team!",0,1,1,Full-time,Not Applicable,Bachelor's Degree,Design,Engineering,0
5642,Information Architect / UX Designer,"US, CA, San Diego",,,"PINT is a leader in the web industry with 20 years of web design, software development and consulting experience. PINT's principals are also the founders of two software companies - ZingChart and Port80 Software.We not only build sites and applications for leading organizations in California, the U.S. and worldwide, but help move the industry forward with our books, instruction at universities, and conference participation.PINT's CultureFrom keyboard-bashing coders to creative, caffeine-driven web aficionados, at PINT not a day goes by in which our employees aren't learning, teaching, and continually being technically and professionally challenged.The amount of experience and knowledge your co-workers share is often a crash course in advanced web development and software engineering principles. Conference room walls tiled with post-it note mosaics of user interview questions and printed web dev decoupage throughout the office poise PINT on the bleeding edge...exactly where we want to be.Foodie focused Friday mornings are often highly anticipated and enjoyed among the members of the weekly PINT Breakfast Club.","We are seeking an intelligent and thoughtful information architect and user experience designer. As an IA you will develop, cultivate and drive the adoption and acceptance of user-centered, standards-based websites. We are looking for an individual with a passion about the intersection of the web and user experience.Job Description / ResponsibilitiesWork with senior staff, clients, stakeholders and users to discover and develop client requirements for web projectsEmploy and facilitate various user research methodologies including surveys, interviews, observations, etc.Perform business process analysesPerform heuristic analysis of websites and web applications providing recommendations for enhancementHelp develop scenarios, use cases, workflow processes and system requirementsHelp define and iteratively refine user interface (UI) designsCreate and maintain requirements documents (functional specifications, site maps, wireframes, storyboards, flowcharts)Facilitate user acceptance and validation of the above-mentioned deliverables","1-3 years recent experience discharging all or most of the above responsibilitiesExcellent written and oral communication skills with the ability to present ideas, solutions and project deliverablesAbility to organize and disseminate information quickly and efficientlyStrong orientation towards customer service and responsivenessAbility to multitask and collaborate in a fast-paced environment while maintaining a professional and courteous demeanor with customersStrong time management skills and prioritization abilitiesFamiliarity with prototyping/wireframing tools such as Omnigraffle, Axure, etc.Basic familiarity with client-side Web technologies (HTML, CSS, JavaScript)Bachelor's degreeDesired Qualifications1 to 3 years experience using the above skills in a Web design/development contextFormal training, coursework and/or certification in one or more of the following fields: Human Computer Interaction, Information Architecture, Interaction Design, User Interface Design, Human Factors Engineering, User Centered Design, Business Process Analysis/EngineeringWorking knowledge of one or more client-side Web technologies (X/HTML, CSS, JavaScript, DHTML, Flash)Web development training/certification a plus  ","PINT offers 100% company paid medical, dental and vision benefits, a 401K and paid vacation, holidays and sick time.PINT is an Equal Opportunity Employer. Follow us on Twitter @PINTSD",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Accounting/Auditing,0
1789,Inventory Analyst,"US, CT, Unionville",Purchasing,,"Do you have an entrepreneurial mindset? Do you dream of working in a fast paced startup environment? If so, then Honest Office is the type of company you are looking for.Honest Office is a fast-growing internet retailer that sells over 100,000 items on multiple internet marketplaces. We have recently been featured on Internet Retailer's Top 1000 list. This list is comprised of the largest ecommerce companies in the United States based on revenue. We have also been featured in Internet Retailer Magazine's article ""2012 Fastest Growing E-Retailers"".We pride ourselves on treating people right. We work hard but have fun at the same time. Life is too short not to enjoy what you do everyday. We are always looking for new team members with high energy and positive attitudes!","Description:Honest Office is an internet retailer that sells office supplies and other consumer products on various internet marketplaces. In 2012 and 2013 we won a spot on the Internet Retailer Top 1000 list. We were also recognized by Internet Retailer Magazine as one of the country's fastest growing e-retailers.  We work hard but we also like to enjoy ourselves while doing it. We strongly believe in enjoying what you do for work!This role will entail managing our inventory to optimize sales and ROI. Our inventory is housed in warehouses around the country, which means no physical processing of the inventory is needed for this role. The main function of this role will be reconciling received inventory versus billed inventory in our management system. This position also involves reconciling the ERP system's inventory-on-hand number versus warehouse count documents.Attention to detail is an absolute must! You must love working on the computer and be very comfortable getting around the internet. Previous experience with purchasing, logistics, and inventory management is preferred. Excel will be used heavily in this role so you must be very comfortable with it. This is an office job and not a warehouse position. This position is part time and the schedule is flexible. The position will be approximately 10 to 20 hours per week. We are willing to remain flexible if we find the ideal candidate for the position.We believe in work-life balance so this may be the perfect position for someone looking for a flexible part-time role to fit their busy schedule. Compensation can be discussed during an interview. This is not a position for someone that is looking for a full-time position but willing to settle for a part-time position.Duties:Maintain inventory by monitoring minimum and maximum stock levels.Analyze inventory to ensure profitability with each SKU.Reconciliation of received inbound stock orders.Research problem invoices/receipts.Maintain purchasing and inventory database. ",Positive attitude!Very comfortable with the internet and various websites.Microsoft Excel ExperienceInventory Management Experience (preferred)Supply Chain Management Experience (preferred),,0,1,1,Part-time,Associate,Unspecified,Internet,Purchasing,0
8423,UX Designer,"US, CA, San Francisco",,,"At iMATCHATIVE, we believe in the power of science and technology to inspire creative solutions and to transform businesses. We apply big data, superior analytics, psychological assessment tools and proprietary algorithms, along with human intellect and professional experience, and a healthy dose of imagination, innovation and integrity, to develop innovative products.We are pleased to introduce altX, our first product, an automated, two sided, online platform that promises investors and hedge funds alike, deeper, more intelligent analytics and searches and a more efficient, less expensive capital allocation process.","Imatchative, an industry-disrupting finance and technology startup in San Francisco, seeks a user experience designer to bring modern design thinking to an industry that often lags behind the times. Imatchative has been building a new platform for qualified investors to find the right hedge fund for them -- and one that allows hedge funds to better showcase their unique offerings. The team has been growing by leaps and bounds and the demand for excellent design with it. Our small design team needs to expand to meet this. Imatchative needs a designer to tackle interesting and meaty projects alongside small ones to build something that truly stands apart and above other experiences our customers may have had in the past.In the initial weeks, expect a rapid learning curve on hedge funds, psychology, matching, and our general philosophy so that you can create paths and experiences that all types of users effortlessly and logically navigate. You would be an integral part of the product team to help realize a vision - so that means getting things out the door in a timely manner, while maintaining a high level of excellence and keeping an eye on larger company goals.Specifically, we're looking for someone to:Work closely with product, engineering, and subject matter experts in defining the user experience and creating interaction design specifications and designsDeliver designs ready for implementationOwn development of designs for key site interactions and user flowsApproach complex design problems and convey solutions in a fresh wayEnjoy being stimulated by intellectual challenges and delight in solving problemsWork closely with visual designers, but occasionally do some visual design workAdvocate for design as a way to achieve key business objectives","We are looking for someone who possesses:Demonstrated experience developing and designing various UI elements — low/high fidelity wireframes, mockups, site maps, basic prototyping, storyboards, task flows, design specifications, and/or landing pagesBasic knowledge of typography, color theory, and branding to assist in visual design work - or to provide feedback on itA sense of urgency to meet deadlinesAn ability to articulate roadblocks and identify potential solutionsSome experience in, or at least an appreciation for, designing graphs and chartsUnderstanding of modern web standards and technologies - HTML/CSSHeart to take a project from concept &amp; wireframes to visually-designed interfacesCareful attention to detail while maintaining the larger perspectiveA BS/BA in Interaction Design, Human-Computer Interaction, or related field OR commensurate experienceBonus: Experience designing financial, search, matching, crowd-funding, or other related productsNote: This is a local only job and you must be able to commute to our San Francisco officeAnd who desires to:Be a subject matter expert in the area of interaction design, experience design, design technologyStay up-to-date on current trends in interaction design for web, mobile, desktop and tablet appsWork in an Agile work environmentCommunicate constantly and collaborate with an interesting cross-section of team members from product, engineering, business development/sales, data, marketing, psychology, and data science with backgrounds in new product development, capital raising, physics, psychology, and television ",,0,1,1,Full-time,Mid-Senior level,,,Design,0
16070,Senior Strategic Buyer - Supply Chain MS Office - PA,"US, PA, Philadelphia",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Senior Strategic Buyer is required having responsibility to develop and implement cost reduction strategies while collaborating with procurement engineers, design engineering, and suppliers.C - 20Job Responsibilities: Work cross-functionally within the organization between engineering, supply chain, finance and manufacturing to procure and manage components that are critical to their ever growing portfolio of innovative products.Develop and implement cost reduction strategies while collaborating with procurement engineers, design engineering, and suppliers.Continuously evaluate, and implement world-class, global suppliers capable of supporting their technology needs Project management with supplier teams with the goal of cost innovation Inventory management and optimization Opportunity to strategically source and structure the electronics supply chain for future growth and success Supply chain risk mitigation.Facilitating early supplier integration into new product design. Supplier relationship management","Minimum 5 years work experience in business negotiations or supply chain management.Strategic thinking, with innovative ideas and a keen interest in cutting edge supply chain principals with the ability to effectively communicate ideas.Must have: strong communication skill, negotiation skills, project management, problem solving, assertiveness, business savvy.Computer skills required a MS Office     Educational Qualifications:Bachelor's degree, MBA a plus.We Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,0,0,0,Full-time,,,Marketing and Advertising,,0
6564,Executive Assistant,"US, CA, Claremont",,,,"Job BriefWe are currently seeking an Executive Assistant with a professional background and direct experience supporting a senior level company executive. We are an entrepreneurial company, and are truly a leader in our field offering a unique, unequalled service. We are recruiting for a bright individual to work from our busy Claremont, California office. Our company is growing and looking to add outstanding professionals to our team.Working directly for the CEO you will be responsible for providing Executive and Personal support. Involved in all areas of the business you should be assertive by nature, have a strong sense of initiative, and have business acumen. You should be comfortable with marketing and writing.In return you can expect a salary, benefits and an opportunity to share in the company's successes. ","Position RequirementsThe skills and attributes required to successfully fulfill these responsibilities include: Related post secondary education and several years' directly relevant experience in progressively responsible administrative support positions, or an equivalent combination; Excellent attention to detail; Self-motivated and ability to take initiative in complex matters; Ability to work independently and provide direction to others; Strong results orientation to collaboratively share ideas and information and work within a team environment; Ability to follow instructions and proactively approach senior leaders or others with questions and/or to seek clarification; Well developed communication and interpersonal skills, including the ability to exercise tact and discretion in handling confidential information; Strong organizational and time management skills to plan, schedule and coordinate multiple activities in an environment of tight deadlines, priorities and requirements; Advanced computer skills: Word, Excel, PowerPoint, Outlook, Quickbooks;Please send your resume with salary expectations.","Salary, performance bonus and benefits",0,0,0,,,,,,0
2737,Independent Contractor Fitness Professional,"US, , ",Trainers,,,"Wello is expanding our network of health &amp; fitness professionals following our acquisition by Weight Watchers in April. We're looking for top-notch, experienced fitness professionals to join our on-line training team on an independent contractor basis. Personal trainers, yoga instructors, Pilates instructors, corrective exercise specialists and other fitness specialists are welcome to apply. For more information and to apply, please visit: #URL_e2e2f5b879f8e3014a989d4f9d8b6db9cf0db96d1777137f121d5583fb61103a#Wello is changing the way that people get and stay fit by connecting fitness professionals with clients over live, interactive video for convenient, affordable and effective workouts. Wello enables clients to easily search for, schedule and pay for 1-on-1 and group workout sessions with Wello-vetted fitness professionals. Wello sessions can be done from home, a hotel room, office or local park. A computer, webcam and internet connection is all that's required  no fitness equipment needed.#URL_006d3f5ccb3908066190112d35d8c8930b64b873f6356a75c2093bcf91c7b6d0#",,,1,0,0,Contract,Not Applicable,Unspecified,"Health, Wellness and Fitness",Other,0
2029,Money Hungry Sales Professionals (B2B) Needed,"GB, LND, London",Sales,,,"A new start-up marketing company is looking for money hungry, target driven individuals looking for a new challenge.Individuals with sales experience are welcomed. However, not essential. The desire to succeed is imperative.Full training is provided!Position is mainly commission based with large commission payouts as well as dazzling incentives for top performers.",A desire to succeedConfidentGood organisational skills - must be able to manage their own diaryExcellent communication Fast-learnerAbility to use own initiativeMust be able to exceed targets,Commission + Incentives,0,0,1,,,,,,0
5387,Lead Business Intelligence / Business Objects (BOBJ) ,"US, TX, San Antonio",,,"Electus believes in long-term relationships with our candidates. We provide a combination of recruiting expertise, data, and industry experience to help you find an ideal match for your current skills and future aspirations. Our close working relationships with our clients allow us to offer you opportunities not available to the general public.As a job seeker, when you are dealing with Electus Recruiting, you are dealing with a reputable recruitment firm that only hires intelligent, knowledgeable and dedicated recruiters whose job it is to assist you in furthering your career ambitions. Our recruiters take every measure possible to put job seeker concerns before profitability.  We are very careful about the clients whom we take on. We preach open communication and take every step available to make the interviewing, negotiation and job offer process fast, simple and lucrative.","The ideal candidate must have a background in BusinessObjects implementations and familiarity with data warehouse and business intelligence environments.The Lead is responsible for gather customer requirements, day-to-day customer communication and ability to transform business requirements to the technical team and drive the DW/BI development activity.Responsible for leading and monitoring the activities necessary for the ongoing support, maintenance, and enhancements to one or more line-of-business systems. This includes detailed analysis and design of modifications and enhancements to existing systems so that developers can implement the documented changes, as well as actively resolving day-to-day support issues. ","Customer Facing role which includes business requirement gathering Transitiong business requirements to technical specs for the BI/DW team Responsible for delivery management to customer Lead architecture and drive technical team day to day developmentsProvides business analysis and project management services to assigned areas of the business.Analyzes business needs, eliciting requirements, performing process review, and documents required specifications; ensures business processes and technology meet the business partners needs.Possesses an understanding of major IT systems.Controls projects through identification, tracking, and measuring of project goals, risks, and objectives.Mentor and/or provide leadership to techincal team.Must have Skills:* 10+ years of Business Analysis, Data Warehouse and Business Intelligence.* 2+ years of experience as a technical lead and architect in DW/BI projects* 8-10 years experience with SAP Business Object technology stack Reporting, Admin &amp; Installation, ETL. * Clear, logical, and effective verbal/written communication and presentation s",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
2000,Temporary QA Tester,"US, VA, Richmond",,,"We're artists, thinkers, and doers in an open, collaborative, and challenging environment. We love to work our hearts out, but we also love beanbags, wasabi peas, and yoga. We hope you do, too.What do we do? We develop interactive training content and mobile-friendly software apps that empower and educate employees and customers from hot new startups to Fortune 50 companies.","Your friends would say youre the person who cant help but tuck in a tag when you see it sticking out of someones shirt. You believe that the devils in the details and nothings more frustrating than software that doesnt perform the way its supposed to. Youve got Jedi level organizational skills, and your track record for following through with tasks is close to perfection. You get jazzed just thinking about troubleshooting, tracking down bugs, juggling tests on multiple browsers, and communicating clearly with team members to solve problems. We're looking for a temporary QA Tester to join our team from late August to late November. Key responsibilities include:Following detailed testing plans to identify and mitigate errors in software, eLearning courses, simulations, and mobile applicationsDocumenting and communicating errors and solutionsTesting products on multiple browsers and devices to ensure compatibility","Keen attention to detailA+ communication skills (written, verbal, and interpersonal)Eagle Scout badge for problem solving abilitiesExceptional organizational skillsProficiency with Microsoft Office",,0,1,0,Temporary,Entry level,,Computer Software,Quality Assurance,0
11015,Customer Service Associate ,"CA, BC, Burnaby",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Burnaby, British Columbia. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience High school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Electronics,Customer Service,0
13536,Product Specialist / Market Development ,"GB, LND, London",Business:Sales,,"We build software for fashion retailers, to help them make the right commercial decisions about what to sell, and how to price it. Fashion is the 4th biggest industry in the world, so this is actually a huge problem economically, as well as environmentally for everyone in the world. The Guardian called us one of the 20 hottest London startups, and we're a quickly growing team, with a product used by some of the best retailers in the world, and we're backed by the smartest investors in Europe, the same ones who backed Etsy, Dropbox, ASOS and Net-a-Porter.","EDITD is a retail technology company and runs the world's biggest apparel data warehouse.  Global and local retailers use EDITD to make sure they have the right product, at the right price, at the right time.  EDITD is a market leader, and is used by the worlds best fashion retailers, like Gap, ASOS and Target, across five continents.The JobThe Product Specialist is one of the most important jobs in our business. Youll become a world expert in using EDITD. Youll get the opportunity to unleash that knowledge on some of the worlds best brands to help them understand how to use EDITD to improve their business.Youll learn everything there is to know about the most important parts of retailing and fashion brands, which is going to be the beginning of a great step up in your career.","Youre looking for your first job, or youre a couple of years out of university.You are:- Extremely clever and love problem solving- The person that gets things done- Obsessed with metrics - setting targets, measuring your progress and achieving your goals- Articulate, considered and confident presenting to senior retailing and brands people.You have:- Exceptional written and verbal communication skills- Meticulous research skills and great attention to detail in everything you do- Passion for the retail sector and finely-tuned business acumen.",,0,1,1,Full-time,,Bachelor's Degree,Apparel & Fashion,Business Development,0
4522,.Net Developer ( Classic ASP ),"US, TX, Austin",,,"Electus believes in long-term relationships with our candidates. We provide a combination of recruiting expertise, data, and industry experience to help you find an ideal match for your current skills and future aspirations. Our close working relationships with our clients allow us to offer you opportunities not available to the general public.As a job seeker, when you are dealing with Electus Recruiting, you are dealing with a reputable recruitment firm that only hires intelligent, knowledgeable and dedicated recruiters whose job it is to assist you in furthering your career ambitions. Our recruiters take every measure possible to put job seeker concerns before profitability.  We are very careful about the clients whom we take on. We preach open communication and take every step available to make the interviewing, negotiation and job offer process fast, simple and lucrative.","Contract Duration: 9 to 12 months$52 per hourExperience developing and maintaining Classic ASP Web based applications using Visual Studio 200Experience in creating and maintaining complex SQL is required. Candidate should be able to write queries involving Group By, Having Clauses. Understanding of nested queries is a plusExperience creating and updating Oracle Stored Procedures and PackagesExperience with JavaScript and CSS        ","Experience creating / maintaining web based applications that meet accessibility requirements including basic Section 508Knowledge of accessibility requirements including basic Section 508Knowledge of adaptive software including Jaws, ZoomText and Dragon Naturally Speaking",,0,1,0,Contract,Mid-Senior level,,,Information Technology,0
2821,Front-End Developer/HTML/JavaScript/CSS,"US, CA, San Francisco Bay Area",,960000-1200000,"Replise was started in 2008, just a year after Twitter was launched, by a bunch of serial entrepreneurs who believed they could bridge the gap between technology and business. We focus on what we are best at: analysing social media and giving insights; so our customers can focus on what they are best at: their core business. The expertise of our research team, the flexibility of our social analytics platform and the breadth and depth of our data empower our customers. They can develop better products because we help them understand the needs and wants of their target audiences. They can keep ahead of their competitors and keep up with their industrys cutting edge because we help them see whats in the big picture and what is not. This is why we work with brands like Nike, Samsung and Nestle, and many communication agencies across Europe.","Our Company, Replise, a growing and exciting social media analytics company has an immediate need for a Senior Front End Developer for a permanent position.In this role, you will collaborate with the dev team and cross-functionally (Designers, UX, and PMs) to create exciting and interactive experiences. This is a fast-paced environment that is always changing, yet stable and creative.Responsibilities:Work with the Front End and internal business teams to develop client softwareIdentify requirements and suggest solutions necessary to meet those requirementsLead development to a completed solutionServe as a resource for scoping and scheduling of projectsWrite standards-compliant Front End code using Javascript, CSS, and HTMLTranslate visual designs, user experience flows, and content into functional and engaging interfacesChoose proper technologies based on requirements and design"," Requirements:4+ years of coding using Javascript, CSS, and HTMLSolid understanding of web application development processesWeb service APIsAbility to develop pixel-perfect implementation of Photoshop compsCan manage numerous tasks/deadlines at once.Preferences:Strong preference for experience working at an advertising or consulting agency, or a social media platformJavascript libraries (Backbone, ember, angular)","About RepliseAt Replise, we believe in social media. We draw business insights from social media using proven market research methodologies. We help our customers get the cutting edge intelligence they need to create their strategies and define their tactics. Replise was started in 2008, just a year after Twitter was launched, by a bunch of serial entrepreneurs who believed they could bridge the gap between technology and business. We focus on what we are best at: analysing social media and giving insights; so our customers can focus on what they are best at: their core business. The expertise of our research team, the flexibility of our social analytics platform and the breadth and depth of our data empower our customers. They can develop better products because we help them understand the needs and wants of their target audiences. They can keep ahead of their competitors and keep up with their industrys cutting edge because we help them see whats in the big picture and what is not. This is why we work with brands like Nike, Samsung and Nestle, and many communication agencies across Europe. Now, we are extending our business operations in the US and Canada, so were recruiting a complete team of social media and IT pros.",0,1,0,Full-time,,,Research,,0
4479,Controls Engineer- Programming & Debug of PLC- Automotive Environment,"US, , ",,,,Job Description:Full time long term contract opening requires candidate to do programming and debugging of PLC Controls in an automotive environment. Experience on Allen Bradley Controls &amp; Control Logix is required. Some travel will be required.Salary:COMPENSATION IS NEGOTIABLE along with full benefits and overtime. ,Required Skill:  4 or more years hands on experience on PLC controlsExperience with Allen Bradley Controls &amp; Control Logix ,,0,0,1,,,,,,0
8442,Senior Drilling Engineer,"US, OK, Oklahoma City",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ",This is an amazing job opportunity with one of the fastest growing companies in the Energy Industry! Opportunities for advancement are extensive as the company is currently in the process of doubling in size. Strong compensation and benefits packages are available for qualified candidates who want to join the largest player in the Bakken Shale and leader in the Oklahoma Shale plays. Contact us today for an opportunity to join one of the Industrys leaders in the mission to achieve American energy independence!Essential Job FunctionsSupport the implementation and control of the companys drilling operations.Generate and review AFEs for drilling operations.Design and implement drilling plans and techniques.Consult with and assist drilling foremen in drilling and completion of oil and gas wells.Review drilling and completion expenditures.Analyze drilling problems and directs action to be taken.Select equipment and services to be utilized.Confer with exploration and land departments on drilling plans and operations.Assure compliance with governmental requirements and company policies.,"Education:Bachelor of Science in Petroleum Engineering or related engineering discipline is required.Experience:A minimum of 5 years related experience or equivalent combination of education and experience.* Military experience is highly valued by the employer; candidates with military experience can expect some flexibility in terms of requirements, so long as fundamental skills and competencies are present.",Strong compensation packages available commensurate with experience. ,0,1,1,Full-time,,,Oil & Energy,,0
17582,Hospital Clerical/Reception: $22 - $24/hr,"CA, ON, Toronto",reception,,,July Employment   Hospital Clerical/Reception: $22 - $24/hrIf you are unemployed or tired of mundane and low paying jobsthen this great career is for you. Maximum benefits. Great Pay.Union Membership after 90 days on the job. 7 hrs HC Job Orientation is required/mandatory before you could get employed. No experience required just a high school and typing. Employment process and placement is for your regional area hospitals. Work Full time M-F: 9-5 (Part time evenings and/or weekends). If you are not familiar with this job you will be job oriented first. (Hospitals accept only already Job ready applicants to protect patients safety). If you are willing to get Job Orientation - you will be employed. Only applicants who are ready to undergo 7hrs HC Job Orientation will be accepted for HC employment. ,no experience required just high school and typing,maximum benefits. union membership after 90 days. ,0,0,1,Full-time,Not Applicable,High School or equivalent,Hospital & Health Care,Administrative,1
15192,UI/UX Developer,"GR, , Athens (Iraklio)",Engineering,,"Transifex is a SaaS continuous localization platform powering the process of launching products into multiple languages for companies with rapid development cycles. To date, Transifex has simplified the localization process for over 15,000 projects and more than 150,000 users. Customers include Coursera, Waze, Eventbrite, reddit, Disqus and Firefox.","Transifex is seeking a passionate UI/UX Developer to join our team and help create a beautiful experience for our users. As part of our team, you will have the chance to work in a fast-paced environment and develop features having always the user in mind. We look for people that get things done and love detail and aesthetics.This is a full-time position.Specifically, you will:Be part of the Development team working on new features.Iterate on existing features.Work closely with the Product and Design team to make the Web Interface and User Experience as intuitive as possible.","Proficiency in HTML/HTML5 and CSS/CSS3. Very good knowledge of Javascript.Excellent knowledge of Web Standards and best practices.Passion for clean, standards compliant and fast HTML &amp; CSS code.Excellent communication skills in English, particularly written communication.Previous experience in software development.Previous experience in product development is considered a plus.Experience with Django or #URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399# is a plus.",Stock optionsCompetitive salariesPaid time offEmployee status (not contractor)Flexible spending accountsRelocation assistance for amazing designers who want to join us in AthensApple equipmentCompany sponsored tech talks and happy hoursAnd more...,0,1,0,Full-time,,,Computer Software,Engineering,0
13603,Community Engagement Specialist,"US, , St Paul ",Community Engagement,,"Community Action Partnership of Ramsey &amp; Washington Counties (Community Action) was established in 1964 as a program of the War on Poverty. Community Action Agencies became the service delivery arm of the Federal Office of Economic Opportunity. As the only continuously funded anti-poverty program in the country, our mission is to reduce poverty and its impact on people in Ramsey and Washington counties.Community Action is involved in grassroots public policy and community engagement activities, such as voter registration drives, legislative hearings, advocacy and education activities. ","Job SummaryUnder the direction of the Director of Community Engagement, this position is responsible for coordinating and implementing the assigned program by developing marketing materials, recruiting clients, assessing situations, developing and implementing a strategy to achieve their individual goals by counseling clients and coordinating and teaching employment related classes/activities. Essential Functions (Not All Inclusive)Assist participants with the development of a goal plan specific to the participants individual needs and resources.Provide financial, personal and employment coaching for identified goals. Identify and assist with access to available resources for goal attainment.Provide and document advocacy activities on behalf of eligible participants when funds are unavailable or inadequate to resolve crisis. Assist in achieving/sustaining self-sufficiency (e.g. vendor negotiation, referrals, one-on-one meetings, and follow up with other human service organizations).Maintain a quality customer service process for crisis intervention and educate participants/customers on payment plans, county assistance, and additional resources.Connect participants to monthly financial coaching on budgeting, credit repair, and debt and financial management as needed.Organize and maintain program files that will be compiled for accurate outcome goal reporting.        Write reports that will accurately report program outcomes.Assist Director with researching, developing, drafting and editing of communication materials.Establish and maintain collaboration with other Community Action services, emergency services providers and community resources to better meet the needs of participant/customer base needs.Identify opportunities and provide work direction for volunteers to increase our capacity to meet goals.","Bachelor's degree in a Human Services or related field with 3 years of related experience or an equivalent combination of education and experience to successfully perform the essential functions of the job.Must have demonstrated knowledge of employment counseling, interviewing case management, and assessment skills.Demonstrated interpersonal skills, including collaborating with a team, working across program areas, disseminating information effectively and conflict resolution.Experience interacting with individuals from diverse ethnic and socio-economic backgrounds.Experience facilitating adult group learning required.Must have effective verbal and written communication skills. Strong public speaking skills preferred.Proficiency with Microsoft Office Suite strongly preferred.Knowledge of community resources preferred. ","Wage: $19.02/HourSchedule: Monday - Friday, 40 Hrs/WeekDepartment: Community EngagementPosting Dates: October 10 - October 20, 2014Community Action offers excellent benefits including generous paid time off (PTO), paid holidays, health, dental &amp; life insurance, retirement, employee discount programs and more.",0,1,1,Full-time,Associate,Bachelor's Degree,,Other,0
16049,Entry Level PHP / MySQL / HTML / CSS Coders Needed,"US, CO, Denver",,25000-45000,,"Denver Website Repair is looking for a motivated and self-directed PHP developer to join a team of local web professionals. Our company is located in Capitol Hill and is looking for several, good-natured, creative programmers to join our team and our family. http://denverwebsiterepair/junior-level-php-mysql-html-css-switch-hitter/ We provide the projects, work with you throughout the work period, and are always available for help and mentoring. You'll be primarily working from the office in Cap Hill (and should expect to be), and while you can sometimes work through your tasklist at home, applicants need to live in the Denver area. Whether you work from home or at the offices, employees are still expected to show up on time, sign on to the company PM hub (cloud based project interactions), and work throughout their scheduled workday. We're looking for both fresh, new programmers and seasoned programmers alike; so while mentoring and a ""tightening up"" of your skills will be provided from the very beginning, developers are expected to complete projects in a timely manner and have enough experience (or problem solving ability) to do so, based on your individual experience. You'll be expected to be able to step right in on the day you start; the queue is full and projects are waiting. We work as a team, and as a team member, you'll be challenged and expected to work in a variety of areas.","Developers that we are looking for must be able to meet timelines and have strong troubleshooting and problem-solving skills and ability. She / He must also be at least junior level proficient in all of the following:+PHP5 with MySQL+CSS+HTML4/5Applicants without these core skills will not be considered for this position. Applicants with additional skills will also be strongly considered for this position, but are not required. Preferred additional skills include:++Web technologies: Javascript, JQuery, Ajax, XML, etc.++Wordpress / Joomla Customization and Specialization++PostgreSQL, MsSQL, and other database platforms++Some experience with Magento, Zen and other cart platforms++Basic Hosting Administration++Photoshop CS+ and Graphic Design Skills","We offer:+Part to full time employment+Fast, regular raises based upon performance over the first six months (evaluation period starting at 14.50 / hour, with a top hourly rate of 22.50/ hour, and a transition to salary at that rate after six months)+Fast-paced promotions: we promote from within our team wherever possible+The ability to work occasionally from home+Shorter, later workdays (the CT* workday starts at 10:30am and ends at 3:30 to 5:30pm)+No client interaction; work only with your project manager and administrator+Paid vacations (up to 6 accumulated days a year for new employees, 10 days a year for current employees)+Health, Dental, and Vision Benefits",0,1,0,Full-time,Entry level,Unspecified,Internet,Information Technology,0
1101,Entry Level / Jr. Art Director,"US, NY, Queens",,,"As the premier design studio in Queens, we craft beautiful work using the latest technology and solve design problems that lead to satisfaction not just for our customers —  but for the design team as well. Clients such as Facebook, Reebok, and Ogilvy &amp; Mather come to us for our creative ideas and always-on-time reliability. From developing a web site that provides impactful business value, to producing a critical sales presentation on a tight timeline, we bring together gifted designers to deliver solutions that actually matter.In addition to the satisfaction of a job well done for industry-leading clients, we offer a full range of benefits for full-time employees including health insurance, vision/dental, 401(k), generous paid time off and a professional development program.","You love creativity and have a passion for what's hot in the marketplace right now. You devour design, as it is essential to your survival. Design to you is more than just a pretty picture - it solves a business goal and meets a user's need. You enjoy working with other designers across a spectrum of projects, and no assignment is too big or too small for you or your ego. Creating compelling work in a fast-paced environment means having confidence, extreme talent and an ability to handle and grow from criticism.  As an Entry Level / Jr. Art Director, you understand that prima donnas and superheroes are detrimental to the collaboration of the team as a whole and it's essential that each designer carries his/her own weight. Every day you enjoy delighting clients with projects that push you outside your comfort zone, knowing that to stay in one place and stagnate means death in the world of design. You'll keep busy by:Executing a wide variety of graphic projects in the worlds of digital, print and presentationsMaintaining our high standards of excellenceTurning us on to new sources of inspirationSupporting the design team on larger projectsParticipating in Business Development pursuitsSharing your insights on how to refine and improve our design process","We want you if you have: A BFA or MFA degree in Graphic design, Interactive Design, or AdvertisingAn online portfolioReal-world visual design experience (freelance, part-time or internship)Strong conceptual skillsFluency in Adobe Creative SuiteAn ability to stay extremely organized with files and internal communicationsExperience working with Apple's iWork and Microsoft OfficePixel perfect attention to detailNot required, but very useful: Basic HTML and CSS skillsSelf-driven, with a fearless interest and curiosity in technology   Interaction Design skills (i.e. wireframing)Strong written and verbal communication with the ability to present your work and rationale to the internal team","Why work for C42D? We craft beautiful work using the latest technology and solve design problems that lead to satisfaction not just for our customers —  but for the design team as well. Clients such as Facebook, Reebok, and Ogilvy &amp; Mather come to us for our creative ideas and always-on-time reliability. From developing a web site that provides impactful business value, to producing a critical sales presentation on a tight timeline, we bring together gifted designers to deliver solutions that actually matter.In addition to the satisfaction of a job well done for industry-leading clients, we offer a full range of benefits for full-time employees including health insurance, vision/dental, 401(k), generous paid time off and a professional development program.",0,1,1,Full-time,Entry level,Bachelor's Degree,Design,Art/Creative,0
16644,"Pet Sitters, Dog Walkers - $16/hr and up","US, CA, San Mateo, CA",Pet Service,,,"Zendo Pets is a newly formed, high-end pet sitting and dog walking company serving San Mateo County. Our founders are well-established, successful pet care business owners in the Bay Area. We are seeking exceptional pet caretakers to add to our local staff throughout San Mateo County.These positions are for part-time, supplemental work to start, you can expect to work 0-10 hours a week at first. More work is available as business increases in your neighborhood. Pet Visitors and Dog Walkers needed in the following locations:Atherton - Belmont - Brisbane - Broadmoor - Burlingame - Colma - Daly City - East Palo Alto - Foster City - Half Moon Bay - Hillsborough - Menlo Park - Millbrae - Pacifica - Portola Valley - Redwood City - San Bruno - San Carlos - San Mateo - South San Francisco - WoodsideWe are seeking staff for the following positions:M/W/F DOG WALKER -- 10a - 1p or 11a - 2p: we provide either 30/45 minute private leash walks for 1-3 dogs in a single family, or a 60 minute small group hike with up to 1-3 dogs from separate families.Tu/Th DOG WALKER -- 10a - 1p or 11a - 2p: we provide either 30/45 minute private leash walks for 1-3 dogs in a single family or a 60 minute small group hike for 1-3 dogs from separate families.DAILY PET VISITOR -- Visits for households with dogs are 2-3 per day, 30-45 minutes each between the hours of 7-9 am, 12-4 pm and 7-9 pm and include leash walks at each visit. Visits for cats-only households are 1-2 per day, 30-45 minutes each and are generally more flexible time-wise. We also provide care for small animals, birds, fish and reptiles upon request. OVERNIGHT PET SITTER -- Our staff has the option of contracting with us to provide overnight care for pets in our clients' homes or at their own home. The overnight stays in clients' homes last from dinner time through breakfast and include feeding and walking both am and pm. Overnight sits range from 2 to 21 nights in length, and compensation varies from $32-$55 per night. ","* You have a reliable vehicle and a good driving record(If you wish to provide small group dog walks: your car is dog-friendly and seats up to 3 large dogs)* You can commit to working with us for one year at minimum -- pets and clients will develop a relationship with you and not want to lose you!* You love both dogs and cats, and are willing to work with other small pets as needed* You are available for work on Major Holidays and Weekends* You can provide 2-3 excellent, local pet sitting references* You can easily pass a criminal/background check","Compensation for dog walking and pet visits starts at $16 per hour, and sitters keep 100% of all tips they receive.Overnight sits range from 2 to 21 nights in length, and compensation varies from $32-$55 per night.",0,0,1,Part-time,Entry level,,,,0
5158,Demonstrator,"US, CA, Oakland",,,"As one of the worlds leading staffing companies, our primary objective is clear-cut: To perfectly align the best people with appropriate positions for our clients everywhere. Every day, we talk to hiring managers, HR directors, procurement managers, and senior management to learn their needs. At the same time, were bringing in candidates and associates with a variety of skills and backgrounds, seeing how we can best put their skills to use.This happens in every Advantage office—in just about every industry—with a talent roster that includes engineers of all kinds, IT software, hardware and infrastructure, finance &amp; accounting professionals, clerical, light industrial, manufacturing and warehouse workers. In addition, Advantage helps businesses with some of their most critical day-to-day tasks, providing assistance with workforce consulting, management of payroll, procurement, recruitment outsourcing, and project management.","Company: Dyson Corp.Dyson Demonstrators work part-time as members of the Field Sales team to engage consumers in an energetic and confident manner and provide them with knowledgeable information about Dyson products in select retail locations (i.e. Costco, Bed Bath and Beyond and Best Buy) or events across the United States. The most important part of a Demonstrators job is to create a positive experience with the store and the consumers; assisting in the sale of Dyson products to achieve company specified sales goals. Demonstrations occur on Saturdays, Sundays and some weekday holidays. Demonstrators must work a minimum of 3 out of 4 weekends per month within an assigned market. Duties and Responsibilities: •  Conduct planned demonstrations in assigned retail stores to achieve specified sales goals•  Capture consumers attention to participate in high energy, captivating in-store demonstrations and model all aspects of Dysons brand, culture, and passion for technology•  Be a credible product and brand expert by educating consumers on the benefits and features of Dyson products and actively listening to consumers to confidently recommend appropriate Dyson products and comfortably over objections•  Increase overall awareness and sales of Dyson products through in store and event demonstrations•  Actively monitor Dysons online reporting system to contribute quality feedback and report/confirm attendance",Qualifications Needed:•  HS Diploma or GED required•  1 year experience in a public interfacing role required•  Experience in a retail sales environment required,"Opportunities for career growth.Competitive salaries.100% immediate vesting in our 401K pension plan including a 3% non-elective contribution by Dyson and a discretionary match on employee contributions.Bonus schemes.Paid vacation including one additional day earned every year, capped at 5 weeks in total.10 paid holidays, plus one floating holiday.Company paid life insurance.Health, vision and dental insurance.Extended child care and parental leave of absence policies.Very relaxed dress code.Company paid short term and long term disability.",0,1,1,,,,,,0
12873,UI Designer,"US, MA, Boston",R&D,48000-60000,"RhodeCode provides the leading source code management solution for enterprises. We are powering the world`s largest organizations, who depend on our products to make their software development process more flexible, collaborative, productive &amp; secure.Every day millions of developers, project managers and QA engineers are using our innovative software which plays a central role in their work life.Our company core values are about questioning the existing, being open &amp; delivering just the absolutely outstanding.Everyone who is with us is an expert in his/her field of expertise, works in a small team of other gifted A-players and helps to achieve the team's mission. Everyone takes full responsibility for his/her work, is treated with respect and management is just there to remove blockers. No committees, no micromanagement, just the focus on delivering the outstanding.Help us to make the world better for millions of people. Have a real impact. Apply today!","Every day millions of developers, project managers and QA engineers are using RhodeCode Enterprise which plays a central role in their professional life to get their job done.If you want to have a real, lasting impact on the way millions of people work and you are willing to go the extra mile to ship just outstanding work then the following job may be something for you:As part of the product team, you decide on the usability, the visual style and the emotions of our products. Our users are spending each day hours in front of our productivity web applications and your main goal is to provide them the most appealing user interface which does not stand in their way to get things done and is still looking gorgeous after having seen it for hundreds of hours.Working with the founders, and product management at the earliest stage of product development, you need to be able to quickly sketch and prototype new ideas without getting lost in details. A lean approach with a constant improvement to customer feedback is a must.Once the first drafting phase is finished, you can unleash your full creativity in providing the best possible interface design whichputs heart and the love for details into typography, margins, spaces and colors.Our visual design principles are minimalism, beauty and a clear emotional statement and we need you to create, implement and ensure these principles through our whole product range and public appearance.Since our products are fully user-centric, all visual designs need to support high user experience requirements, so UX and interaction design skills like wireframing with Balsamiq, user scenarios and site audits are a strong plus. The ability to implement designs in HTML and CSS are very welcomed, too.","5+ years designing enterprise or developer-focused web applicationsLove for minimalism, beauty and emotionAbility to propose several different design ideas with quick iterations within given deadlinesAbility to craft a chosen design to unveil its real beautyAn exceptional eye for pixel-perfect detailAn outstanding body of work demonstrating the successful delivery of innovative interface design solutionsVery good spoken &amp; written EnglishStrong communication and organizational skillsPlease send additionally to your CV an online portfolio of recent UI (and UX) work including an explanation what you loved most and why.","Comprehensive compensation package, including stock optionsQuiet work environment in the center of BostonFlexible working hoursOpportunity to have a real impact",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Design,0
15978,Applications Engineer,"US, CA, Mountain View",,,"Come be a part of one of the fastest growing, well-funded and exciting startups in Silicon Valley.  Peel makes the worlds leading smart remote app which turns your mobile device into a universal remote control. Our technology is preloaded on many of the worlds top-selling Android devices.  Peels audience is at 60 million and doubling every six months with 3 billion+ remote commands handled every month.   Help us revolutionize the TV viewing experience and achieve our goal of becoming the universal controller for the internet of things.Peel is driven by design and a passion for developing brilliant user experiences. We simplify complex problems, then surprise and delight. Prior to starting at Peel, members of the team helped create some of the best products available today, including iTunes, iPhoto, Netflix, Roku, Avid, and Final Cut Pro. We're a fun company that works hard, but understands the value of well balanced professional and personal lives. Away from work, we raise wonderful young families, race cars, sail boats, play instruments, and go on adventures in outer space… Well, maybe we all just dream about that last one… On the job, we come in charged and focussed on changing the industry, forever. We want to work with like-minded creative and talented people. If you want to change the world and the face of entertainment, we want to hear from you!","We need a Field applications engineer who can travel to customers in Asia and help then with integration of our software and hardware solutions into their environments. The Applications engineer will be our primary technical contact at the customer site working with the customer engineering team and the Mountain view engineering team. The job is primarily at our Mountain view office but will involve travel to Asia and Europe to work with customers and partners.Responsibilities… Own technical responses to Customer requirements.Prepare and present technical demos and trainings, develop training materialsPresent technical material at customer meetingsParticipate in conference calls and customer visits with salesTravel to customer site and support during integrationReview and assist in the troubleshooting and diagnosis of complex customer issues, including visiting customer siteReplicate issues and testing customer configurations in a lab environmentAuthor technical documents for use by customers, other technical support personnel, and partners based on closed issuesAssist sales with supporting customer","Desired skills… Deep Knowledge of android OSUnderstanding of ARM architecture and bus architectures (I2S, I2C, SPI)Some Android Application developmentSome android service development experienceKnowledge of Kernel device driversWorking knowledge of Hardware/SoftwareWriting app notes, technical papers, technical specificationsStrong written and verbal skillsStrong communication and interpersonal skills ","Competitive base salaryStock OptionsFull benefits (medical, dental, vision)Easy walking distance from CaltrainFree Caltrain passesFree parkingFree gourmet lunch WednesdaysClose proximity to downtown Mountain View eateriesOn-site massageLife insurance401KOn-site snacks/beverages/gourmet coffeeOpen dog policyPing Pong, etc.",0,1,1,,,,,,0
14721,"Life Insurance Agent - Tampa, FL","US, FL, Tampa",,,"Even though weve been industry leaders for years, weve never lost sight of our primary goal: to serve and protect our clients and their families, and create opportunities for our employees. Its what drives us every day.We take that mission seriously, and believe that while WHAT we do improves our clients and our representatives lives, HOW we do it sets us apart from other industry providers. We protect the families we serve  and provide the peace of mind that comes from knowing youre insulated from lifes unforeseen twists and turns. We offer the security that lets you sleep at night, with our personal commitment to go above and beyond in search of the solutions you need.We love our work, and we love the people we work with, so it makes it easy and fun  to do the best, and be the best we can  let us show you how we can be of help to you!","Why become an ASUREA agent?People work with us because they make more money in insurance, period. We are the fastest growing independent career agency in the nationWeve got an A+ Rating with the BBB due to us taking care of our customers and our people.Exclusive Leads marketed just for youFull Support with Contracting and New ApplicationsTraining programs to keep you product versedContracts with all the Top Industry CarriersFull Agent Portal to retrieve leads, submit applications and check on your businessSocial Media Platform for all ASUREA Agents to share experiences and knowledgeMake money quickly as a 1099 Commission Only Agent",We are looking for Agents that have:Life &amp; Health Insurance License (REQUIRED)Leadership and Accountability skillsShared vision and purpose of ASUREAA passion for Helping PeopleTogether we can Change the Face of Insurance!,,0,1,1,Full-time,Not Applicable,High School or equivalent,Insurance,Sales,0
8052,Accounting Manger ,"US, CA, San Diego",,,"Were Digital Telepathy, but our friends call us DT. Committed to being designers of the Web, we help startups solve their toughest user experience design challenges. We practice objective-based design and agile development, so things move fast. From marketing websites to web app design, we create experiences that engage users and deliver results. ","We are a passionate group of 35 people that love spending time together. We just happen to run a user experience design company during the day. We are geeks, surfers, operation gurus, movie buffs, but deep down, we are all designers at heart.Weve grown a lot in the last few years and need a new team member who is dedicated to making sure our day-to-day accounting functions are completed efficiently, reliably, and properly.Lets be honest, this is not your typical accounting position - were looking for a Jack or Jill of all trades that can own a wide variety of functions. This is initially a part time, 20-30 hours a week position (likely to grow to full time), located in our gorgeous loft office in downtown San Diego (some remote time is OK).","HERES HOW WE DESCRIBE OUR IDEAL HIRE:You love numbers, spreadsheets, formulas, and QuickBooks! Youre comfortable making decisions using discretion and good judgment. You love doing a little bit of everything. Youre the type of person who is both efficient and effective, taking on projects from end-to-end with little guidance. Youre extremely organized, with a keen attention to detail while understanding the big picture. Your work ethic is unmatched, youve got a great personality, and integrity trumps all.Sound interesting? Try this on for size; here are some of the things you would do over the course of two weeks:Receive, audit, and pay 15 bills in QuickBooksHand deliver a rent check to our landlord with a bottle of champagne as a thank you for the recent building upgradesProcess 3 expense reports from employeesResearch duplicate credit card transactions with American ExpressPrepare monthly client invoices after coordinating with Account StrategistsTransfer and apply wire payments from clients, then go to the bank to deposit some checksFollow up with a client about a past due invoice, on Google Hangout - emails lack soul and effectivenessUpdate the forecast based on our new Q3 goals, then communicate P&amp;L changes to the Exec teamComplete month end close and analyze variances to the budgetImprove our Activity Based Costing model to include a new product were developingHelp an employee figure out if he will have enough vacation time to go to Hawaii for the entire month of DecemberRun time reports, calculate OT, and identify 10 hours of work that was not yet billed to clientsProcess payroll and deposit 401(k)Help our sales manager understand our capacity and ability to add a new client in AugSTILL WITH US?Experience matters. Were looking for someone with at least 4 years of finance, accounting, and payroll experience. This is currently a 20-30 hour a week position. You must live in San Diego and have flexibility to be onsite a few days a week, some remote work is OK.",,0,1,1,Part-time,,,Internet,Accounting/Auditing,0
13614,"GIS Developer - C# .NET SQL - Kelowna, British Columbia","CA, BC, Vancouver",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced GIS Developer is required having responsibility for Debugging software and working through issues with clients Job Responsibilities:Software design / application architectureC# .NET &amp; #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# development for aviation applicationsEnterprise database development &amp; designSupport and enhancement of existing applicationsDebugging software and working through issues with clientsAnalyzing and documenting requirementsUnit tests / TDDMentoring existing developersPerforming code reviewssp;&amp;na<6E>&amp;b<><62>Z H<>    Experience in SQL is an assetMust have excellent written and verbal communication skillsMust have excellent interpersonal skillsMust be well organized, methodical, detail oriented with excellent analytical skillsEducational Qualifications:Degree in  Electrical or Computer Engineering, Computer Science or a Technology Diploma with relevant industry experience","5+ years of Web Development/#URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# Development3  5 years of working with C# .NET 2.0 or higher3  5 years of working with data-driven applicationsStrong understanding of Object Oriented Design and SOLID design principlesStrong communication skillsAble to work independently and on a teamExperience in the Aviation IndustryStrong JavaScript skillsMS SQL Server development &amp; design experienceExperience with unit tests &amp; Test Driven DesignGIS/Mapping Technology (Google Maps/Google Earth, Bing Maps)Agile Software Engineering TechniquesC, C++ development experienceC experience on an ARM processor We Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,Computer Software,,0
7717,Customer Service Representative ,"US, CA, Costa Mesa",Customer Service,,"About HitFigure:Franchised car dealers who represent major automotive brands are constantly on the lookout for used vehicles of the same brand as the new cars they sell. And consumers are always on the lookout for the most competitive price for their used car at resale or trade-in.Thats why HitFigure is so important.HitFigure lets you submit information about your used car so local same brand dealers can make you a competitive offer to buy it.Unlike trading in your car to a dealership of a different brand, same brand franchised dealers specialize in the car youre selling. That means you stand a better chance of getting the most competitive offer. HitFigure is quick, easy and free to consumers.","HitFigure is now hiring Customer Service Representatives! Do too the high demand for our service, we are now looking for Customer Service Representatives who are looking to jump start their careers!Your three Most Important Customer Service Objectives:Ensure each phone conversation is a positive experience for our customer by answering their questions, offering solutions to their situations and ensuring the customer they have called the right company as you book their request for service into our system.Make sure that you precisely and accurately record the information transmitted from the customer.Handle customer complaints with patience and professionalism","Your Four Essential Customer Service Guidelines:We let our customers know what we CAN DO first. Only after they are aware of what we can do should we inform them of what we can't do.Understand empathy and practice it daily with customers (i.e., we acknowledge our customers' feelings and allow them to vent their frustration without trying to suppress their feelings).We offer assistance, not resistance.The essential functions of the Customer Service Representative (CSR) are to convert incoming customer calls into booked service calls. This position handles service calls from customers projecting a professional image and using the company prepared script. Utilizes customer service training to educate and to establish rapport and assist customers in choosing service and products",,0,0,1,,,,,,0
17798,"Agency Sales Managers $150-$175,000/yr","US, NY, Oneonta",,,"We have aggressive growth plans in place for the coming years. We provide a much needed service in facilitating the growth of small and medium size business with innovative financing products to their clients.We, at Oak Tree Financing, commit our energies, intellect and knowledge to helping small and medium size business owners and entrepreneurs with extraordinary financing solutions to clients. We believe in providing the highest possible level of service and delivering superior results. We are committed to their financial well-being. With 67% of the population having No Credit or Bad Credit our financing products have helped business owners increase their sales on average by 30%.","We provide No Credit Check Financing to customers of Small and Medium size Businesses and can help 90% of the industries from Auto Mechanics to Dentists and Lawyers.We are seeking skilled Account Managers to grow with us and help us achieve our goals in this new and exciting industry.This is designed as a long-term position for an entrepreneurial individual to really build their book of business, along with their income.Our people enjoy a flexible work environment. High earnings with great incentives like corporate retreats and quick advancement opportunities.","Experience and Skills  Required- Minimum of 3 years of sales experience- Ability to work in a home office environment- Exceptional speaking, writing, and negotiation skills- You must be a motivated self starter and instil that others- College degree","We Provide- Complete online training and office support- Flexible working environment- An exciting and lucrative opportunity for those with a proven results background- Rapid advancement for those highly motivated- Average yearly income of $150-$175,000 based on our straight commission compensation model",0,1,0,Full-time,Associate,High School or equivalent,Facilities Services,Sales,1
16253,Senior Web/UI Developer ( Javascript / HTML5 / CSS3 ),"US, TX, PLANO",R&D,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI &amp; Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&amp;D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall &amp; excellence in the field of Business Intelligence &amp; Analytics by driving BI adoption with our innovative analytical solutions and applications.","Are you a UI/Web Developer junkie looking for exciting opportunities in core product development?Does the idea of developing lightweight and advanced UI controls, charts and maps using technologies like Javascript, HTML5 and CSS3 appeal to you?Then this role is for you.We are looking for UI Developers build advanced controls that can be integrated with proprietary (e.g. SAP BI) and open source BI and analytics platforms. Specifically you willDevelop javascript-driven visualization controlsIntegrate visualization controls with platforms like SAP BI using proprietary SDKsImplement best practices in coding and development to delivery quality productsEnsure that deliverables are highly optimized for performance and usability across browsers and devices","A strong foundation in programmingJavascript, HTML5 expertise Expertise with Open Source Chart / Maps API/Libraries Passion for writing great codeUI/UX design knowledge and experience","Excellent Pay Excellent Medical, Dental, Vision, Life, AD&amp;D, Dependent Life, Short Term and Long Term Disability Benefits401(k) plan administered through employee fiduciaryGenerous communication allowance (mobile / internet / data charges)",0,1,1,Full-time,Associate,Associate Degree,Computer Software,Information Technology,0
16512,Project Manager,"GB, LND, The Peak",,,"Did you know that we are one of the largest private construction companies in the UK? As a family-owned business we know that our people are at the core of our ongoing success. We are very proud to be been named Contractor of the Year, Employer of the Year and received the Judges Supreme Award at the Construction News Awards, recognising our position as a leader in our industry.We believe in delivering the promise. Thats why we are focused on becoming the first UK contractor to deliver for customers on time, on budget, every time.Please visit our careers page for more information and to view our current opportunities:#URL_909fe6e4d84e8f8f796780bede7b14bd8f8238bd29aec31779dd9804be33e06c# ","Wates Living Space is dedicated to developing and maintaining affordable housing, and to supporting and improving the communities in which we work.A national business with a local approach, we work as a partner to deliver profitable new build and regeneration schemes and provide planned and responsive maintenance and energy services the across the UK.We deploy innovative financial and delivery models to support the UKs priority of providing more homes and better homes, and we pride ourselves on our flexibility in responding to our clients evolving needs.Our close and ongoing involvement with CIH, TPAS, UKCG and Business in the Community helps us tailor our services to the ever-changing housing and maintenance landscape.","Required Skills &amp; Experience:Well developed knowledge of health &amp; safety, company procedures, legislation and practiceExperience of leading/developing a teamKnowledge of budgets and costing, including project forecasting and controlKnowledge of contract documentationAs well as:Excellent Project/programme Management skillsAbility to resource projectsAbility to prioritise and effectively plan Qualifications:CSCSMCIOB- desirable",,0,0,0,Full-time,,,Construction,,0
12762,Philippines - Manager - Booking Team,,,,Airenvys mission is to provide lucrative yet hassle free full service short term property management all around the world. We combine the charm of your home with the amenities of a boutique hotel.Currently the short-term rental property management companies are run inefficiently thus having to charge owners 40-50% of monthly revenues. By using our pricing algorithm cross-platform listing technology out goal is to increase your rental income by 20% or more while only charging you a 12%-15% commission for providing a turnkey experience.We like to think Airenvy is creating a new way for people to become excited again about property management and love their property managers.First use case for Airenvy clients are those who want to convert their long-term rental into short-term rental so they can 1) earn more income while having the flexibility of staying in your own place when you want.Airenvy is your friend next door! : ) Our customer video! #URL_e73543fde61f659ae4e25b87c34adb321c37207b4adc143cb6a99351c3ee1cb5#,"Who is Airenvy?Hey there! We are seasoned entrepreneurs in the heart of San Franciscos SOMA neighborhood. We are looking for someone who embodies an entrepreneurial spirit, pays strong attention to detail and wants to be a part of the next big thing. This business can feel like a circus at times, but we have an all-star team with a one of a kind culture.  Get a little taste of it here.Airenvy is the #1 technology driven property management company in a multi-billion dollar industry and is revolutionizing the vacation rental space! We are growing at record speed and expanding to new markets! Our platform allows owners to put their vacation rental on autopilot. We are a proven team of startup veterans and would love for you to join the family!    In 2014 we were named the #1 Airbnb property management company in San Francisco according to the SF Chronicle. We have 18 supportive and resourceful investors, many of whom are leaders in the technology and real estate industries.The PositionAirenvy is growing faster than we can handle, which is why were looking for someone to help us scale! We are seeking a best-in-class Team Manager who is passionate about delighting Guests and Owners. Youll play a direct role in top-line revenue, Guest experience, and day-to-day management of our team in the Philippines.ResponsibilitiesDrives continuous agent improvement to achieve customer and Airenvy goalsEnsures successful execution of Airenvy booking services by managing day-to-day operations of the booking teamUnderstands the customers needs, service requirements, and execution expectationsHandles non-urgent issue escalationsFunctions as a liaison to booking agents leveraging a strong understanding of the customers challenges, and available best practicesHelps agents evolve to a smart, predictive and proactive service operational model based on best practices, skills, services, and toolsCollaborates with management to maximize the booking team value through continual improvements in operational people, processes, and toolsPerform duties as assigned by managementYou?Phenomenal coaching skills - proven inspirational leadershipComfortable navigating complex systemsStrong problem solving skillsDisciplined with an entrepreneurial spirit (able to work independently)Customer centric approachAbility to effectively communicate with upper management about complex issues","Minimum four years in customer service management role (please do not apply unless youve managed a minimum of ten direct reportsBest-in-class English (oral, written and grammar)Bonus if youve managed a remote work-from-home team before","* This job will be contracted through oDesk. It is long term, continuous and a minimum of 40 hours per week",0,1,0,,,,,,0
7193,Customer Service Associate ,"US, DE, Wilmington",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Wilmington, DE and will support multiple client facilities. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Copy, print, scanning and fulfillment of tasks upon client requestAssist with the setup of conference roomsAnswer and direct customer calls in a timely and professional mannerLift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 2 years of customer service related experience requiredHigh school diploma or equivalent (GED) requiredExperience production copy, imaging, and print projectsPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 40 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Legal Services,Administrative,0
7534,Content Writer Intern,"US, NY, New York",,,"Fusemachines combines the power of Data Science, Artificial Intelligence and Natural Language Processing to build unique software robots that can automate customer interactions and provide deep real time insights into customer behaviour. Our Fuse Platform allows companies to add intelligence layers on all of their communication channels. Our clients include government, financial institution and e-commerce companies.  ","ABOUT THE COMPANYFusemachines combines the power of Data Science, Artificial Intelligence and Natural Language Processing to build unique software robots that can automate customer interactions and provide deep real time insights into customer behaviour. Our Fuse Platform allows companies to add intelligence layers on all of their communication channels. Our clients include government, financial institution and e-commerce companies.  JOB DESCRIPTIONAs a fast-growing and successful NYC based technology startup, Fusemachines seeks a hard-working, smart, talented and creative Content Writer Intern to contribute to the overall marketing efforts of the company across multiple platforms and formats to drive engagement, sales and client retention via effective content creation. The Content Writer Intern is responsible for doing deep research in the areas that Fusemachines plays an active role including artificial intelligence, machine learning, data science and customer service, and then writing daily/weekly blogs from ground-up which will be a crucial part of the content marketing efforts of the company. Also, The Content Writer Intern will be responsible for  preparing case studies, white papers, newsletters and infographics, and he/she will make sure that all of the content, especially in the company website and social media, aligns perfectly with the companys marketing strategies. The Content Writer will closely work with the CEO and CTO of the company. This is a great opportunity for content writers who are looking for hands-on experience in a fast-growing startup as an intern.RESPONSIBILITIESGenerate content initiatives in all forms to attract, acquire and engage a clearly defined and understood target audienceResearch, prepare and present written and verbal reports about the knowledge areas that Fusemachines work on, including artificial intelligence, machine learning, data science and customer servicePrepare daily and weekly blogs to increase the traffic on the company websitePrepare case studies, white papers, newsletters and infographics, and develop web contentBuild and manage Fusemachines content calendar and online initiativesCollaborate with the marketing team and make sure that all of the content aligns with the overall marketing strategies","Pursuing Bachelors or Masters, preferably in English, Journalism, Creative Writing, or other related fieldStrong communication skills (both oral and written) and capable of working effectively within a teamHave a good understanding of the marketing principlesAn ambitious and hard-working team player, who is results driven and has a natural competitivenessDemonstrated success working in fast-paced, dynamic and deadline oriented environmentMust be entrepreneurial, bright, articulate and able to challenge management to think beyond conventional wisdom within the current business paradigm       Must have demonstrated ability to be a strategic thinkerHave an interest in technology, data science and artificial intelligenceAdvanced computer skills required (Word, Excel, Outlook, and PowerPoint).Startup experience is a plusPlease attach the link of your portfolio with your cover letter which should prove your success and experience as a content writer.",,0,1,0,Full-time,Internship,,,Writing/Editing,0
17800,Executive Chef,"MY, 16, ",,65000-75000,,"Responsible for all food production including that used for restaurants, banquetfunctions and other outlets. Develop menus, food purchase specifications andrecipes. Supervise staff. Develop and monitorfood and labor budget for the department. Maintain highest professional food qualityand sanitation standards.Duties &amp; Responsibilities:* Plans menus for all food outlets in the Hotel. * Schedules and coordinates the work of chefs, cooks and other kitchen employeesto assure that food preparationis economical and technically correct and within budgetedlabor cost goals. * Approves the requisition of products and other necessary food supplies. * Ensures that high standards of sanitation, cleanliness and safety aremaintained throughout all kitchen areas at all times. * Establishes controls to minimize food and supply waste and theft. * Safeguards all food preparation employees by implementing training to increase their knowledge about safety, sanitation and accident preventionprinciples. * Develops standard recipes and techniques for food preparation and presentationwhich help to assure consistently high quality and to minimize food costs;exercises portion control for all items served and assists in establishing menuselling prices. * Prepares necessary data for applicable parts of the budget; projects annualfood, labor and other costs and monitors actual financial results; takescorrective action as necessary to help assure that financial goals are met. * Attends food and beverage staff and management meetings. * Consults with the Food &amp; Beverage Director about food production aspects ofspecial events being planned. * Cooks or directly supervises the cooking of items that require skillfulpreparation. * Ensures proper staffing for maximum productivity and high standards ofquality; controls food and payroll costs to achieve maximum profitability. * Evaluates food products to assure that quality standards are consistentlyattained. * Interacts with food and beverage ma nagement to assure that food productionconsistently exceeds the expectations of members and guests. * In conjunction with F&amp;B management team, assist in maintaining a high level ofservice principles in accordance with established standards.","Skills and Specifications:* Must have a passion and love for food* Excellent communication skills both written and oral* Public relations skills* Excellent management and organizational skills* Works well under pressure* Good attention to detailExperience:Previous experience with control food and labor cost, demonstration cooking, menudevelopment, and pricing and development of culinary team preferred. Premise andliability accountability and contract-managed service experience is desirable.Education:The ideal candidates will possess a bachelor's degree or related culinary degreewith four or more years of industry and culinary management experience.",,0,0,0,Contract,Executive,,Hospitality,Consulting,1
5126,Business Development & Sales Consultant,"GB, HNS, ",Sales,,,"Our company is a young and highly dynamic b2b &amp; online multi-channel and multi-national retailer working very closely with a number of UK's largest affiliate marketing and revenue share companies. Our product sectors are mobile accessories, lifestyle products and home furniture. Our managing directors are both graduates from respectable redbrick universities with strong management consultancy backgrounds, and have formed our company with an aspiration to create a highly efficient multi-channel online retail platform using industry leading practices in business management and IT infrastructure.We are looking for a highly driven and experienced sales consultant to drive sales of our existing product portfolio to our national and multi-national sales partners. Job Role  Sales Consultant 18K  Basic (negotiable) - 40K OTE Reporting to the Company Directors you will assume the following responsibilities;Understand the existing product portfolio and promote products to Third Party Affiliates/Online Retail PartnersBuild relationships with Third Party Affiliates and demonstrate strong account management skills together with the ability to confidently upsell product lines.Work closely with the market research team to better understand trending products.Use internal research data to increase product sales generating buy ins from the affiliate partners demonstrating strong business partnering skillsDemonstrate capabilities to understand product trends and partner closely with the internal team in efforts to source and grow product lines offeredTo visit Third Party Affiliates in efforts to strengthen relations, measure successes and contribute towards improving processes between Heads Group and Third Party Online Retail Partners.To adhere to company policies and procedures upholding company values and working practices","Person Profile Graduate calibre with respectable 2.1 degreeHighly numerate, highly driven and possesses a natural ability to think outside the box.Client facing, highly presentable, excellent communicator, strong relationship building skills and an opportunist.Working knowledge of MS Office Word &amp; Excel. Must demonstrate ability to work with Excel based worksheets and accurate recording of dataMust be a self-starter with strong business acumen and managerial level aspirationsMust be outgoing and fun with a great sense of humourDesirables Previously worked within Online retail in a sales/B2B account management capacityGood overall knowledge of the Online retail sector, internet savvy and strong business partnering skillsThe ideal candidate will have previous experience in similar retail sectors and be able to harness previously built supplier relationships as well strong b2b distribution channels.",Package £18K basic (negotiable) + Commission  £40k OTE25 Days Holidays including Bank holidays,0,0,1,,,,,,0
6242,Rockstar Mailer agent,"MA, 01, Tanger",,4000-5000,,"We are looking to hire 2 full-time rockstar mailers. Individuals must be detailed oriented with a strong work ethic, with the ability to learn quickly, retain information and perform on their own as taught. You will need to be proficient in the navigation of the internet and use of email programs, spreadsheets and web browsers as well possess basic HTML experience needed to set up, deploy and analyze multiple email marketing campaigns for well-known brands each day.Key Responsibilities:Setup and deploy multiple email marketing campaigns daily.Modify messages, content and code for optimized delivery.Daily monitoring and analysis of campaigns.Monitoring of campaign delivery and performance.Work with assigned team to determine selection, frequency, and distribution of campaigns.Work independently to optimize campaign performance and maximize revenue generation.Test effectiveness of new campaigns and highly targeted campaigns.","12+ months of experience in Email marketing and related fields.Working Smart, self-learning and continuous development.Detail oriented, well documented, and process driven.Ability to work independently and to multitask in a fast-paced environment.","7500DH starting monthly salary.Monthly incentive bonus plan based on individual work performance.Higher salaries available, commensurate with experience.Contract signing bonus.",0,1,1,Full-time,,Some High School Coursework,Information Technology and Services,Information Technology,0
15629,Frontend Developer,"US, CA, San Francisco",Engineering,,"#URL_73cd1d86897d9c7b653551bab4d3554986c583bd9228d2ca840002eaa46e3163# is a cloud infrastructure services provider and is the maker of IronMQ, an industrial-strength message queue, and IronWorker, a highly scalable task processing/worker platform. #URL_73cd1d86897d9c7b653551bab4d3554986c583bd9228d2ca840002eaa46e3163#'s products are designed for building distributed cloud applications quickly and operating at scale. They are available on public clouds and can be deployed in private clouds and on-premises. - See more at: #URL_b8c3f2576b28056d29d512160f0ec01d7cd4c52a83b92b082ebf038447ef3adf###URL_488e10ae5474562a86abe0250c2001127ac41ebc0aa5d31e94298f51ea020d05#","#URL_73cd1d86897d9c7b653551bab4d3554986c583bd9228d2ca840002eaa46e3163# is building next generation, high-scale, high-performance cloud services used by thousands of companies from high growth companies like Hotel Tonight and Untappd to large enterprises like Turner Broadcasting and AAA.Developers interact with our platform through our API and our dashboard. Our dashboard, known as the heads up display (HUD), is a front end to all of our API services and developers love the ability to manage their entire experience through one integrated UI. Thats where you come in.We are looking for an experienced frontend developer that can lead the strategy of our dashboard experience and also implement this strategy.Key ResponsibilitiesRecommend and drive the user experience strategy for our user interface (the HUD)Code and build in HTML, JS, D3.js, Highcharts, and some RubyBuild out charts, graphs, and reports to better Present your design methods and ideas along with recommendations to executive leadership for product direction","5+ years professional experience in User Experience designWork in the San Francisco officeHands-on experience in analyzing data sets, identifying trends and building visualizationsSkillset in front-end developmentStrong communication skills, both written and verbal. Works well on small teams with limited “management”",,0,1,0,,,,,Engineering,0
4474,Customer Service Associate ,"US, FL, Tallahassee",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Tallahassee, FL. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Operating print, copy or scanning equipmentPerform file purges and pullsLift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Handle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billing","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Excellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy ",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
7688,Entry Level - Production,"US, OH, Independence",,,"Open for over 45 years Stancato's of Parma is an italian restaurant offering Catering, Banquet, Dine -in and Take out Menus.Stancato's also owns and opperates Signature Sauces a sauce production facility for the culinary feild.","At Signature Sauce's we produce a variety of custom sauces for the culinary industry and are seeking fun, energetic, organized and dynamic individuals to join our fast paced production team.*Position is highly physical requireing candidate to lift 50# all day in temperatures that can exceed 98 degrees.* Hours: Flexible with most positions starting at 8am Days: Monday -- Thursday (with some Fridays required)  Full time Opportunity for advancement for individuals who excel. ESSENTIAL DUTIES AND RESPONSIBILITIES include the following. • Operation of filling and packing equipment • Packs off from product lines into cases  • Palletizing 50# cases of sauce • Ability to learn to assemble, dismantle, clean and sanitize equipment • Start and shut down equipment • Completes changeovers between product runs and lines • Performs material handler functions • Knows how to use a scale • Understand and adhere to government regulations and documentation requirements • Comply with USDA, FDA and HACCP programs • Follows Allergen Management Program • Stages packaging for following day's production • Minor equipment maintenance • Following company program for ""Good Manufacturing Practices"" PHYSICAL DEMANDS  While performing the duties of this job, the employee is frequently required to reach with hands and arms; and talk or hear. The employee is required to regularly stand, stoop, kneel and walk. The employee will frequently lift and/or move up to 50 pounds. Specific vision abilities required by this job include close vision, color vision, and ability to adjust focus. WORK ENVIRONMENT  The noise level in the work environment is moderate. The work environment is quick paced in close quarters. Exposure to heat from equipment and products is frequent. Exposure to cool temperatures form coolers/freezers is occasional. LANGUAGE SKILLS  Ability to communicate effectively and politely with co-workers, managers, customers, and owners. Able to read, analyze, and interpret ingredient specifications and recipes, general business periodicals, and or governmental regulations.  Able to effectively present information and respond to questions from customers, staff and owners. TO APPLY: Please respond to this posting with your resume and/or letter of interest and contact details.",,,0,0,1,Full-time,Entry level,,Food Production,Production,0
13492,Marketing or Journalism Major turned Project Manager,"US, IN, Fishers",App Delivery,30000-30000,"Bluebridge was created by a team of people who are passionate about organizations and the ways that effective technology makes them stronger and more well connected. Technology moves quickly. Businesses tend to move more slowly, either because of their place in organizational charts or because they often face modest budgets. bluebridge empowers these organizations with straightforward, affordable tools that help connect them with their customers and prospects.Bluebridge is built on a revolutionary platform that allows an organization regardless of size, to create a mobile app.By meeting consumers where they are—and with gadgets that they carry in their pockets and bags every day—bluebridge provides an engaging direct marketing channel that connects individuals while encouraging them to interact with your brand in a fresh, new way.","Bluebridge is an app development company - but isn't your typical software company. We work with organizations like Churches, Visitor &amp; Travel Organizations and Colleges to help them connect their content with people's pockets. We love helping our customers deliver awesome, polished apps so they can better communicate.Our Values:Bluebridge is defined by continual improvement—not only of the products and services we deliver to our clients, but also of ourselves. Each member of our team is held to the same expectations: keep learning, experimenting, and iterating. Succeed or fail, but grow by it either way. Collaborate and ask questions. Be resourceful. Be restless. Have fun. We believe the joy you take in your work will be reflected in the outcome.Our Team:For a tech company, were deeply, unashamedly human. We make time for each other. We tell jokes, stay late together, eat meals together—sometimes over a tough project, sometimes at the bar down the street. Were a team of self-starting individuals with a knack for cooperation and collaboration. We work (really) hard every day, but we also know when its time to take a break. We place a high value on personal connections amongst ourselves, our clients, and the outside world.Ideal Candidates:Youre smart, savvy, and highly skilled, but youd rather show it than talk about it. Youre confident enough to know that you still have a lot to learn, and you listen first and speak second. When faced with an obstacle, you get excited to overcome it. You love taking new approaches and look for creative solutions for every challenge. You arent afraid to fail, but you refuse to be defeated. Youre always striving to be better, both professionally and personally. You dream big, then work hard to make it happen.The Job:Your mantra is: Happy, helpful, and resourceful.As an App Specialist, you will work as a hands-on content &amp; project manager. You enjoy taking mobile strategies and implementing them in our app system &amp; CMS. You will help coach, collect, and polish content (text, photos, spreadsheets of data).Along the way, you will build your knowledge of both polishing apps and working in a CMS. You are naturally organized and think on your feet. You are the backbone of project management and project support for the Bluebridge Team.This position requires an extreme eye for detail to make sure nothing slips past you. Aside for OCD levels of organization, you will be communicating with customers on App &amp; Content Management, so you must be courteous and helpful at every turn. Happy, friendly communication should come naturally.Your typical day may include: Managing email communication for App Content requests or Content Management questions.Mastering the ins and outs of all App Features, so you can wrangle text and images into the right places.Packaging App Content &amp; Testing Apps to meet quality standards and customer goals. Tracking requests and deadlines to make sure everyone knows the status of their App.Helping customers understand how and where to get their content (Websites, PDFs, Government databases). Coaching customers and sending chocolate as needed to help them meet their deadlines.Editing, Resizing and cropping images to make sure our CMS loves the images customers send us.Content Management Training - helping customers feel awesome about our CMS and empowered to be in control of their app content. Did we mention, reminding customers about their deadlines?","This could be the job for you, if you enjoy:Making glorious checklists and triple checking your workRunning with fast-paced, gut decisions to move projects forward with the highest standards, then cheerfully explaining why your solution is awesome.Implementing plans that work for both the customer and our deadlines - all while balancing our high quality standards.Taking super-detailed notes and organizing them each day.Never letting the small details fall through the cracks for your 40 open app projects. Neat freaks are preferred. Your ability to organize your work and communicate effectively will set you apart from the pack.You will be creating, enforcing, and reminding customers of their deadlines on a daily basis.You love routines so much, you may feel like a human calendar.",,0,1,1,Full-time,Entry level,Associate Degree,Marketing and Advertising,Project Management,0
9412,Marketing Communications Manager,"US, CA, Marina del Rey",Marketing,,"Wiredrive is a fast growing, privately-owned SaaS company with headquarters in Marina del Rey and offices in New York City and London.  With over 1000 customers worldwide, Wiredrive has become the application of choice for creative professionals in the advertising, television and motion-picture industries who need to upload, manage and present their digital media.  Wiredrives client base is made up of the top global advertising agencies, television/movie production companies, post-production houses and brands.  See more here: #URL_4c1236699b2d374ac6fa352634dbe55dc8015b460c14fdbe1adc775e1d928878#.  ","THE COMPANY: WIREDRIVE (#URL_66ee5bd86cde58f1bdac84fdc5277456f9673db6d19fc650b3af517bdec9b018#)Wiredrive is a fast growing, privately-owned SaaS company with headquarters in Marina del Rey and offices in New York City and London.  With over 1000 customers worldwide, Wiredrive has become the application of choice for creative professionals in the advertising, television and motion-picture industries who need to upload, manage and present their digital media. Wiredrives client base is made up of the top global advertising agencies, television/movie production companies, post-production houses and brands.  See more here:#URL_66ee5bd86cde58f1bdac84fdc5277456f9673db6d19fc650b3af517bdec9b018#/about. Wiredrive is a story-driven company. The Communications Manager will create and drive narratives through compelling content that communicates the benefits of using Wiredrive across all channels.About the JobThis position works closely with many teams inside Wiredrive including marketing, design, product, business, and support. The role requires flexibility, the ability to change direction quickly, the ability to think big and start small, a team player who thrives in a fast paced environment, and a passion for showing how technology can help people share amazing ideas.Specifically...Lead the editorial strategy, development, and curation of Wiredrives communications platforms (external and internal)Manage editorial backlog and calendar for multiple domains including product launch and announcement, thought leadership, education, and cultureDevelop written materials, including product messaging, case studies, interviews, testimonials, FAQs, blog posts, video scripts, and other collateralCollaborate with product, business, brand, and other teams to develop content and metrics that highlights the benefits of our products, the success of our customers, and the culture of our companyIdentify key customers and create testimonials that effectively articulate Wiredrive messages to customer community, prospects, and mediaDevelop, manage, and maintain relationships with press for our products and servicesWork closely with executives, HR, marketing, and product team in developing and executing internal communications that highlight life at Wiredrive, and inform employees about important company decisions and updates","Required Qualifications:Passion for cloud technologiesBachelors degreeA minimum 5-7 years relevant work experience, either in Communications, Public Relations or Product Marketing in technology (B2B experience preferred)Excellent and prolific writing skills; extensive copy-editing experience; use of the Oxford commaA self-starter who instinctively and consistently creates personal and professional stretch goals and meets themWorks superbly with others with the ability to build trust and work effectively in a highly collaborative environmentSkilled at planning, organizing, prioritizing and executing simultaneous projects and activities. Ability to work well under pressure and adapt quickly to changeExcellent writing and editing skillsFamiliar with WordPressSolid understanding of primary social media channels, content development and metricsHuge curiosity and ability to think creativelyExtremely personable with a sense of humor","At Wiredrive, we believe that the work we do is part of a larger experience defined by the thousands of inspired creatives who create and present their work every day.  We believe that smart, motivated and dedicated teams can do great things and we look to get out of the way so this can happen.Working at Wiredrive, has its perks… There is a keg-orator (free beer, yay!) and a fully stocked kitchen with snacks and staples for meals, BBQ lunches on the patio, generous benefits, and a killer location in Marina del Rey.  But the real reason to want to work at Wiredrive, is the people.  We play as hard as we work and we play to WIN!  Privately held and profitable, Wiredrive is growing fast.Wiredrive offers health insurance (100% premium coverage), 401k program with company match, paid vacations/holidays, a monthly gas allowance, and employee referral bonus.Still reading?  Reach out directly to Jerome Helton at #EMAIL_6dbe0a9e4b2f76ef04d095c1685f2c1d052e7cf2549ea30a620420c08875ed83#. ",0,1,0,Full-time,,,Entertainment,Marketing,0
12353,Web Solutions Architect,"AU, QLD, Brisbane",Squiz ,,"Squiz is one of the world's leading web solutions companies. We design, build and manage engaging websites and online applications using our Web Experience Management Suite, the Squiz Suite. Our clients include many household names and range from large global organisations to Government bodies and charities. We have an international network of offices in Australia, the UK, USA, New Zealand and Poland, and employ over 250 permanent members of staff.As a team, we are talented, motivated and enthusiastic. We live and breathe the web and our passion is finding new and innovative solutions using Squiz products alongside the latest web technologies. Our staff give 100% and are offered a high level of autonomy, responsibility and opportunity in return.","With offices across Australia, Europe, the United Kingdom and the United States, were both a technology company and a digital agency that builds engaging online experiences.  We are in growth phase here at Squiz and were looking for that someone special to join our Production team in Brisbane - someone who wants to work in a start-up culture (in an established organisation) with a bunch of talented and smart people by their side.  The Web Solutions Architect will be responsible for:The overall design of the application and mapping the business requirements to systems/technical requirements and surrounding integrations. Conducting process flow analysis and process redesign;Producing a detailed functional design document to match customer requirements;Configure and implement CMS/CRM solutions, on your own or as part of a teamParticipate in and lead, when needed, project meetings with the customer and participate in internal projects as required.  What we are asking of you: Tertiary qualifications in Computer Science, Software Engineering, MIS or equivalent preferredExperience delivering solutions with PHP, XML, REST, JSON, SQL, JavaScript, SOAP and enterprise integrationExperience in Web, CSS, HTML and web standards.Enterprise CRM or CMS experience, preferably on Linux or UnixPrevious client experience and capacity to engage with clients regarding the technical aspects of their projectStrong commercial acumen and ability to translate technical requirements into a commercially sound solution.Good organisational, time management and prioritisation skills for working on multiple tasks/projects simultaneously and meeting project deadlines. What are you looking for that we can offer? To work with the latest web technologies tick. To spend time with innovative and awesome people tick. Lunchtime fitness sessions and social events tick. Ongoing training and development tick. Growing global company with genuine career development prospects tick.",,,0,1,0,Full-time,Mid-Senior level,Unspecified,Information Technology and Services,Information Technology,0
2621,MOBILE FRONT END PROGRAMMERS - VLinks Media,"US, IL, Chicago",VLinks Media,,"Founded By Entrepreneurs, For Entrepreneurs Catapult Chicago is the unique, digital start-up community located in the heart of Chicagos River North neighborhood. Founded by entrepreneurs for entrepreneurs, Catapult Chicago is the only non-profit, 501(c)6, working space for Chicago start-ups that have demonstrated business traction. It is our mission to help grow the next generation of technology startups in Chicago. Catapult Chicago Adheres to 3 Core Values PASSIONThe success of our residents drives every decision at Catapult PEER SELECTIONGroup selection of the most talented, ambitious, and collaborative teams  those with business traction MARKET IMPACTSetting new examples of engagement with the business community","OVERVIEWVLinks Media is one of the top education technology companies in the nation! And we want YOU! We are looking for passionate front end front developers who are ready to change the landscape of learning. Work directly with the C  Level teams of billion-dollar organizations in creating and executing their mobile learning strategy. We are quickly expanding which provides immediate room for internal growth. (#URL_c81213ab41bed13296e8d4a66d70882058a583ce19fd0fe8e68b286bbae66dbd#)Both iOS and Android Developers wanted!WHAT YOU NEED FOR THIS POSITION:Java, Struts2, and Hibernate experience is a plusSQL experience with MySQL and SQLiteExperience with Subversion and proper branching/taggingManaged or led large software development projectsBachelors in Computer Science or related fieldRESPONSIBILITIES:Create robust, high-performing, maintainable, high-volume production applications, as well as work with our talentedproduct team to develop prototypes quicklyCreating cobranded experience for partnersUnderstand the best practices of mobile web development and work closely with the product team to suggest ways and means of improving customer experience, site performance and monetization","EXPERIENCE:1+ years of managing or leading large software development projects and mobile app developmentSKILLSObjective C,Android SDK,Javascript,SQLite,Java is a plusPhotoshopEDUCATION:Bachelors in Computer Science or related field.Masters in Computer Science is a plus!",COMPENSATIONContract or Full timeHigh growth potentialSalary + Equity + BenefitsExcellent and fun work environmentWork directly with Chiefs of company,0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
1453,Digital Marketing & analytics executive,"GB, LND, Fulham",,,"Founded by serial entrepreneur Clive Jackson and launched in 2011, Victor is one of the worlds most trusted names in private jet charter. With only a 5% fee for online bookings, Victor offers the broadest selection of quotes for charter flights at the best prices directly from the operators. With access to over 700 contracted aircraft, Victor can get you airborne in less than 2 hours from almost any airport around the world on any aircraft type. We're a small and growing agile team. As a team we aspire to the hacker culture and we care about what we do. We want to produce simple, elegant solutions to difficult problems. We take pride in getting the job done right. We want to improve as individuals and improve the team and wider organisation around us.Our development team use modern technologies, tools and methods to produce a product that our customers love. We want our applications to be ubiquitous; any time someone is booking a jet they'll be using the Victor platform.We choose the best tools for the job and right now that's mostly node and Angular, with MongoDB and a smattering of Python.For vacancies for our other companies, #URL_8b5fe34131f015b09e2b4b01097392477242e0de74786a62a71e91e1e5dbfba7# and Global Beach, please click on links below:#URL_c31909b471fce3a2c3ea962a074a37e919edf78b8dfb90c4a3a9ace570542adf#http://global-#URL_c4958175db594f9b8c88259f8f6fa850085a15c4723021f19596b2a9752dc62f#","We're Fly Victor, and we're revolutionising the private jet market. Think Airbnb for private jets; we're creating the marketplace that connects operators of aircraft to our members who want to fly them, and allowing them to be purchased as a whole aircraft or by seat. We've just raised £5m to take our initial application to a global scale, and you might have seen us in the Guardian, Telegraph or on Bloomberg over the last couple of weeks.We're looking for a digital marketer to help our business through it's next stage of growth through inbound lead generation. The perfect candidate will have worked in a fast moving environment, and will have had exposure to as many of the key digital marketing skills, including digital advertising, SEM, SEO, email marketing, CRM and analytics, with any exposure to mobile a plus.Were looking for an individual who can not only own this area, but can work in conjunction with the head of marketing, and product management to build out the digital strategies into working product features. Any experience working with product management or technology teams would be an advantage.Youll love numbers, and the process of drawing insights from the wealth of data we collect. Youll be responsible for turning our data into easy to consume dashboards and actionable insights for our team, and will then be a key part of the process to turn those insights into functional enhancements to our products.",Key skills (as many as possible):- Digital advertising- SEM / PPC- SEO- Email marketing- CRM (we currently use Zoho)- Analytics (we currently use GA and mixpanel)- Social media- Mobile marketing- Experience working with Usability / product management / tech teams,,0,1,1,Full-time,Associate,,Airlines/Aviation,Marketing,0
2670,Jobs for Specialist Gastroenterologist in Dubai,"AE, DU, Dubai",Medicine,,"We the Medical Recruitment Team of Roland and AssociatesRoland &amp; Associates is a Corporate Recruitment Organization providing solutions to Global MNCs for the past 11 yearsWe specialize in High end Recruitments in IT, Telecom, Embedded, Chip Design and Non-IT (Manufacturing, Engineering, Oil &amp; Gas, Infrastructure, BFSI, FMCG, Automobile,Aeronautics, Pharmaceutical, Healthcare and Life-Sciences and Business Advisory) domains. We have a strong team of more than 100 employees operating from multiple offices in Bangalore located on HAL Airport Road.Visit us at #URL_1722ee2d0bc47c66058101f0a811deb4a0991ebf80d1cadd752ef83c41ebfd15# / #URL_387f3642127667d307b8bfb226e4fb0d52ab6c3140cc7d544b8027e21c4fc3a5# and #URL_38dc1f46e3e24b1dc78c6df531cec0dd30529f43f08b4d7a62a4dbfc8377f4cf#",Jobs for Specialist Gastroenterologist in Dubai We are The India's Largest Medical Recruitment company Roland &amp; AssociatesWe are Hiring Specialist Gastroenterologist Doctors to work with one of the reputed Health Care Group in UAE which provides good standard of living and assured career growth.  Job Requirement Designation : Specialist Gastroenterologist Qualification : DM/DNB Experience : 3+ years post qualification Salary : As per standards(Tax free salary + Benefits) Job Location : Dubai/Abu DhabiInterested professionals who wish to explore this opening can send your updated CV to #EMAIL_0687b9656f843689dea8f44da6cafa830fe2dc77029c46e7b0d0c926dcbc1204# OR Feel Free To Call me on +91 80 42821630 (Anoop Gurunathan),Gastroenterologist,Tax Free Salary,0,1,0,Full-time,Mid-Senior level,Doctorate,Hospital & Health Care,Health Care Provider,0
16851,Lawn Crew Member,"US, MI, Washington Twp.",,,"United Lawnscape, Inc. sets the standard in the green industry. Our commitment and passion for the outdoor environments of the American landscape generate our drive to provide the highest level of craftsmanship with honesty and integrity. The United Lawnscape team is vigilant in our goals of harnessing imagination, creativity and value by delivering those qualities to our customers with high regards toward quality and safety. It is imperative for us to be a national leader in the development and maintenance of the communities in which we live.","United Lawnscape, Inc. located out of Washington Township, MI. is looking for a talented, hardworking individual to join our Landscaping team for the busy season. The ideal candidate will have one or more years experience working with landscape blueprints, ability to follow directions, work on his/her own, and a hard work ethic. This is a full time position. Year round employment is available if you prove yourself and work hard!The position entails working on a three or four person crew, providing reliable top scale landscape installation to a variety of clientele in the Southeast Michigan area. This position is a 40+ hour job, working outdoors in all types of weather. ",Job Requirements:2-3 years Landscaping Experience is preferredReliable transportationOpen schedule with ability to work occasional Saturday,Full-Time seasonal employment with eligibility for year round. Competitive pay with upwards mobile pay structure.,0,1,1,Full-time,Entry level,,Staffing and Recruiting,,0
15643,"Sales Managers - Automation MS Office - Newnan, GA","US, GA, Newnan",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Sales Managers is required having responsibility to promotes, sells and secures orders from existing and prospective customers through a relationship-based approach, managing sales for lines to maximize revenues and meet corporate objectives.C - 42Job Responsibilities: Promotes, sells and secures orders from existing and prospective customers through a relationship-based approach, managing sales for lines to maximize revenues and meet corporate objectives.Demonstrates products and services to existing and potential customers and assists them in selecting the products best suited to their needs.Collaborates with the President, the CSO and the US Territory Manager in establishing the sales goals for the company for the product lines and establishes marketing criteria and manages marketing of products.Establishes, develops and maintains business relationships with current customers and prospective customers for products in North America to generate new business.Makes telephone calls and in-person visits and presentations to existing and prospective customers.Researches sources for developing prospective customers and for information to determine their potential.Identifies new business opportunities by identifying prospects and evaluating them.Sells products by establishing contact and developing relationships; recommending solutions.Maintains relationships with clients by providing support, information, and guidance.Develops clear and effective written proposals for current and prospective customers.Expedites the resolution of customer problems and complaints through the correct internal channels.Coordinates sales effort with the pre-sales team and sales management.Contributes to a team effort. Analyzes the markets potential and determines the value of existing and prospective customers value to create an annual Business Sales Plan with the President, the CSO and the US Territory Manager.Plans and organizes a personal sales strategy. Semi-annually assesses the market for treats, new competition, trends and emerging technologies. Keeps abreast of product applications and market conditions. Identifies and suggests product improvements.Supplies management with written reports on key customer trips and meetings.Supplies management with oral reports on needs, problems, interests, competitive activities, and potential for new products/services.Markets products through advertising and promotional means.Tracks media trends and activities.Participates in trade shows and conventions as approved by the President and CSO.","Must have experience in the automation, conveyance and/or logistics sector.Experience and/or technical knowledge of electrical components is beneficial.Demonstrated aptitude for problem-solving and ability to determine solutions for customers.Ability to understand complex technical production lines.Ability to generate a product specification to fulfill the customer application.Must be results-orientated and able to work both independently and within a team environment.Must have excellent verbal and written communication skills.Proficient in Microsoft Office Suite applications and contact management software.Valid drivers license and willingness to travel.   Educational Qualifications:Possession of a post-secondary degree in Business or Engineering. We Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,0,0,0,Full-time,,,Marketing and Advertising,,0
14806,Business Analyst- Equity Trading - Fidessa,"US, NY, New York",IT,130000-150000,Triangle Workforce is a unique and refreshing workforce provider who bypasses the traditional antiquated methods of recruiting by embracing proprietary technical methods and utilizing company sponsored developers to reach out to their communities on our behalf.What we are able to do is remove the stigma and blocks that most recruiting firms encounter by making sure we completely understand what potential developers are capable of and looking for. By having developers speak directly to other developers we gain instant respect and greatly enhanced communications which help us discover matches that far surpass the results of typical companies in a timely and effective way.Give us a try and you won't ever go back.,"The Business Analyst will be responsible for gathering business and functional requirements for various Sales &amp; Trading requests and projects.  He/She will prepare project plans, gap analysis, and test cases and will be responsible for seeing projects through from start to finish.  The Business Analyst will work primarily with the Fidessa Trading System as well as various other trading applications use by both front and back office users.The successful candidate will write detail business &amp; functional requirements, maintain project issues lists, provide release notes/training to trader community for any system modifications/enhancements and participate in preparation of test cases with QA department.","RequirementsThe following are the minimum requirements for the position:Knowledge of equity trading business, Fidessa Trading System, FIX protocol, and market regulatory/compliance requirements.Experience working with traders and trading management.Excellent communication skills - both oral and written.Excellent organizational/presentation &amp; listening skills.Understanding of full systems project lifecycle and trade lifecycle.Basic understanding of network connectivity and SQL.Ability to adapt to change in work priorities as requested and multi-task within various projectsAbility to handle project from inception to completion.Option Trading and Program Trading knowledge a plus.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,Business Analyst,0
3339,Android Developer,"GB, , London",Medopad Technology Unit,,"Medopad is the leading enterprise mobile health solution that delivers patient information and clinical applications straight into the palm of a doctors hand. The Medopad mobile health operating system mHOS™ enables near realtime access to patient information and more. As a result doctors can use the intuitive Medopad mobile app to securely access patient records, lab results, vitals, radiology images and more. With its simple design, breakthrough technology and disruptive clinical applications, Medopad has revolutionised the way healthcare professionals work. Our team members are the brains behind some of the healthcare industrys breakthroughs, including the revolutionary mobile health operating system mHOS™ and world-class clinical applications like Medical Image Viewer, Admission App and more.Founded in 2011, Medopad has grown rapidly and now has team members across 4 international locations (UK, US, Germany and UAE). With our first major enterprise wide rollout on its way, were growing our team in preparation for international expansion.To learn about our company culture, please visit our fan page here: #URL_8a12892a77b6605b99ed18178a36f754aa716bc776f7080e896d2458a6bede33#Or watch our TV Ads here: #URL_e44388e84da0d8e3e7d0af5b3793d9c7231fd94396563f0872a8ac1814c92461#","We are looking for an Android Developer who possesses a passion for pushing mobile health technologies to their limits and will work with our talented team of engineers to design and build the next generation of Medopad applications for Android tablets.ResponsibilitiesDesign and build advanced applications for the Android platformCollaborate with cross-functional teams to define, design, and ship new features.Work with outside data sources and API'sUnit-test code for robustness, including edge cases, usability, and general reliability.Work on bug fixing and improving application performance.Continuously discover, evaluate, and implement new technologies to maximize development efficiency.Our software engineers are the brains behind some of the healthcare industrys breakthroughs, including the revolutionary mobile health operating system mHOS™ and world-class clinical applications like Medical Image Viewer,  Admission App and more. With our first major enterprise wide rollout on it's way, Medopad is growing its technical team in preparation for international expansion.At Medopad, Youll be challenged. Youll be inspired. Youll be proud. And youll be saving lives.","BS/MS degree in Computer Science, Engineering or a related subject4+ years of software development experience2+ years of Android developmentHave published at least one original Android appExperience with Android SDKExperience working with remote data via REST and JSONExperience with third-party libraries and APIsWorking knowledge of the general mobile landscape, architectures, trends, and emerging technologiesSolid understanding of the full mobile development life cycle.","If successful, benifits will be discussed. ",0,1,1,,,,,,0
9977,Technical Project Manager,"FR, J, Paris",Dev2 to 5 years experience in a client-facing technical role. Ability to diplomatically address customer concerns and provide feedback. A firm understanding of the technology stacks common to the Web ecosystem. A demonstrated history of creating non-trivi,40000-45000,"Motwin Platform is the leading device-oriented data orchestration layer enabling mission-critical developers to quickly create simple and fast webmobile &amp; IoT applications out of fragmented cloud sources. Thanks to our fast-growing platform, more than 250,000 users today enjoy a dazzling apps experience. We need your help us to drive projects to success. Motwin has been adopted by Fortune 500 financial and industrial companies such as BNP Paribas, AXA, Société Générale, La Banque Postale, BPCE, Schneider and Custom Sensors &amp; Technologies to release global mission-critical applications.","You will coordinate entire global projects for our largest custoers and partners, from specifications to release of web and mobile critical applications.Customers and partners will look to you for technical guidance on architecture and risk mitigation along the way.You will interface with customers, partners and system integrators located in Europe, Asia and the USA.You will be the voice of Motwin to our customers and partners; well count on you to interact in a friendly and professional way.You will interact with our customers' and partners' developers, architects and support personnel to resolve complex problems with potentially very costly and far-reaching consequences.You will help customers and partners understand the finer points of Motwin capabilities and influence them to implement best practices.You will interact, collaborate and network with our Product Development team in your search for solutions that our customers need.","2 to 5 years experience in a client-facing technical role.Ability to diplomatically address customer concerns and provide feedback.A firm understanding of the technology stacks common to the Web ecosystem. A demonstrated history of creating non-trivial applications in Java, .Net, Ruby, Python, PHP, Javascript or some other modern, general purpose programming language.iOS and Android programming experience.You are good at and enjoy debugging other peoples code.Solid understanding of computer networking.Experience with troubleshooting and resolving QoS (Quality of Service) issues.Experience working with team members in different geographic locations and timezones.Regular travels to our Headquarter in the Alps, with a 1 month induction period during ski season.You hold a Bachelors degree or have equivalent work experience.","One month induction in Grenoble during ski season.Competitive package, great location.Solid scrum team spirit with a straightforward focus on quality.Your voice will be listened as a key element to prioritize stories in sprints.Work hard, play hard.",0,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Consulting,0
9088,Linux/Windows SysAdmin and Support Specialist,"US, VA, Fairfax Area",,40000-50000,"Slable is a small I.T. consulting firm based out of Woodbridge, VA. We offer computer/network support, VoIP telephone systems, website/server hosting, and much more. While our office is in Woodbridge, we service other businesses mostly in Northern Prince William County and Fairfax County, and are dedicated to providing our clients with excellent customer service!","Slable is a small I.T. consulting firm based out of Woodbridge, VA. We offer computer/network support, VoIP telephone systems, website/server hosting, and much more. While our office is in Woodbridge, we service other businesses mostly in Northern Prince William County and Fairfax County, and are dedicated to providing our clients with excellent customer service!We are looking for reliable technicians/system administrators working on an on-call and scheduled basis to assist with our day-to-day operations, which include servicing computers, phone systems, and networks. Depending on the event you could either be out in the field, behind a desk at our office, or at home replying to emails/support tickets. The majority of the job would entail maintaining Windows and Linux servers and remotely working with our clients to resolve comuter and network issues.","Eligibility:- Must be 16 or over with a valid driver's license- Must have a car available at all times; please do NOT apply if you do not have reliable transportation (gas costs to and from client locations are reimbursed)- Must be able to respond to emails, support tickets, and texts at all times during shift, as well as have the ability to be on-call when needed (a smartphone with a data plan is a must - we will reimburse for any work-related charges)- Must be able to sit, stand, or kneel for prolonged periods of time- Must be able to lift and carry heavy items- Must have flexible shift availability, including nights and weekends- Must demonstrate excellent verbal and written communication skills as well as strong telephone and customer service skills (strong writing skills are a REQUIREMENT)- We welcome students, and will be glad to work with your school schedules as long as we are given them in advance- Should possess strong analytical skills, and effective interpersonal relationship skills- Must be able to sign a non-compete: you may NOT work for a competing company simultaneously--------------------------------------------------------------------------------------Required Experience:- Working advanced knowledge of networking, security, and storage fundamentals - Working basic knowledge of backup and storage architecture - Deploy and provide day to day support of small sized Linux and Windows environments - Ensure customer uptime and performance through monitoring, proactive support, maintenance, and utilization of best practices - Establish lasting professional relationships with each customer through frequent communication and consistent demonstration of proficiency in Linux, Windows, and other related technologies - Must have a basic to intermediate knowledge of three or more of the following server side operating systems and applications: -- Debian -- Red Hat Linux (CentOS) -- Other Unix-based platforms -- Windows 2003/2008 Server -- Virtualization (KVM, Xen, and OpenVZ) -- cPanel/WHM - Must have basic to intermediate ability to use/configure the following user-side applications and operating systems: -- Windows XP/Vista/7 -- OSX is a plus, but not a requirement -- iOS and Android -- Microsoft Internet Explorer, Mozilla Firefox, or Google Chrome -- Microsoft Outlook Client and/or Microsoft Outlook Web Access Client -- Google Apps- Must have a basic to intermediate knowledge in the following miscellaneous areas:-- HTTP Protocol-- DNS Protocol-- SMTP Protocol-- SSH Protocol-- FTP Protocol-- POP3 and/or IMAP Protocol-- MS Terminal Services (Remote Desktop Protocol)-- MS Activate Directory and Group Policy-- Network Connectivity--------------------------------------------------------------------------------------The following are a list of things that are a plus, but not required:- CompTIA A+, Network+ or Linux+ Certifications- CCENT, CCNA, &amp; CCNP Certifications- Experience with Juniper/Vyatta/Cisco routing/switching platforms (HUGE PLUS)- SQL and Database Service Knowledge- Hands on experience with networking such as punching down cat5e/6 patch panels, or running cable drops- Experience managing systems supporting various database platforms including MySQL, Postgres, and Oracle- Have a working knowledge of MySQL administration and replication solutions- Familiar with deploying and maintaining Apache configurations- Able to support various mail servers such as Exim and Sendmail- Experience with scripting and automation- Experience with directory services, particularly LDAP- Able to support large, geographically distributed DNS environments- Experience with physically configuring and deploying servers in a data center environment- Ability to compile Linux applications: PHP, Apache, Kernel, FTP, MySQL- Proficiency with Bash and Powershell",We are looking for employees who can grow with us and become a significant part of our team. Please see a few of our highlighted benefits below:Competitive compensationPaid vacationPaid sick leaveProfessional but relaxed environmentFlexible work scheduleFree meals provided when working weekend shifts,0,1,1,Full-time,Associate,Unspecified,Information Technology and Services,Engineering,0
9049,Graduates: English Teacher Abroad (Conversational),"US, WA, Pullman",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Openings in China$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsPlease let us know when you would be looking to start when applying",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
4957,Campaign Sales Assistant,"NZ, N, Auckland",West Auckland,,"CallCentre People Recruitment is recognised as being specialists within the CallCentre industry.  We provide permanent, temporary, contract and management staff for a number of large national and multi-national businesses in various industries.","Our client is an international franchise organisation with world class products backed by world class marketing and selling systems based in West Auckland. With over 500 employees and contractors employed in 20 franchises in NZ and operations in Australia you want to be a part of this company!As the campaign assistant you will be involved in day-to-day management of campaigns for the Centralised Contact Centre based in central West Auckland. In particular, the role executes the campaign programme plan and focus on campaign goals, to drive customer acquisition, cross sell and overall customer lifetime value. The role plays a key part connecting campaigns and sales, and is an influencer of sales performance.","Your key responsibilities will be to:Campaign ManagementCreate CRM marketing campaigns for all current and future product linesLiaise daily with sales leaders who execute campaigns for feedback on performanceDelivery of on-going campaign specifications in a manner that ensures timely lodgment of campaignsCreate, execute and report on campaign workflows, providing insightful analysis on campaign effectiveness and performance trends Data ManagementUndertake regular audits to identify and report on any data quality issuesManage campaign rules and prepare data extracts of customers for the channelsMonitor and measure the performance of the data against benchmark and KPI expectations for quality Performance ReportingMonitor monthly performance reporting to ensure it is consistent with the plan and forecastPreparing ad-hoc reports for different teams, managers, decision makers and departmentsRepresent the client in a positive and professional mannerPromote the client culture and act as a role model of the client valuesEndorse the client “Leave No Home Behind” message and create opportunity to bring it to life on a constant basisEmphasis on drive, tenacity, resilience, confidence and a general aptitude for sales, marketing and customer serviceProven Adaptability  ability to think on the goDisplay excellent relationship building skillsHave the ability to communicate effectively and influence stakeholders at different levelsHave the ability to work under pressure, manage conflicting demands and meet tight deadlinesSkills, Knowledge and Experience Required:Sound knowledge and experience with Microsoft Office Suite especially MS ExcelExperience with Customer Relationship Management softwareSome exposure to sales, marketing and/or customer servicesAn ability to understand sales and marketing business requirements and translate these into smart efficient technical resultsAbility to be hands-on, flexible and willing to assist across the team to ensure its successBe analytically minded, have an ability to up-skill in CRM to an advanced user levelDisplay the ability to read and product spread-sheets, exposure to reporting driven environmentsExperience of, or can display understanding of heating and ventilation products",In return you will receive: Competitive SalaryOpportunity to work with a client who is a leader in their respective fieldCentral West Auckland locationMonday to Friday hoursExciting Sales EnvironmentIf this sounds like you then I want to hear from you! Click “Apply” now ,0,1,0,,,,,,0
4953,PHP/LAMP Developer,"GB, GBN, London",Technology,40000-60000,"MarketInvoice is one of the most high-profile London based fin-tech companies. The Company is Europes leading P2P invoice finance platform that allows SMEs to quickly and flexibly sell their invoices, releasing critical working capital in the process.Our mission at MarketInvoice is to modernise the way in which SMEs finance their working capital and fund their growth. We are seeking to bring much-needed innovation to the banking industry in much the same way that online retailers disrupted the high street.You will be joining a diverse, multi-talented, fast-growing team that is passionate about using technology to help businesses access funding and change the world of business finance.","Were looking for an outstanding PHP developer who wants to take ownership of our internal systems.We have a great in-house tech team already whove built a lead tracking and reporting system from scratch. It sits alongside a customised off-the-shelf CRM. Youll be taking control of these internal systems from early on, looking at how we can build the best possible tracking and reporting tools known to man. Youll become a lynchpin in the MarketInvoice team, working with people from all departments to understand all the different user needs and working to solve everyones problems.You will have the freedom to rework our systems if necessary- how we track our users and our leads is really core to everything we do. There will be a ton of new features to build and theres also a lot of work that needs to be done on the user experience side, too.Being part of a small team means youll also be able to work across different projects, including our platform and our website.","Experience building full-stack applications, using LAMP stack (PHP and MySQL)Familiarity with a OO MVP PHP frameworkKnowledge about what makes a brilliant CRM systemGreat communication skills","Full time salary of £40-60,000 depending on experienceHealthcare cover and other great benefits like half price gym membershipAn opportunity to progress your career in one of finances hottest startups ",0,1,1,Full-time,Associate,Unspecified,Financial Services,Information Technology,0
7473,QA Technician,"CA, ON, Ottawa",Production,,"Magmic is a leading developer and publisher of mobile social games and entertainment. We publish a full range of content, from original titles including Ka-Glom, and Texas Holdem King to top licensed content from brand name entertainment publishers like Mattel, Disney, and Rubiks Cube. We have led the mobile entertainment revolution since 2002, and currently ship products to all major app stores including; iTunes App Store, BlackBerry World, Google Play, Amazon App Store and various carrier decks. Privately owned, Magmic is located in Ottawa, Canada in the heart of the Byward Market.","The QA Technician will be expected to jump between projects on short notice, sometimes even outside of his/her home studio, filling out test plans created by more senior technicians, and logging any issues/defects in bug-tracking software and on the test plans themselves, and tracking their progress when necessary. Sometimes the technician will also create test plans at the discretion of the Senior QA Technician. Additionally, the QA Technician should be prepared to report concerns to senior QA staff or producers, and also tackle any special requests by senior QA staff or producers that go beyond testing, including discussing issues and problem-solving with developers, attending certain meetings, or exploratory quality assurance work. Testing live products to reproduce customer reports will also be a necessary part of the job. Extra availability of the QA Technician during periods of heavy workloads will be necessary. The QA Technician will also master, from a QA standpoint, at least one project that comes under the influence of a particular studio or third-party development house.Key Responsibilities:Executing test plansLogging issues and tracking their progressProblem solving with developersProviding further information to developers as neededPost-release testing and verifying existence of bugs in the wildUpdating &amp; reporting concerns to superior QA (or producers or stakeholders)Being available during crunch times to support QA testing needsOccasionally supporting the Senior QA Technician by creating test plans from design specifications and requirements, providing test estimates, or checking design documents to request for QA/debug features.","An attention to detail; strong communication skills to fully describe issues/defects with exhaustive reproduction steps and to interact with other QA staff and developers; ability to work with minimal supervision; a dedication to the QA career path and a willingness to learn and grow in this direction.A limited amount of experience is required to be familiar with QA culture and to demonstrate a dedication to the QA career path in at least the medium term. An appreciation for the technology, and a willingness to learn; being dedicated to detail and thoroughness is crucial.","WHY US?Full Benefits - Comprehensive medical, dental, &amp; vision plans for you and your family. Free Lunch  Get free food and learn at our Eat &amp; Innovate sessions. Flexible Hours - Whether youre an early bird or a night owl we wont mess with your mojo. Location, Location  Located in the Byward Market, easy to get to from all over the city. Competitive Salary  You will get paid well to have Fun. Enough said. Gifts - We love giving gifts. One for birthday, one for your work anniversary, and more surprises . Conferences - Want to engage the industry at a cool conference? Just ask. Corporate Retreats - Yes, we have a retreat, in the woods on the Great Rideau Lake.",0,1,0,Part-time,Not Applicable,Unspecified,Media Production,Quality Assurance,0
6512,Graduates: English Teacher Abroad (Conversational),"US, IN, Notre Dame",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
12800,"Life Insurance Agent - Orlando, FL","US, FL, Orlando Area",,,"Even though weve been industry leaders for years, weve never lost sight of our primary goal: to serve and protect our clients and their families, and create opportunities for our employees. Its what drives us every day.We take that mission seriously, and believe that while WHAT we do improves our clients and our representatives lives, HOW we do it sets us apart from other industry providers. We protect the families we serve  and provide the peace of mind that comes from knowing youre insulated from lifes unforeseen twists and turns. We offer the security that lets you sleep at night, with our personal commitment to go above and beyond in search of the solutions you need.We love our work, and we love the people we work with, so it makes it easy and fun  to do the best, and be the best we can  let us show you how we can be of help to you!","Why become an ASUREA agent?People work with us because they make more money in insurance, period. We are the fastest growing independent career agency in the nationWeve got an A+ Rating with the BBB due to us taking care of our customers and our people.Exclusive Leads marketed just for youFull Support with Contracting and New ApplicationsTraining programs to keep you product versedContracts with all the Top Industry CarriersFull Agent Portal to retrieve leads, submit applications and check on your businessSocial Media Platform for all ASUREA Agents to share experiences and knowledgeMake money quickly as a 1099 Commission Only Agent",We are looking for Agents that have:Life &amp; Health Insurance License (REQUIRED)Leadership and Accountability skillsShared vision and purpose of ASUREAA passion for Helping PeopleTogether we can Change the Face of Insurance!,,0,1,1,Full-time,Not Applicable,High School or equivalent,Insurance,Sales,0
14389,Digital Marketing Intern at Driftrock ,"GB, LND, London",,18000-18000,"Social Media has the power to shape, educate and grow amazing organisations across the world. We're on a mission to make this a reality for everyone, from the street-side cake shop, through to global enterprises.Experiment.We are born experimenters. Everything we do revolves around testing, questioning and breaking away from the norm. Everything from cracking the latest ad formats to changing the way we work.Simplify.Digital media is often confusing, complex and scary when it doesn't need to be. We relentlessly focus on bringing simplicity and practicality to our tools and advice. No wishy washy mindset stuff, just results.Be good.We love nothing more than helping businesses discover an audience. Even the best ideas are nothing unless they are heard. Every month our team helps new startups and charities find their voice online.","A quick introBased near Shoreditch in London, Driftrock is revolutionising the way brands advertise on Social Media. We use data, such as the weather, to help advertisers reach people at the perfect time, with content they love.Driftrock's team consists of expert digital performance marketers with 10+ years experience scaling campaigns through Facebook Ads, Google Adwords and Twitter Ads. We're looking to share this knowledge with candidates passionate about a career in digital media. About the roleYou will work closely together with Matt, the CEO on a variety of digital marketing campaigns for both Driftrock and our clients using our cutting edge technology. This will include setting up and managing ad campaigns in Facebook, Google, Twitter and other platforms. This is an exciting opportunity to learn from experts in the marketing and technology space, with a well-funded, early stage start-up in the heart of Londons tech scene!There may be the opportunity for full-time work after the internship.","You have the necessary permissions to work in the UK.A career in digital marketing is top of your list.Outgoing, friendly, and love having a laugh.Excellent writing and communication skills.Highly organised and dependable.You enjoy working in small teams at pace.You have a capacity for hard work and the time available to dedicate yourself fully to the role (2-3 months)","£1,500 salary per month as a paid internship. Learn from marketers with over 10 years experience in digital media. Free gym access within the office. ",0,1,1,Contract,Internship,,Marketing and Advertising,Marketing,0
16947,Client Service Professional,"US, KY, Louisville",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Our clients are interested home owners who would like to purchase home improvement products. Speaking with clients may involve product inquiries, product or service orders, appointment scheduling and explaining all current promotions and pricing.Career Opportunity:You deserve an opportunity that provides exceptional benefits and security with room to grow.  We are expanding to 5 more cities this year with unlimited opportunities to move up in the company.","Requirements:    Prior customer service experience preferred    Ability to diagnose customer issues quickly    Excellent written communication and documentation skills    Self-motivated and able to work independently    Ability to communicate and work effectively with team members    Professional, articulate voice    Enjoy working in a fast-paced environment while maintaining a professional attitude",We offer:Paid professional trainingMedical and dental benefits for everyone (P/T and F/T)401(K)Vacation and holiday payFull-time and part-time shifts offered,0,1,1,,,,Consumer Services,Customer Service,0
8782,Senior and Intermediate C# Developers,"NZ, N, Auckland",,,"HSAGlobal specialises in solutions enabling connected care, to reduce the impact of the heaviest users on the healthcare system.  Our vision for connected care applies across multiple health providers and is patient-centric. It includes integrated, shared, collaborative or co-ordinated care. HSAGlobal partners with forward-thinking healthcare purchasers and providers who want to shift towards a connected model of care. The HSAGlobal team is experienced in successfully leading connected care projects in private and government healthcare organisations in New Zealand, Australia and Singapore. Our experience and expertise is backed by a software platform purpose-built for connected care. Our team of professionals can share years of clinical, technical and change management experience, gained over a number of connected care projects, to help organisations succeed in implementing this new way of working.","Due to ongoing successful growth we need senior and intermediate level Developers who have skills using Microsoft technologies, primarily using C# as the development language and MS SQL Server as the database.HSAGlobal are a New Zealand based business specialising in solutions enabling connected care to reduce the impact of the heaviest users on the healthcare system.  We have a great team and are passionate about our product and our clients around the world.  We have ambitious targets and to help us achieve these we employ leading professionals. To join this outstanding team you will need to show us that you are a self-starter capable of working both independently and in a team environment and that you have the ability to prioritise work to meet project goals.  You will be able to demonstrate your experience in some of these technologies; #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#, SQL, VS2010, VS2012, TFS, Web Services, MVC, C# .NET, CSS, HTML5, and JavaScript.  While knowledge in these areas is important, we are also looking for someone with the right team fit  a self-starter who is a good communicator and is able to get on with others in a team.  Having worked in an Agile environment would also be beneficial.So, if you want to be part of an exciting and stimulating environment where you can have a positive impact on the peoples lives, then we would love to hear from you.You must be eligible to work in New Zealand and available to attend an interview to apply for this position.","What are we looking for? Working knowledge of modern web frameworks and patterns, for example #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#, SQL, VS2010, VS2012, TFS, Web Services, MVC, C# .NET, CSS, HTML5, and JavaScript.Experience with any of the following client-side JavaScript frameworks  KenduUI, #URL_5a11468a896168b38252a058e31c208d3a6365bddf04d3591eee9cdb4fb3f32d#, Twitter Bootstrap.A good understanding of MVC concepts is important.Exposure to Microsoft Team Foundation Server &amp; Visual Studio 2010.Excellent communication skills and a strong teamwork focus.",,0,1,1,Full-time,Mid-Senior level,Unspecified,Information Technology and Services,Information Technology,0
2731,Substance Abuse Counselor (Greensboro Center),"US, NC, Greensboro",,,"Crossroads Treatment Centers is a nationally-accredited, medication-assisted, out-patient program that delivers fast, effective and affordable treatment for persons suffering from addiction to opiates.  We provide effective and affordable outpatient treatment for opiate dependence. Our team of experienced doctors, nurses and therapists are passionate about treating opiate addiction. We provide medication along with counseling to help our patients recover. Our emphasis on counseling combined with medication helps drive our impressive success rate.","Are you a professional, detailed, multi-tasker who is wonderful with patients? Crossroads Treatment Centers is seeking a full-time Counselor for our Greensboro location!Responsibilities:Completing assessments, ITP's, progress notes and other necessary paperwork associated with managing a caseload of 50 patients.Providing individual and group counseling using Evidence Based Practices.Assisting patients with defining and achieving realistic goals.Conducting monthly urinalysis screenings.Providing crisis interventions as needed.Assisting in peer-to-peer record audits.Ensuring patient confidentiality.Providing supportive counseling in conjunction with Medical and Nursing Services.Contributing to the ongoing assessment and treatment planning process through participation in daily reports, case reviews, and treatment team meetings.","The most qualified candidates will possess a LCAS, LPC, or LCSW. Must be registered with the North Carolina Substance Abuse Professional Certification board - applicants who are not registered will NOT be considered for employment.This candidate will also have good problem solving and analytical abilities, as well as be proficient in verbal and written communication and have excellent customer service, organizational and interpersonal skills. Experience treating opiate-addicted patients is a plus. Candidate must be willing to work early morning hours, as work hours begin at 5 AM. No legal impediments to serving in a licensed opiate treatment program. Must have a valid driver's license or state issued id and provide appropriate license or certificate.For more information, please visit #URL_b35b6c04d847469b6bee83393468739dba5321d4b02d89f3f490975ba12cfebd# ","Crossroads offers a comprehensive benefits package for our full-time employees that includes PTO, paid Holidays, Medical, Dental, Vision, HSA, Short Term Disability, Employer paid and Voluntary Life and AD&amp;D Insurance, and 401K with company match.No phone calls, please - due to the number of applicants, we can only contact those selected for interviews. Crossroads is an EOE.",0,1,1,Full-time,Associate,Bachelor's Degree,Medical Practice,Health Care Provider,0
11328,Senior Data Analytics Engineer,"BE, BRU, Brussels",,,hello worldtalents23_ drives the change in digital recruitment and develops the best quality filters for the selection of top talents.,"We are a fast growing company specialized in data analytics and business intelligence. With 35+ collaborators based in offices in Brussels, Johannesburg, Luxembourg, Sao Paolo and Dubaï, Real Impact provides innovative data analytics solutions and consulting services for the telecommunication and banking sectors in Europe, Africa, the Middle East and Latin America.We offer a dynamic work environment with Entrepreneurship, Creativity and Innovation as main core values.As a senior data analytics engineer, you will:Be involved in solution implementations in different countriesDevelop new products on different technology (SQL, ETL, data visualization tools, data mining tools)Participate in design and code reviewsConduct and assist in product testsYou will quickly get responsibilities within the team and have the chance to play a key role in the development of the company","Job requirements:You have at least 3 years experience in databases technologies, data warehouse modeling and ETL. Experience in Data-mining is a plusYou own an Master Degree in Engineering or Computer SciencesYou are fluent in EnglishYou are autonomous and outspokenYou are pragmatic and have good analytical capabilitiesYou are a quick learnerYou are flexible, ready to travel worldwide and spend half of your time abroad","We offerAn long term contract with increasing responsibilitiesA competitive salary packageA human-sized organization, flexible and dynamicA pleasant work environment in a fast growing company",0,1,0,Full-time,Mid-Senior level,,Internet,,0
15291,English Teacher Abroad ,"US, NY, Hamilton",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
1920,Customer Service Associate - Part Time ,"US, NY, New York",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in New York, NY. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Part-time,Entry level,High School or equivalent,Financial Services,Administrative,0
11801,Cleaning Consultant,"US, CA, San Diego",,,,"This position is responsible for ensuring all assigned areas of the client's home or office are clean, neat and orderly, in conjunction with the Company's policies and procedures. Duties generally include household cleaning and organizing tasks. Position will provide excellent customer service to all assigned clients. ",Must have a car. valid driver's license and car insuranceMust have a cell phone and daily access to the internetMust be able to lift and/or move up to 25 poundsMust be punctual and reliableHave 10-34 hours a week availableMornings or Afternoons or bothSpeak English as a first language or 100% fluent for good communicationBe able to pass a background checkHigh School diploma or G.E.D. equivalent preferred Excellent customer relationship skillsExcellent organization skills,,0,0,0,Part-time,,High School or equivalent,,,0
9216,Analist / Projectleider,"BE, BRU, Brussels",,0-150000,,"Crosspoint solutionsCrossPoint Solutions NV, gevestigd te Kontich, maakt deel uit van de sterke en steeds groeiende Cronos Groep. Analist / ProjectleiderFunctieomschrijvingJe definieert samen met de gebruikers de scope. Je werkt samen met de business aan de definiëring van de business processen.Je stelt oplossingen voor die rekening houden met de behoeften en prioriteiten van de business maar ook passen binnen de IT strategie.Je zorgt voor een gedetailleerde specificatie van de oplossing (use cases, informatiemodellen, …)Je zorgt voor een goede overdracht van de functionele specificaties naar de software engineers en blijft hen functioneel bijstaan tijdens de ontwikkelingsfaseJe overlegt voortdurend met de verschillende partners in het ontwikkelproces (dit zijn de eindgebruikers, de architecten, ontwikkelaars ).Je ondersteunt de business acceptatie testenAls Project Leider ben je verantwoordelijk voor het opleveren van projecten met respect voor budget, tijd en met de nodige kwaliteit.","Je hebt minimun een bachelor opleiding opleiding of gelijkwaardige werkervaring.Je hebt een IT technologische basis kennis mbt hardware, software, operating systems, databases en toepassingssoftware.Je hebt een bewezen analyse ervaring van minimum 2 jaarJe hebt kennis van/ervaring met de UML modelleertechniek en analyse methodologieën ( BPMN , EA... )Je hebt een eerste ervaring met proces-en (IT) project managementKennis van Prince 2, PMBOK of andere best practicesJe overtuigt door je flexibiliteit, vlotte communicatiestijl en vakkennis. Je weet je te onderscheiden door je zin voor analyse en synthese in combinatie met een kwaliteitsvolle en klantgerichte houding. Een deadline zie je als een uitdaging. Je bent enthousiast, creatief en durft initiatief te nemen. Je kunt zelfstandig en in team werken, waarbij je veel belang hecht aan openheid, persoonlijk engagement, …Je bent leergierig en bereid je kennis aan te scherpen.Je spreekt, leest en schrijft vlot Nederlands en Engels. Je kan ook je mannetje staan in een franstalige omgeving. ","AanbodJe komt terecht in een sterk groeiend bedrijf met een no-nonsense cultuur.Je maakt deel uit van een team van specialisten in analyse en projectmanagementJe krijgt een aantrekkelijke verloning met de nodige extralegale voordelen (Bedrijfswagen, groepsverzekering, hospitalisatieverzekering, ...).Je krijgt de nodige doorgroeimogelijkheden en opleidingen",0,0,1,Full-time,Mid-Senior level,Unspecified,Information Technology and Services,Information Technology,0
12472,Quality Assurance Analyst,"US, GA, Atlanta",Technology,,"352 Inc. is a full-service digital agency creating websites, software and marketing campaigns. We partner with great clients to build smart solutions that drive results. To do that, we believe everything starts with inspired people, and that's where you come in. Digital experiences that define your business. Designed to delight. Built to grow.",We are looking for a Quality Assurance Analyst to join our team in Atlanta. If you're passionate about delivering quality software we'd like to talk with you about our teams and opportunity.,"Identifying test conditions from requirements and specificationsWriting and executing functional and regression test cases and reporting resultsReporting, tracking and re-testing defectsDefining Test Plans and producing system test reportsWork closely with development team to run verification and validation testing using TFSWork with developers to ensure quality of a solutionQualifications1-3 years website testing experience: Functional and cross-browser testing and non-functional testing techniquesExperience with different software test techniques and QA methodologiesExcels in automated and performance testingAnalyze and derive test cases from informal requirements, use cases or design specifications.Experience with web CMS, Node JS, C#, JavaScriptSuperior attention to detialExcellent written and verbal communication skillsWorks well under pressure and in tight deadlinesPassionate about technology and a great team player","What Youll GetFreedom: We trust you to do your thing and do it well.Education: We have a sizable conference budget for you to get out there and learn. Bonuses: We reward good work. Enough said.Benefits: Health and dental insurance provided, employer-match retirement program. See more of our benefits Our ValuesInspiration: Were fascinated by the industry and what we can do with it.Teamwork: The best results come from collaboration.Relationships: We care  a lot  about our teammates and our clients.Smart Solutions: We do things the right way, not necessarily the easy way.Celebration: We celebrate your wins, whether its exceeding clients KPI or winning H-O-R-S-E.",0,1,0,Full-time,Mid-Senior level,,Computer Software,Quality Assurance,0
4960,Infrastructure Manager,"PH, , ",IT,,,"Infrastructure ManagerSupport Operating System.Analyze System Level Performance &amp; Capacity.Perform Product Conversion.Apply System Fixes.Support Web Servers.Analyze Specific Package Architecture Impacts.Advise on IT Infrastructure Management.Perform Infrastructure Specialist-AIX/UNIX.Perform Plan, Implement &amp; Custmz Sys Mgmt.Apply Knowledge of Asset Management.Apply Knowledge of Availability Management.Apply Knowledge of Capacity Management.Apply Knowledge of Change Management.Apply Knowledge of Configuration Management.Apply Knowledge of Incident Management.Apply Knowledge of Problem Management.Apply Knowledge of Release Management.Apply Knowledge of Security Management.Apply Knowledge of Service Continuity.Apply Knowledge of Service Level Management.Develop Operations Functions &amp; Recovery Procedures.Design Systems Management Strategy.Lead Systems Management Function Improvement.Use Systems Management Method or Best Practices.Use Systems Management Tools.Skill:- Project Coordinator, Architecture, COM, Management, AIX, Java, Change Management, PHP, J2ME,Asset Management, UNIX ","Skill:- Project Coordinator, Architecture, COM, Management, AIX, Java, Change Management, PHP, J2ME,Asset Management, UNIX","Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking &amp; Financial Services, Telecommunications &amp; Media, Technology, Energy &amp; Utilities and Manufacturing &amp; Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development &amp; Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence &amp; Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.",1,0,0,,,,,,0
15169,Teaching English,"CN, 31, Shanghai",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia10,000+ RMB monthly (~2,000 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryNative English speakers only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
2497,Receptionist,"US, CA, West Hollywood",Grooming,,,"“Pride is a personal commitment.  It is an attitude which separates excellence from mediocrity.”  Author UnknownAs employees at Healthy Spot we feel pride in the work that we do.  We believe in inspiring healthy pet lifestyles by creating authentic experiences with customers and fostering a sense of community. At Healthy Spot, employees are team members, caregivers to four-legged friends, public educators, and role models.  Our pride in our work is what allows us to exceptionally serve dogs, customers, the Healthy Spot team, and our communities.We are looking for a passionate and eloquent individual to assume the role as the Receptionist for our Grooming Salon!  The individual in this position is the face of our Grooming Salon, and therefore, it is crucial for the Receptionist to maintain the efficiency of the salon as well as be the main contact for the parents of our four-legged friends. The Receptionist position requires a gregarious and operations-oriented individual, who has the innate ability to multi-task while maintaining a steadfast professional demeanor.  The individual must uphold the highest level of excellence in customer service and patience, and have a positive impact on the morale of the salon at all times.Ultimately, the individual will be the glue to the bathers, groomers, the dogs, and the parents of the four-legged friends, ensuring that the Grooming Salon is running efficiently in accordance with Healthy Spot core values and standards.  As such, we are looking for the superstar individual who prides himself or herself in customer service, is adaptable, and has the ability to maintain a calm and enthusiastic demeanor with utmost consistency.The Receptionist Will… -Lead by the highest example in customer service, communication with staff, and indoctrination of Healthy Spots culture, mission statement, and core values-Exceptionally execute Healthy Spots “GOT HEART” during every client check in-Adapt to change in a timely and positive manner in dealing with the four-legged friends, their parents, bathers, groomers, and grooming managers-Have the innate quality of being able to calmly and patiently multi-task while providing exceptional service-Positively impact the salon through his or her work ethic, passion, and positive energy-Exceptionally execute administrative tasks including properly maintaining the appointment book, daily logs, and e-mailing clients and management-Be computer savvy!-Have an outward professional appearance that matches his or her professional behavior-Perform other duties as assignedCompany Description We are a fast growing, upscale, upbeat, healthy and earth friendly pet products and services store. As our company grows, we are always looking to add new members to our team. We look for team members to grow with our company and are looking for individuals to stay with us long term.  In that sense, our recruiting efforts are stringent to find the unique individual.  We realize our success is based on our team members.  Without the individual personalities and quirks that shine in and out of the Healthy Spot atmosphere, Healthy Spot would not exist.  As such, we love to promote from within and spend time and resources to foster each team members growth.  We are proud to see team members grow from entry level to manager positions and see them gain skills and confidence both professionally and personally! Our Los Angeles based stores make customers feel welcomed and at ease. We are committed to unleashing each family's passion for their dogs by offering the finest products and services, quality information and highest care while breeding social awareness of humane compassion and canine education for the community -- all for your dog's mind, body, and bowl.#URL_6404f38988d65ee3f3cf01b27a9566452cbe5952d18a4494a53deef8235d5b0c#","The Ideal Candidate… MUST LOVE DOGS!MUST LOVE PEOPLE!Must have the ability to multi-taskMust believe in high standards - does not accept mediocrityMust be an extrovert who loves interacting with and serving customersMust lead by example with behavior and create a positive effect on the morale of the Grooming Salon!Must adapt to change quickly and positivelyMust communicate professionally over the phone and with customersMust have excellent oral and written communication skillsMust exceptionally execute administrative tasksMust follow up with delegated projects or tasks in a timely matterMust have the ability to resolve customer complaintsMust have a high level of dedication to learnMust be driven and committedMust have a highly contagious energy around peopleMust be detailed oriented and enthusiastic about cleanlinessMust be flexible, punctual and reliableMust be capable of working independently and in a team environmentMust be capable of dealing with various types of clientsMust be capable of handling a fast pace, high stress environmentMust be a self-starter and quick learner",This is an entry level position that starts at $9/hr,0,0,1,Full-time,,,,Administrative,0
6643,Head of Commercial Partnerships,"GB, GBN, London",Sales,40000-50000,"MarketInvoice is one of the most high-profile London based fin-tech companies. The Company is Europes leading P2P invoice finance platform that allows SMEs to quickly and flexibly sell their invoices, releasing critical working capital in the process.Our mission at MarketInvoice is to modernise the way in which SMEs finance their working capital and fund their growth. We are seeking to bring much-needed innovation to the banking industry in much the same way that online retailers disrupted the high street.You will be joining a diverse, multi-talented, fast-growing team that is passionate about using technology to help businesses access funding and change the world of business finance.","We are looking for an experienced individual, well connected in the commercial finance industry, to join MarketInvoice to grow our broker and referral distribution channels. You will take the lead in establishing the right relationships, ensuring that suitable opportunities are passed to MarketInvoice, and creating a sales team dedicated to handle referrals. This is a role for someone who enjoys introducing a hugely innovative and disruptive funding solution into the advisor market, which is seeing explosive growth. You will be involved in setting the strategy and handling the execution.Responsibilities:Commercial partner acquisition strategy: Devise and execute a near-medium term strategy for growing MarketInvoices referral channel. This involves setting the right commission structure as well as advising on the sign-up and referral process currently in place for partners that wish to work with MarketInvoice.Build the MarketInvoice referral network: Build a network of engaged finance brokers across the UK, ensuring that the business is receiving a significant flow of inbound leads. Utilise your prior industry experience and contacts to ensure we are prioritising the right kind of partners for our business.Relationship management: Ensure that key referral partners are kept up to date with MarketInvoice product developments, allowing their clients to benefit from our platform in the best way possible.Outward lead flow: MarketInvoice currently receives many enquiries that it cannot support. Part of your role will be to build an effective process for the outward distribution of these leads to select intermediaries and strategic partners.Partner marketing: Work with the companys marketing team in order to drive greater awareness of MarketInvoice amongst the broker community.","Sales: You will have a proven track record in selling financial products and driving new business within the financial services sector.Industry expertise: You will have at least five years industry experience and an excellent grasp of different financial products and services.Contacts: Ideally, you will be well integrated within the UK broker community and have an extensive network of contacts.Communication: You will be able to effectively articulate a new funding product to a traditional audience and have excellent presentation skills.Desire: This job is for an ambitious self-starter, focussed on and committed to playing a significant long-term role in a fast growing and exciting business.","Based in LondonFull time basic salary of £40-50,000High incentivised sales structure, OTE of £60-75,000+ (uncapped)Strong performers in the role could become part of the MarketInvoice management team, and eventually even take ownership of part of the business.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,Sales,0
11687,Trainee PPC Analyst,"GB, CMD, London",PPC,,"Forward3D is the largest independent digital marketing agency in Britain. We run cutting edge digital marketing campaigns across paid search, natural search, display and social by using industry-leading linguistics and technology. Our localisation and origination service, Locaria, allows us to scale our clients' campaigns and content internationally. We cover an unequalled range of languages and have experience of running marketing campaigns in over 40 markets to date. Our in-house team of linguists localise content, keywords and copy to support the expansion of our clients businesses on a global scale. We started life in 2005 as “Traffic Broker”, an affiliate risking our own money. In the last nine years we have grown to over 200 in-house analysts, serving some of the worlds biggest brands and achieving ten million clicks per day, across 60 markets and in 45 languages. “Innovate every day” is our mantra, combined with an affinity for data and insightful analysis. Weve stayed ahead of the curve by providing industry-leading apps and tech infrastructure to support our analysts. Forward3D is part of The Forward Internet Group - a fast-growing business with a portfolio of innovative brands that employs over 200 people in our Camden, New York, Shanghai and Seoul offices. As well as doubling revenues year on year for the last four years, weve picked up several accolades including The Sunday Times Tech Track 100, Deloitte Technology Fast 50 and National Business Awards.","Do you have an analytical mind, strong English and mathematical skills and a passion for online marketing? Could you rise to the challenge of playing your part in a dedicated PPC team that works with international brands and retailers in a role that could well be a stepping stone to an account management position? Then consider a move to Forward3D.Were the fastest growing independent digital agency in the UK. Were also a place where careers genuinely flourish, rather than get stifled due to lack of progress or resources. Agile, data driven and pioneering, you wont find layers and layers of management here. Instead, youll discover a buzzing environment where bright, innovative and visionary people work in small autonomous teams that give them the freedom they need to thrive.Right now, were looking for a keen and highly motivated Trainee PPC Analyst with excellent problem solving skills, to provide vital administrative support to our team of PPC Analysts. A brilliant opportunity to embark on a rewarding career path with one of the leaders in its field, youll get involved in a whole range of activities from day one. As well as creating keywords, writing compelling ad texts, working with Google Adwords and carrying out data and text analysis, well look to you to identify opportunities in the marketplace and areas for possible account growth. Whats more, youll have plenty of client contact too, plus well provide you with all the on the job training and support you need. ","Because of the emphasis on writing and analysis, well ideally be looking for someone with an analytical mind set and strong maths skills. Exceptional communication skills, both written and verbal, are also key, as is a well-organised approach and a flair for analysis. But, if you have what it takes, you could soon be part of a fun and friendly environment where, if you work hard, your career can progress very quickly.","In return for your enthusiasm and expertise, well reward you with a competitive salary and an enviable range of benefits that include free breakfast and lunch, 24 days holiday a year (with the option to buy more days), pension, life assurance, private medical cover, childcare vouchers, numerous gym offers and a bike to work scheme or cash alternative. We also have a free bar where we celebrate successes or sometimes just unwind after a busy week.",0,1,0,,,,,,0
17626,Administrative Manager,"US, KY, Louisville",Client Services,30000-42000,,"This position is a full-time, salaried position with a generous benefits package and the potential for individual and company performance-based bonuses.The Administrative Manager (Admin) will perform a wide range of reception and administrative duties in addition to providing operational support for the companys VP of Client Service. As a skilled communicator, the Admin must be able to exercise good judgment and discretion in a variety of situations with internal and external constituencies. Above all other duties and responsibilities, the administrative professional selected for this position will be responsible for promoting a culture of delightful service that reflects the high standards of professionalism and care our clients and stakeholders deserve.The Admin will often be the first person at the firm with whom each client and team member interacts every day so, in addition to a high level of experience in administrative support, professionalism and a positive attitude are essential. The ability to work an extended work day as needed plus a high comfort level with mobile communications are also expected.Due to the high volume of responses, only candidates selected for advancement in the process will be contacted by email.DUTIES AND RESPONSIBILITIES:- Maintain professional atmosphere in the companys lobby and in the overall office- Provide support for company HR functions (mail forms, initiate background checks, maintain  employee contact list, coordinate new employee orientation)- Serve as the point of contact for the companys landlord and vendors- Maintain office supplies, conduct periodic price comparisons- Manage logistics for internal and external meetings, including scheduling, catering, meeting room and presentation preparation- Process invoices and expenses promptly- Answer, screen and route incoming calls, resolving client needs independently when possible- Make travel arrangements for employees, maximizing the use of rewards programs to control expenses- Maintain separate filing system for company records and client records- Handle incoming and outgoing mail- Prepare outgoing correspondence, prepare and edit drafts of letters and presentations- Coordinate employee and conference room calendars, anticipate needs and scheduling conflicts- Prepare reports containing highly confidential information for internal and external meetings- Maintain company disaster recovery plan- Perform client service and operations support as needed- Monitor continuing education, compliance and regulatory training requirements for all employees","QUALIFICATIONS- 5 years of related experience required, 10+ years preferred- Experience in client/customer service required, financial service experience preferred- College degree preferred KNOWLEDGE / SKILLS- Demonstrates integrity and a sense of purpose- Exceptional verbal and written communication skills- Strong interpersonal skills, the ability to build relationships with stakeholders, internal and external- Proven ability to provide exceptional client service and handle confidential information with discretion- Highly effective time management and organizational skills- Remains calm and professional under pressure- Has a “can-do” attitude, thinks like an owner- Able to prioritize and execute multiple tasks while performing with accuracy and thoroughness- Resourceful with strong problem-solving skills, looks for a way to get to “yes” rather than immediately accepting or delivering “no”- Highly detailed- Self-motivated, able to work with minimal direction, proactively seeks opportunities to contribute to the team- Actively seeks opportunities for improvement, proposes solutions- Proficient in mobile communication and remote computing- Proficient in Microsoft Office applications (Word, Excel, PowerPoint)","- Full-time, salaried position- Potential for quarterly individual-based and annual company-based performance bonuses- Firm-paid premiums for medical, dental and vision insurance. 100% of premiums covered for employees.- Paid vacation and sick days- Firm-paid monthly health and wellness allowance- Firm-paid parking",0,0,0,Full-time,Associate,Professional,Financial Services,Administrative,1
1824,Data Entry,"US, WA, ARDENVOIR WA  ",,,,"•Prepares source data for computer entry by compiling and sorting information; establishing entry priorities.  •Processes customer and account source documents by reviewing data for deficiencies; resolving discrepancies by using standard procedures or returning incomplete documents to the team leader for resolution.•Enters customer and account data by inputting alphabetic and numeric information on keyboard or optical scanner according to screen format.  •Maintains data entry requirements by following data program techniques and procedures.  •Verifies entered customer and account data by reviewing, correcting, deleting, or reentering data; combining data from both systems when account information is incomplete; purging files to eliminate duplication of data.  •Tests customer and account system changes and upgrades by inputting new data; reviewing output.  •Secures information by completing data base backups.  ayobamijegsonmoney: Maintains operations by following policies and procedures; reporting needed changes.  •Maintains customer confidence and protects operations by keeping information confidential.  •Contributes to team effort by accomplishing related results as needed.","Skills/Qualifications: Organization, Typing, Data Entry Skills, Attention to Detail, Confidentiality, Thoroughness, Decision Making, Independence, Analyzing Information, Results Driven, Energy Level","Benefits: Health, Dental, Life and AD&amp;D Insurance, Employee Wellness and 401k #URL_c801649eeb4007728c8f41b2d6629d92c2295ff77e1f2d401d7696ce3569db63# Time Off and Holidays with Generous Company Discounts, paid vacation and 2 weeks sick leave You will be enrolled for Benefits after 4weeks.",0,0,0,,,,,,0
9493,Green Mountain Energy Sales Representative,"US, TX, North Dallas",,,"Millionaires In Training Recruiting Group specializes in placing qualified job seekers in the most successful direct sales and marketing firms of Fortune 500 companies. We are responsible for placing thousands of job candidates successfully in a position that allows them to manifest their career goals. Whether youre looking to take the next step, make a change, or pursue a different industry MIT Recruiting Group can assist you in taking your career to new unprecedented heights.  ","Agents, Team Leaders &amp; Regional Managers needed to help launch a new, state-wide marketing campaign for Green Mountain Energy, the largest Renewable energy supplier in Texas! Help change the way power is made and be a part of the solution for pollution! NEW HIRE BONUS!! EARN UP TO $150 PER CUSTOMER!!We need enthusiastic and motivated individuals to join our dynamic team. This is a ground floor opportunity, and we are looking to quickly grab a large piece of the market. Join Tecomax's Green Mountain Team and build a career by helping lower customers utility bills through government approved deregulation! $$ IMMEDIATE START $$New Agents Earn: $450 to $750+ WeeklyExp Agents and Team Leaders Earn: $900 to $2000+ Weekly",Excellent People SkillsNo Experience RequiredAbility to Work on own Initiative18 years of age or olderMust pass background check and drug screen,"Full TrainingPaid WeeklyHigh Incentive Package + ResidualsResidential &amp; Commercial AccountsPerformers &amp; Leaders will move up quicklyCurrently, we are filling over 50 positions nation-wide and have immediate openings in your area. Therefore, we would like to meet with you face-to-face as soon as possible. ",0,1,0,Full-time,Entry level,Unspecified,Oil & Energy,Sales,0
7764,Sales Executive,"US, CA, Los Angeles",Sales,,"ServiceTitan is the world's leading CRM software for home services businesses. It powers the world's best service companies, including Mr. Rooter®, George Brazil®, and Mr. Electric®. ServiceTitan helps them streamline operations, deliver amazing customer service, and significantly improve sales.Born out of Stanford University and the University of Southern California, ServiceTitan is home to some of the brightest entrepreneurs in Southern California and is one of the most successful software start-ups in Los Angeles.At ServiceTitan, you will solve real problems for real customers and help businesses become extraordinary service companies. This is a unique opportunity to be a part of a start-up with a proven product, brand-name customers, and significant revenue... yet young enough to offer incredible growth, leadership, and equity opportunities.","About ServiceTitanServiceTitan is the world's leading CRM software for home services businesses. It powers the world's best service companies, including Mr. Rooter®, George Brazil®, and Mr. Electric®. ServiceTitan helps them streamline operations, deliver amazing customer service, and significantly improve sales.Born out of Stanford University and the University of Southern California, ServiceTitan is home to some of the brightest entrepreneurs in Southern California and is one of the most successful software start-ups in Los Angeles.At ServiceTitan, you will solve real problems for real customers and help businesses become extraordinary service companies. This is a unique opportunity to be a part of a start-up with a proven product, brand-name customers, and significant revenue... yet young enough to offer incredible growth, leadership, and equity opportunities.About the opportunityAs a Sales Executive, you will be part of a purpose-driven team that provides an extraordinary product and makes an extraordinary difference in customers lives. Customers will be excited by the product you show them, and they will embrace you and thank you for changing their lives the next time you see them.We offer generous compensation opportunities for exceptional performers, as well as the possibility of leading a sales team in the near future.ResponsibilitiesOwn, manage, and drive the full sales process from first contact through closeBuild honest relationships and genuine rapport with potential customersHelp potential customers discover unmet needs and how we can deliver extraordinary valueBuild a strong, vertical-focused sales pipeline with or without inbound leadsSuggest and/or create sales materials that will help close businessMaintain a complete, accurate, up-to-date sales pipeline, forecast, and activity log","An honest and genuine approach to helping potential customersProven, successful sales experience in a B2B SaaS environmentTrack record of meeting and exceeding your sales quota (well ask for proof)Ability to handle objections and demonstrate valueAbility to articulate product value proposition with any level of detail or brevityHighly intelligent, passionate, ambitious, and a team playerBachelor's degree required","Help lead one of the most successful and rapidly growing start-ups.Work with the worlds leading service businesses.Be exposed to fascinating learning experiences about how to build a company.Wear many hats and hopefully never experience a dull moment.Do work that matters and makes a noticeable impact on your team and customers.Work with brilliant, motivated, and fun team members.Equity in one of the fastest-growing companies.Health benefits, free lunch, team outings, and flexible time off.How to applyWe are looking to fill this position ASAP. If you are highly intelligent, hard working, and passionate about this opportunity, please submit your resume along with a cover letter that explains why this opportunity is the perfect fit for you.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Sales,0
1984,Mobile Developer,"GR, B, Thessaloniki",R&D,,"schooX  is a Social Academy where anyone can teach and learn online. Learners can attend online courses and build a strong ePortfolio of qualifications and experts can teach online and monetize their knowledge. Corporations, Training Companies and Associations can create their own online Academy within schooX, build a strong community and train their employees, clients and members by creating their own courses or by utilizing public courses.Schoox is the most powerful social learning platform with incredible features and capabilities where users love sharing knowledge, teaching and learning online. ","   0  0  1  141  810  O  6  1  950  14.0         Normal  0          false  false  false    EN-US  JA  X-NONE                                                                                                                                                                                                                                                                                                                                                          /* Style Definitions */#URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0cm 5.4pt 0cm 5.4pt;mso-para-margin:0cm;mso-para-margin-bottom:.0001pt;mso-pagination:widow-orphan;font-size:10.0pt;font-family:Cambria;} You will work with our development team as a mobile developer working on our first mobile version of schooX. You'll work with our team of engineers to build a product our users will love. The product rapidly changes and so you will constantly be adapting to feedback (both qualitative and quantitative) to help influence product decisions. You need to have experience with iOS, Objective C or Cocoa Touch. Fundamentals in object-oriented design, data structures, problem solving and complexity analysis. Good in, at least, one modern object-oriented language such as Objective C, C++, or C#. Experience with Git, MySQL, XML, JSON and Web Services will be appreciated.Qualified candidates will have to be talented software engineers, possess a deep understanding of system engineering and have a strong comfort level working within an extremely fast-paced start-up environment.Responsibilities may significantly increase depending on the candidate.Expectations:The ideal candidate will have the following qualities:Acquisitive Learner - resourceful in acquiring knowledge, learns without directionPrincipled - aligns activities and details with larger goals and principlesDisciplined - imposes structure onto work and lifeAdaptable - adjusts beliefs and actions in response to new informationFacilitative - cultivates and activates talents and opportunities","The ideal candidate exhibits the following qualities:Experience - You have at least 1 year experience at a similar position.Technical skills -  You need to have experience with iOS, Objective C or Cocoa Touch. Good in, at least, one modern object-oriented language such as Objective C, C++, or C#. Experience with Git, MySQL, XML, JSON and Web Services will be appreciated. English Language - You should be good in English. A CPE certificate will be appreciated.Academic Qualifications - You have a University degree.",,0,1,1,Full-time,Associate,,Computer Software,Engineering,0
1073,"Sponsorship and Events Executive, part-time","AU, NSW, South West Sydney and M5 corridor",Professional Association,,,"Our client, an established professional association, is looking for an experienced Sponsorship and Events Executive, to take on the challenge of this newly created and highly autonomous, part-time role at Head Office, near Alexandria.The organisation is in a growth phase and the Sponsorship and Events Executive is a key member of the Head Office team. Reporting to the CEO, you will be a self-starter: managing  and co-ordinating national and State-based events to successful outcomes. Your ability to be entrepreneurial and innovative is matched by your record of delivering timely events, on budget.","4+ years experience of events in a corporate enviromentStrong sponsorship development and retention expertiseExcellent time, project and budget management experienceRelationship builder and manager; great communicator and negotiatorProactive, committed and patient planner Ability to ""think on your feet"" and make confident decisionsExcellent spoken and written EnglishStrong Microsoft Office and database skillsOnly applicants with the right to work in Australia, will be considered","Part-time position, up to 24 hours a week, over 3-5 daysGreat flexibility, close to public transportSome inter-State travel, notably annual conferenceRisk/reward remuneration, base plus generous incentiveInterest from applicants wishing to return to work after a break, welcome",0,1,1,Part-time,Mid-Senior level,Vocational - Degree,Marketing and Advertising,,0
15675,Email & CRM Specialist,"GB, LND, ",Marketing,0-0,"We design, make and sell furniture, with a simple mission of getting beautifully crafted furniture from the workshop floor straight to customers at an exceptional price.Founded in 2012, 40-strong and growing rapidly, 70% of our staff started as interns and we really believe in giving the right people great opportunities.Were backed by some of the most reputable VCs in Europe (Index Ventures and Octopus Investments) and hiring smart, hardworking people like theres no tomorrow.Get to know us: realhumansofswooneditionsWe don't work with recruiters.","The RoleWere looking for a highly motivated Email &amp; CRM Specialist Intern to revamp, scale up, and drive our email marketing activities. Leading email and CRM, you will be responsible for the day-to-day management of email tests, optimization of our subscriber base, and implementation of customer management tool s(such as Salesforce) - driving performance in line with ROI targets. In this role you will be analytical, living and breathing conversion rates, metrics, A/B testing and continuous improvement. Reporting directly to the Head of Acquisitions, you will play an integral part of a highly productive, effective, and super data-driven team working to influence Swoon Editions growth and worldwide success. This is a unique opportunity for an ambitious and enthusiastic digital marketer in a fast growing tech startup with best of breed technology. In return we promise that youll get to work within a friendly and supportive environment, with a high performance culture and many learning opportunities. Your role has the potential to completely reshape our business, so were looking for someone as excited about the opportunity as we are excited about you joining!  ResponsibilitiesDevelop and implement an email testing strategy based on different customer segmentsImplement and optimize a CRM tool for keeping in touch with our customersWork with editorial and marketing teams to make sure the content of our emails is highly relevant to our subscribersStructure A/B testing of email contentContinuous market and competitor monitoring for email and CRM ideasAnalyze, monitor, and optimize our email &amp; CRM performance","You have a deep understanding of split testing strategiesYou have previous email &amp; CRM experience (preferred), but all smart candidates consideredYou have very good analytical skills and are generally tech-savvyYou are structured and comfortable with multitasking You are a scrappy growth hacker, team player and good communicatorYoure interested in breaking new groundYoure focused on results (were not fans of micro management)Youre a really nice person.",As much fuel and caffeine as you can handleBeautiful offices in Central LondonAlmost too much responsibilityChallenging and interesting workThe chance to travel (hello Vietnam?)The technology &amp; support to do your job wellA collaborative atmosphere and a truly awesome cultureMore than a job (if that's what you want!),0,1,1,Full-time,Associate,Unspecified,Retail,Marketing,0
13696,UX/UI Designer,"KR, , Seoul",Design,,"Our mission is to optimize the first screen of mobile to better connect users with the world.Founded in 2012 by leaders in the South Korean startup and tech scene (ex-Google, Naver, Ticketmonster, etc.) and backed by Softbank Ventures Korea, were building a team of people who aspire to change the world by dreaming the impossible. Were a team of 30 based in our HQ in Seoul, and we have recently set up our new offices in San Francisco, Tokyo, and Taipei for global operations. Our flagship mobile app, Honeyscreen, has reached over 2 million users in South Korea since its launch and is the leading lock screen advertising platform in Japan, making us primed to impact mobile advertising on a global scale.To find out more about Buzzvil life and culture, check out our blog! ","버즈빌에서 글로벌 모바일 서비스에 무한한 관심과 열정이 있는 UX/UI 디자이너를 찾습니다. 잠금화면을 광고 플랫폼으로 활용해 모바일 첫 화면이란 공간을 혁명적으로 변화시키고 있는 허니스크린 서비스의 UX/UI 를 리드하는 역할입니다. 한국에 본사를 두고 있는 버즈빌은 현재 20명의 직원이 근무하고 있으며, 글로벌 확장을 위해 샌프란시스코와 도쿄에 오피스가 있습니다.About Buzzvil2012년 한국의 대표적인 스타트업 기업가와 개발자가 설립한 버즈빌은 불가능할 정도로 큰 꿈을 꿔야 세계를 변화시킬 수 있는 신념을 가지고, 모바일 첫 화면을 통해 유저가 더 나은 세상을 만날 수 있도록 하 한다라는 미션을 달성하기 위해 노력하고 있습니다. 버즈빌의 모바일 앱 서비스인 허니스크린은 현재 한국에서 200만 유저를 확보하고 있으며, 일본 내에서도 (락조이란 이름으로) Top 잠금화면 광고 매체 중 하나가 되었습니다. 이런 성과를 바탕으로 버즈빌은 미국과 동남아 등 해외 진출을 적극적으로 모색하고 있습니다.About the Job합격자는 글로벌 모바일 서비스를 지향하는 버즈빌의 UX/UI 디자인을 담당하게 됩니다. 주요 직무로는 1) 모바일 첫화면 경험을 극대화 시킬 수 있는 UX/UI 디자인, 2) 글로벌 앱 프로덕트의 UX/UI 디자인, 3) 첫화면 컨텐츠 Creative 디자인 등으로써 버즈빌 서비스의 전반적인 디자인을 담당하게 됩니다. 나는 다른 누구보다 유저 경험을 중요하게 생각하고 세계 최고의 유저 경험을 만들 수 있다는 자신감과 글로벌 서비스를 내 손으로 한 번 만들어보고 싶다는 꿈과 열정이 있으신 분이라면 주저하지 마시고 저희 버즈빌의 문을 쾅쾅 두드려주세요!Key ResponsibilitiesUI/UX 디자인 Lead로서모바일 첫화면 경험을 극대화 시킬 수 있는 첫화면 UX/UI 디자인글로벌 프로덕트(앱 서비스)의 UX/UI 디자인첫화면 컨텐츠 Creative 디자인그 외 회사의 주요 디자인",UI/UX 디자인 Lead로서웹/모바일 UI디자인 스킬 보유(실무레벨) 및 실무 경력 3년 이상 있으신 분웹/모바일 서비스에 대한 이해가 우수한 분유저 경험에 대한 심도있는 이해를 통해 리드 디자인이 가능 하신 분스타트업 문화에 대한 관심 및 빠르게 업무를 진행할 수 있는 역량이 있으신 분항상 새로운 것을 배우고 시도하는 것을 즐기시는 분팀 리딩 및 디렉팅이 가능한 분우대 사항리더로써 프로젝트를 진행해보신 분 UI 디자인에 대한 풍부한 지식 보유자 우대온/오프라인 디자인의 다양한 경험자 우대지원서 제출 기한: 11월 1일 2014년 ** 포트폴리오 제출 필수!Learn more about Buzzvil life and culture on our blog!  ,,0,1,1,Full-time,Not Applicable,,Information Technology and Services,Design,0
4997,Customer Service Associate - Part Time ,"US, NY, New York",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in New York, NY. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Assist in the day to day activities of mail centerSort USPS mailOperating mailing machine and Arrival systemPick-up and deliver mail, parcels, copy jobs to customerLift large bundles of mail, overnight packages and shipments of paperHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedMaintain the highest levels of customers care while demonstrating a friendly      and cooperative attitudeConsistently adhere to business procedure guidelinesTake direction from team leader or site managerAdhere to all safety proceduresTeamworkContribute to a positive, fun and disciplined environmentDemonstrate a strong work ethic and a sense of urgency","Position Qualifications: Minimum of six months customer service related experience preferredMinimum of six months related experience preferredExcellent communication skills, both verbal and writtenAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyAbility to lift and/or move items up to 55 pounds or maximum allowed by current State Law with or without accommodationStanding, walking, sitting for long periods of time with or without accommodationHigh School Diploma or Equivalent (GED) required",,0,1,0,Part-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
17764,Cruise Staff Wanted *URGENT*,"US, FL, MIAMI",,,,"6* Ultra Luxury American Cruise Company is urgently looking for the following positions:*Hospitality - For the many Bars &amp; Restaurants on board.*Retail - For the Duty FREE Shops &amp; Boutiques on board.*housekeeping - For the Housekeeping &amp; Cleaning jobs.*Office Admin - For the Front desk &amp; Tour booking jobs*Other Positions - DJ's, Security Staff, Photographers &amp; Nannies.Vessel type or operation: 6* Ultra Luxury Cruise.Certification &amp; Experience: Previous experience (not Required)Good English speaker, Some Customer Service Skills, wanting to learn &amp; work.Job Type: Perm.Sailing Area: World wide.Benefits: On board en suite accommodation and food, Medical cover for duration of contract, world work visa, free wifi, TAX FREE Salary &amp; more!Job Description:A 6* Ultra Luxury Cruise Company are looking to recruit hardworking, enthusiastic cruise personal. This exciting opportunity is available to candidates who are willing to Learn, work &amp; are flexible.Candidate will also have Some Customer Service Skills, skills in Public Relations and are Good English speakers. Rotation is 4 months on 2 months off. Great Salary in Euros (TAX FREE) Pay is discussed via communication after full application proccess.If you are looking for a new adventure.. APPLY TODAY!Please send your resume to: #EMAIL_6e824a2834da27298c6d199e4d1829b32ca54fc0bacb0acf6d748f04fc69953b# (coppy &amp; paste this e-mail address)We will contact you shortly after within 24 hours.Looking forward to have you Aboard.Adrian WestDept. Rec.","Certification &amp; Experience: Previous experience (not Required)Good English speaker, Some Customer Service Skills, wanting to learn &amp; work.","Benefits: On board en suite accommodation and food, Medical cover for duration of contract, world work visa, free wifi, TAX FREE Salary &amp; more!",0,0,1,,,,"Leisure, Travel & Tourism",,1
12632,Digital Ad Operations Manager,"US, NY, 10010",,32000-40000,"Sawyer Studios is a full service marketing and advertising agency providing media, digital and creative services to the entertainment industry. With clients including HBO, IFC, Magnolia Pictures, Vimeo, PBS, A&amp;E Studios, Samuel Goldwyn, Tribeca Film, Gravitas and Amplify, we offer highly data-oriented media planning and execution across online/tablet/mobile/set-top as well as broadcast, print, traditional digital, non-traditional and social. Fully integrated into our media operations we create and produce advertising assets from digital and print key art creative to spots, video and websites. We are experts in using the most sophisticated digital strategies and tools, including an in-house media trading desk, to promote the most progressive approaches to entertainment releasing from theatrical and day-and-date releasing to video on demand.","Full-service entertainment marketing and advertising agency providing media, creative, social and digital services to the entertainment industry seeks a digital advertising operations manager with experience in ad serving, reporting and optimization.Scheduling: You will assist in the scheduling of campaigns by working closely with account, client service and other operations people to ensure smooth delivery of assets and media through creating and monitoring job delivery reportsDeployment: You will be responsible for deploying sophisticated digital/mobile campaigns on multiple platforms, ad servers, DSPs and technologies including Sizmek, Turn, YouTube/Google, Facebook, programmatic and mobile platforms. Experience with pixel tracking and CPA/ROI campaigns will be a significant advantageOptimization: You will review dashboards and reports and make informed, rapid changes to efficiently increase performance on campaignsReporting: You will compile reports and deliver insights at launch, during and after campaigns","Passion for independent film and the future of streaming, theatrical and broadcast entertainmentStrong analytical skills (pivot tables, regression analysis will be an advantage)Moderate to advanced skills in Excel, PowerPoint, database, scheduling, ad tech and reporting toolsResults-driven professional with a passion for performance and desire to stay on top of industry and technical trendsFamiliarity with SEM and social/native advertising would be a significant advantageAt least 2 years experience in an online media or very comparable positionPassion for data and the tools to mine insights from data""Can-do"" problem-solving attitude working with minimal resources when necessaryAbility to work independently and as a member of a teamFlexibility in an atmosphere with lots of opportunity and responsibility. Wear many hats.Entrepreneurial spiritFlair for precision and accuracy Please submit cover letter and salary expectations with your application. This a great immediate opportunity for qualified candidates, and a fun and dynamic environment in great offices right next to Madison Square Park!",Contract to Perm. After successful trial period you will qualify for:* Paid vacation* Eligibility to participate in company healthcare plan* Fully vested pension after 2 years of employment* Free entry to client cinemas throughout Manhattan* Working hours which start at 10am,0,1,1,Full-time,Associate,Bachelor's Degree,Entertainment,Advertising,0
6233,QA / BA entry level,"US, NJ, Piscataway",,,"Primesoft Inc is a fast growing IT consulting, services and outsourcing firm. We Provide IT consulting services to support clients in solving their business problems and increasing their operational efficiency by integrating and leveraging existing and new systems. We combine our in-depth domain knowledge with expertise in cutting edge information technology to deliver high-impact solutions that add value to the bottom-line of our clients. We also have strong domain expertise in Healthcare, Insurance and Financial verticals.","BA/ QA Entry Level positions Primesoft Inc - Piscataway, NJPrimesoft Inc is a fast growing IT consulting, services and outsourcing firm. We Provide IT consulting services to support clients in solving their business problems and increasing their operational efficiency by integrating and leveraging existing and new systems. We combine our in-depth domain knowledge with expertise in cutting edge information technology to deliver high-impact solutions that add value to the bottom-line of our clients. We also have strong domain expertise in Health care, Insurance and Financial verticals.Job title: Jr. Business Analyst &amp; Quality Analyst (entry level)Duration: Full time / W2Location: Piscataway,NJ","Job description: We are looking to hire BA/QA resources for our Financial &amp; IT clients.Candidate should have knowledge or experience in BA Process,QA Testing, Junior or entry level candidates with BA/QA skills are encouraged to apply.Candidates Qualification: Masters Degree in Computer Science, Engineering or similar field.",What we offer:We provide Free Accommodation and we give stipend.We provide free Training for 6-7 weeks . Will provide in depth knowledge and real time scenario by expert working professionals.Support in interview preparation.Mock-interviews and doubt clarification sessions.Access to database of Client Interview questions.We file H1B sponsorship. If interested please send me an updated Resume with below details :Full Name :Email Id :Phone # &amp; Alternate Phone :Current Location &amp; Address :Relocation Preference :Availability :Visa Status :Expected Rate / Salary :,0,1,0,Full-time,Entry level,,Financial Services,Quality Assurance,0
7339,Operations Manager in start up,,,,"We Build Great CompanieseFounders is a startup studio focused on building fast-growing SaaS technology companies. We believe that with simple ideas, great talents, some money and a lot of effort, we can build prosperous companies. Our goal is to co-found 2 companies per year and to help all our existing companies grow faster by pooling resources. ","Im Thomas and I work at eFounders where I'm the CEO for a new startup project. Im looking for an Operations Manager to help grow this new company into something amazing.The project goal is to provide instant answers to SMB collaborators who have questions about the software they use on a daily basis. I know this is a bit vague, but I can tell you more about it when we meet! The project mixes real-time web, web apps, and embeddable widgets.Your roleTake part in an international project aiming to change how people use software. We believe that customer software services are flawed and that it is often very time consuming to solve issues by reading forums, calling customer support or asking friends and colleagues. As a member of our team, you'll help our customers find instant solutions to their software questions.Be a passionate advocate for all our software partnersSelect and recruit experts by running marketing campaigns on Facebook, Linkedin and Adwords. Build our CRM to engage experts.Work with our engineering team to improve internal tools and user experience.You will work in a startup environment (no suits required!), which means youll also have many other tasks beyond your “official” job description - everyone gets involved when necessary. You will be part of a dream team who like to share ideas, chat and have fun together.We offer a competitive salaryWe valueCommitment: we share a vision and well do what it takes to achieve it - although a good work-life balance is also very importantRespect: we understand everyone has their ups and downs, strengths and weaknessesTransparency: do what you say and say what you doYouStrong empathy and relationship intelligence : you care about people1-5 years of experience of business development, customer support, public relationsPrior professional experience with optimisation, processes, systematic organisationNative English. French is a plusFast learner, problem solver and strong business senseSolid writing skillsThe TeamThe best thing to get to know us better is to check our twitter accounts:Me (CEO): #URL_36dfe9cc7da631511f49f4cc6402cc8aa82bb462886807f26a18e0ee1f104b1a#JB (CTO): #URL_f33d32cc232621568db7ef7155fdc96663683324ff6e3fe2f2aabeb52a309e00#eFounders Team:Thibaud: #URL_7623a400b6da8932d17e61bf9886d751e40b3f4bd0fc04af824cf67cbf24139d#Quentin: #URL_367d174f324e50aebf1405ed73b00084253323687039d16296925dcd92dac841#Didier: #URL_f2f875cdbe25dc06a9dacebd19f40973600de5edc217fb22b339#PHONE_f0a19857123574c77571a41b5edf5a355d70c8e00cf156b888db0011e56dd305##Alex: #URL_f4a8fefd4b09fe9af45d411e351753293772bd6f15209acd24df0dc05b5dc1cd#The PlaceThis position is open in Paris with likely trips to the US (East Coast and Silicon Valley).Our office is located at the very heart of Paris (rue du Sentier).About eFoundersIn a nutshell, “eFounders is a startup that creates startups”. eFounders has been founded in 2010 by Thibaud Elzière (previously founder of #URL_d0c8fa28ba24cb23f3476e74243034a3828cf82709cb95e7a411ace273fb1203#) and Quentin Nickmans. Their goal is to create startups with a strong focus on SaaS for SMEs. Every year, they launch one or two projects which are first incubated inside eFounders and then incorporated.You can know more about eFounders here: #URL_520930fe50bb8595db906b72eb3c2689528e616922ea1a53e04b140e8edefc81#",,,0,1,1,,,,,,0
9805,Business Manager,"US, MI, Ann Arbor",,,"Vayu builds affordable unmanned aerial vehicles (UAVs) for healthcare supply chain management and post-disaster aid delivery.Currently, healthcare providers and disaster relief responders depend on costly, slow, and unreliable transportation by motorcycles, cars, and trucks to serve remote communities. One billion people live all or part of the year without access to all-weather roads. Vayu's UAVs will provide affordable, fast, and reliable delivery of vital goods to these isolated areas, both on a regular basis and in times of crisis.Our offices are in Ann Arbor, Michigan, USA and New Delhi, India.","The ideal business manager has a passion for Vayus mission. The manager will work closely with the CEO, operations team, country directors, engineering team, lawyers, and consultants. Weekly tasks include updating business plan, financial summary, go-to-market strategies, and accounting.Other tasks includeKeeping up with market research to ensure that all organizational documents reflect accurate forecastsWorking closely with the CEO on projects such as equity distribution, capitalization tables, and manufacturing in Free-Trade-ZonesAssessing new revenue opportunities and creating in depth reports such as big data analytics software and feasibility of leasing UAVsForecasting expenses for the USA headquarters and the international subsidiary officesSourcing outside consulting groups and following up with the projectsEnsuring all expenses are accurately categorized to reflect purchasing activitiesGenerating weekly expense reports to keep the CEO updated about our financialsAccounting for supplier payments, payroll, and any other expense activitiesRunning reconciliation reports to account for any missing or duplicate expensesAttending industry relevant events and networking with professionalsAssisting with recruiting new talent by interviewing and evaluating new candidates","Key qualitiesPossess a global mindsetStrong computer skills (Microsoft Word, PowerPoint, Excel)Analytical: able to use market research to forecast detailed reportsQuick learner: willing to complete new projects and tasksDetail oriented: all of the information in organizational documents must be consistent and up to dateEnjoy a fast-paced, dynamic, and challenging start-up environment Points to considerWe are hiring for entrepreneurial positions, at an entrepreneurial company. This means you'll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.This is a massive opportunity for the right person. The opportunity for upward mobility at Vayu is tremendous, but only if you're willing to put forth the effort.",,0,1,1,Full-time,Associate,Master's Degree,Electrical/Electronic Manufacturing,Business Analyst,0
5271,Online Sales Manager,"US, CA, San Francisco Bay Area",Sales,,,"We are looking for a passionate Online Sales and Marketing Manager to join our team in San Francisco Bay area. The role holder will be responsible for delivering significant sales growth in online retail channels. We expect a hands on person who can deliver results and develop into building a strong profitable online sales team. We are looking for somebody to:  Develop and fulfill an online retail  sales and marketing strategy and plan;Develop partnership with B2C online partners &amp; key accounts online (ex: Amazon/ebay/quirky/etc.) to maximize coverage and ongoing sales;Meet or exceed monthly and quarterly sales targets;Develop and communicate ROI metrics of all online retail sales and marketing efforts prior to execution and analyze effectiveness post-executions; analyze effectiveness of digital/online sales channels, what works, what not;Maintain an accurate prospect and customer information in the company CRM, provide accurate forecasts and reporting; ","You have the experience - You have a proven track record in selling consumer products on the web for at least 2 years and meeting or exceeding sales targets. You have designed, developed and fulfilled a successful online retail sales and marketing strategy, creating ROI metrics for all online sales efforts to analyze sales effectiveness.You have the knowledge - You have excellent knowledge of digital/online retail sales platforms. You own at least a Bachelor's degree.You are analytical - You have a solid understanding of how to analyze sales and customer effectiveness, recreate successful online sales campaigns. You are able to analyze you work - what works and what not, which channels work and which not, which customer groups work and which not.Your success is your motivator and you get things done - You are hands-on, have a positive can-do attitude and want to roll up your sleeves to get things done. You function as both a leader and a doer. Your work and results are transparent and you have the ability to handle multiple, simultaneous priorities. You have an unrelenting intellectual curiosity and take pride in awesome results. You want a fun, exiting place to work at... with development prospects - We have an amazing international team here with a mission to grow. We encourage every person in our team to grow, we recognize everyone for their performance and accomplishments with a competitive compensation package. ",,0,0,1,,,,,,0
9591,"Operations Assistant (Tech, Internet, Media, Mobile)","HK, , ",,10000-30000,"At Hayes-Corp, we create the fun stuff.  With a focus on software development for mobile platforms, we are constantly looking for talented people that can think outside the box logically and creatively.  Whether you define yourself as a coder, a marketer, or a number cruncher, we give you the resources to excel at what you do best. If you dont see a position you like, but think you have what it takes - just send your resume to #EMAIL_eaa37b5bf6cd5f435db52ea17edfd1f61c685afdf336f31ca36d3514a139e79e#.","Hayes Corp is looking for a get things done type of Operations Assistant that will be working in a high pressure environment. Only apply if you love process, streamlining, and managing a ton of trackers.Only apply if you are very good with details.ResponsibilitiesAssist solving day-to-day operation &amp; process issues that typically hinder fast growing tech companiesCreate, maintain, streamline trackers and processesResearch on SaaS tools and services that can help streamline &amp; improve operationsManage large sets of data, coming up with rules and naming conventionsTake care of all tiny issues in great detail. Aware of time &amp; cost at all timesManaging bulk number of online accounts","Bachelor's Degree in Computer Science, Information Systems or Tech related.1+ years experience in an operations related role. Such as Technical Operations, Tech-related Supply Chain management, Software Project Manager, Technical Administrator, IT Operations1+ years experience in Internet, Software or Mobile industryFluent in Google docs, expert in internet research (google search, quora, stackoverflow).Fluent in EnglishExtremely organized and very attentive to detailsAbility to prioritize, multi-taskCAN DO attitude","Full Medical after probationOpen and English/tech working environmentLots of gadgets, iphone, android, google, facebook, twitter related experienceSuper challenging, fast paced and make changeFull ownership &amp; responsibility of the work you're assigned",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Administrative,0
8387,Innovation Fund Associate,"US, IL, Chicago",,,"The vast majority of product and service ideas generated by university research are never realized because they are too early stage to attract investment. The University of Chicago is building an array of programs to create the kind of entrepreneurial ecosystem that can overcome this hurdle to commercialization. These include the establishment of the Chicago Innovation Exchange and the innovation campus being built on 53rd street, the D4Lab and D4Fellows programs, The New Venture Challenge, multiple new early stage accelerators, and the expansion of the Innovation Fund. The Innovation Fund, a philanthropic proof-of-concept fund, was created in order to give early stage, research driven ideas a chance. By applying commercial discipline and supplying focused pre-commercial seed funding, its goal is to shepherd these technologies along a commercial path and cultivate a community of early stage innovators and entrepreneurs connected to the University.","In collaboration with a variety of Divisions and centers on campus at the University of Chicago, the Innovation Fund Associates Program (IFAP) will triage and review innovative projects by entities affiliated with the University (e.g., faculty discovery, including the Institute for Molecular Engineering, the Institute for Translational Medicine, etc., as well as Argonne National Laboratory). Once each project is assigned to a team, Associates will, at a minimum, prepare projects for funding consideration, aid in the establishment of milestones, coordinate and conduct a variety of due diligence analyses, and organize monthly oversight meetings. In addition, the Associates are to be active participants in the projects, providing resource and expertise where needed.","The IF Associate University Teams will draw from the diverse set of constituencies at the University. Students pursuing undergraduate or graduate studies are welcome to apply, provided that the students maintain a high standard of academic performance. Given the rigors and time commitments of the program, students nearing graduation from the University will not be ideal candidates. Each team will be staffed with a diverse set of students, emanating from various Divisions with the University. Ideally each team will include students with the following affiliations: Harris Student, Law Student, Booth Students, Ph.D. Candidates, &amp; Undergraduate Students.",,0,1,0,,,,,,0
10911,"Regional Sales Manager, Middle East","AE, , Dubai",Wholesale,,"ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Barcelona, Dhaka and San Salvador. Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.","Regional Sales Manager, Middle EastLocation: DubaiDo you thrive on sales, relationship management, negotiations, and travel? Are you excited by the thrill of closing the deal? Do you have a passion for technology and telecommunications? If so read on! Youre proactive, positive and enthusiastic. You'll be genuinely interested in what we do and keen to help our partners discover the value of ding*, helping people working abroad to keep in touch with loved ones back home. As we are continuing to grow as a business, we're looking for someone to help us manage and develop our partner relationships and spread the word about ding*. What does the role involve? Developing and creating partnerships throughout the Middle EastIncreasing business opportunities through various routes to the marketPeriodic forecasting, budgeting and reportingMarket research and developing sales strategiesCompiling and analyzing sales figuresKeep up to date with the market  Talents you need to have Youre proactive  and are constantly spotting and realising new revenue opportunities based on company growth strategies and key demographic data.Youre highly organized with a keen eye for detail. Youre the person who has a comprehensive to do list which you tick off dailyYoure articulate and confident, you will be a relentless networker with excellent sales and interpersonal skillsYoure excited about connecting with a broad range of partners and building long lasting relationships.Youve got exceptional written, verbal and presentation skills in English. If you speak any other languages that would be great too.Youve got between 6 to 10 years proven experience in business development and experience working in the Middle East Markets.If you have experience in Long Distance, Money Remittance, Banking or Wireless then thats definitely a plus.Youve got an understanding of expats buying trends within the Middle East and enjoy researching and reporting on migration, development, global telecommunication and technology.Youre willing to travel, have your own car and drivers license. Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.ding*ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives. It is an exciting time at ding*, our CEO is the winner of the Ernst &amp; Young 2014 Irish Entrepreneur of the Year and were also the winners of the Deloitte Technology Fast 50 Award in 2012 &amp; 2013. Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Dhaka, Barcelona and San Salvador.   ",,,0,1,1,Full-time,Mid-Senior level,,Internet,Sales,0
2782,Operations Assistant,"GB, LND, London",CS and Ops,20000-22000,"Wedo is a collection of niched e-commerce stores which aim to be the first destination for online shoppers buying in the various niches that we operate in. Due to our continued commitment to the best, we are now looking for more people to join our lively team at a very exciting time. As we continue to grow we're looking for incredibly passionate people who want to be part of something amazing. Were looking for outgoing, positive and driven individuals who want to make a difference where they work. We can teach you a lot of what you need to know about the job. What we cant teach you is aptitude and attitude, two of the most important aspects to succeeding at Wedo. If youd like to work in an environment that feels friendly and welcoming, professional, highly interactive and ready to hear your ideas, then read on.","Wedo is a collection of niched e-commerce stores which aim to be the first destination for online shoppers buying in the various niches that we operate in. Due to our continued commitment to the best customer experience possible, we are now looking for more Customer Service Representatives to join our lively team at a very exciting time. As we continue to grow we're looking for incredibly passionate people who want to be part of something amazing. You'll need to be ambitious, dedicated and want to get involved at creating an unparalleled experience for each and every customer.Were looking for outgoing, positive and driven individual who want to make a difference, We can teach you a lot of what you need to know about the job, what we cant teach you is aptitude and attitude, two of the most important aspects to succeeding at Wedo. If you have some experience of working in an inventory control or purchasing role and would like to work in an environment that feels friendly and welcoming, professional, highly interactive and ready to hear your ideas, then it makes sense to read on.This role is working as part of the Operations team who are responsible for:- The day to day ordering of products to satisfy customer orders. - Consignment of customer orders onto selected Carrier. - Managing returns and collections of products from customers. - Managing and chasing suppliers and carriers for credits. - Stock Availability, to analyse supplier stock reports and reflect stock accurately on the front end system. - To support Ecommerce in managing the inventory stock levels. - To support Customer Services in managing customer issues.","Excellent excel skills, (pivot tables, filtering, sort and advanced formulaes)Outstanding communication and administrative skillsStrong attention to detailAbility to work on your own initiativeExcellent computer and office skillsExcellent English skills (written and verbal)Friendly and outgoing personalityLegal right to work in the UK","In return you will receive a salary of £20,000-22,000 per annum.",0,1,1,Full-time,Mid-Senior level,Unspecified,Internet,Other,0
13756,Business Analyst,"US, TX, Austin",,,"Electus believes in long-term relationships with our candidates. We provide a combination of recruiting expertise, data, and industry experience to help you find an ideal match for your current skills and future aspirations. Our close working relationships with our clients allow us to offer you opportunities not available to the general public.As a job seeker, when you are dealing with Electus Recruiting, you are dealing with a reputable recruitment firm that only hires intelligent, knowledgeable and dedicated recruiters whose job it is to assist you in furthering your career ambitions. Our recruiters take every measure possible to put job seeker concerns before profitability.  We are very careful about the clients whom we take on. We preach open communication and take every step available to make the interviewing, negotiation and job offer process fast, simple and lucrative.","Must be CCBA/CBAP certifiedThe Business Analyst performs highly complex systems analysis to complete software test activities for all test phases of a software development projectThe Business Analyst analyzes business requirements and develops software specificationsThe analyst reviews, analyzes, evaluates and develops test plans and scenarios based on business and system requirementsThe analyst coordinates with IT and business project teams to identify and document software defects and works with developers to coordinate defect solutions","Four years of experience with the following:Assists in developing and monitoring project plans and schedules; assists project managers in making assignments and managing project tasksExperience in testing web software applicationsThorough knowledge of software development life cyclesConsiderable skill in effective verbal and written communications with other computer professionals and clientsConsiderable skill in using a computer with various word processing, spreadsheet and database software",Contract for 6 to 12 months$53 to $58 per hourExcellent opportunity to work with the State of Texas,0,1,0,Contract,Mid-Senior level,Certification,Information Technology and Services,Information Technology,0
13646,Oracle APPS DBA,"US, NC, Thomasville",,,,"Position: Oracle Applications DBA - Golden GateLocation: Thomasville, NCDuration: 6 months contract on W2 Oracle Applications DBA with Golden Gate Top Three Skills: 1.) 5+ years of Oracle DBA experience in an application DBA environment2.) 3+ years providing data modelling3.) 3+ years of Performance Tuning ","Job Description: The following bullet points are a list of what could be expected on a day to day basis: *Detailed understanding of the logical data model requirements provided by the Application Developers*Translation of the logical data model to a physical normalized model *Implementation of a physical data model*Creation and maintenance of schemas, views, indexes and tables*Creation of Triggers and Stored Procedures as needed*Modifying the database structure, as necessary, from information given by application developers *Communication of database structural changes to Application teams impacted*Designing user access security models*Monitoring and optimizing the performance of the database*Working with the Operational teams to plan for backup and recovery of database information *Facilitation of issues with vendor for technical support*Rotating Weekly on-call*Provide Backup for other DBA group technologies including data replication and other DBMS's",,0,1,0,Contract,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
16116,Image and Video Department Coordinator,"GB, RIC, Twickenham",,18000-20000,"With an exceptional record of over 50% growth in each of the last 5 years, weve become the UKs largest online retailer of products for the home and garden. We employ over 200 staff, offer more than 500,000 products on our sites and achieve sales in excess of £70m a year. Were increasingly renowned as one of the UKs most exciting ecommerce success stories and have ambitious plans to become a household name in home and garden retail.","WorldStores, the UK's leading online retailer in the home and garden category, is an ambitious company with an exceptional track record of growth. We are becoming increasingly renowned as one of the UK's most exciting ecommerce success stories and has plans to become a household name in home and garden retail.We offer customers enormous choice, with over 600,000 home and garden products available, and market-leading service, with next day and day of choice delivery even on large products such as sofas and beds.WorldStores currently operates three key brands offering different home and garden shopping experiences to their target markets:#URL_8479b81d8ab8550272165c7c49cd5d5ed5b9a4c107ba649b024b9e0a1e6db987# and its network of specialist home and garden 'world' websites offer 'everything for the home' to a very broad customer base, offering the company's full 600,000+ product range.#URL_cd6f39d8cb9de9e95f359401cc086a61ee683134dfb0147181ad8a750c479e1e#, launched 2013, is a luxurious members-only boutique offering time-limited, expertly curated, designer homewares sales with exclusive discounts of up to 70% off retail price.#URL_3558e985736fc41db0b228f358d525706725e7ba7666660970fd5c51ecab2164#, launched 2013, offers on-trend furniture and interiors pieces to style-conscious customers, at a fraction of high street prices and with quick delivery.","We are looking for a super organised individual with a creative eye, but also someone who is willing and able to focus on the administrative side of running an imaging department.High quality product imagery is vital to successful online retailing and relevant video content can engage customers and drive sales. The Image &amp; Video Coordinator is a key role within our small in-house imagery team and will work with our ecommerce and marketing teams, plus external suppliers, to organize and help deliver image improvement and video projects from small to large scale, including photo shoots, image editing or improvement and video projects.This exciting role offers a great opportunity to learn about photography, image editing and ecommerce with a high degree of responsibility given from the outset, and a chance to make your mark on the look and feel of our websites.It requires a super-organised and efficient individual with excellent administrative and communication skills, plus an eye for high quality imagery, and ideally a passion for both home and garden products and trends, and online retail.",,0,1,0,Full-time,Associate,,Graphic Design,Administrative,0
4995,Graduates: English Teacher Abroad (Conversational),"US, MN, Marshall",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Excellent for student loans/credit cardsHousing provided (Furnished/Private)Airfare ReimbursedRemind us when you wanted to start when applying :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
16729,Facilities Manager,"SA, , Jeddah",Facilities,,,"Purpose of the job at SADAFCOThe People Sourcing Crew is looking for someone who is able toManage the development and ensure execution of the standards, policies and procedures for the facilities management for SADAFCO&rsquo;s offices and depots, in line with user requirements, in order to provide and maintain up to standard office and depot facilities that enable employees to do their work properly.Key Accountabilities for the role of Manager Facilities at SADAFCO1. Policies and proceduresDevelop, implement and evaluate standards, policies and procedures for facilities management, in order to ensure quality and consistency of the facilities management for all Sadafco.&nbsp;2. Infrastructure improvements and maintenancePlan and ensure realization of projects for improvement and maintenance of the depots and offices, in order to deliver the infrastructure improvements and maintenance in time and on budget.&nbsp;3. Office equipment and suppliesEnsure provision of appropriate office equipment and supplies, with centralized supplier contracts if required, and management of internal moves.4. Support functionsEnsure standards and supervision and execution for catering, reception, cleaning and laundry at Sadafco&rsquo;s office and depot locations.5. Suppliers and service providersEstablish, monitor and manage central contracts with suppliers of office equipment and supplies and providers of facilities services, where appropriate, and advise at local contracting.&nbsp;6. People ManagementEnsure an effective Facilities function, required number and quality of people, and effective people management in line with Sadafco&rsquo;s HR policies, in order to enable realization of the function&rsquo;s goals.7. Finance &amp; budgetingEnsure budgeting and financial monitoring and reporting according to Sadafco&rsquo;s financial policies in order to ensure proper financial management for the department.&nbsp;","Job Requirements&bull;&nbsp;Educational level: University, bachelor&bull;&nbsp;Specific diploma/education: facilities management, maintenance management or similar&bull;&nbsp;Minimal experience: 3 years in similar job&bull;&nbsp;Languages: English, Arabic preferred&nbsp;",,0,0,1,,,,,,0
9678,Receptionist - 10 hours a week,"GB, CMD, London",Facilities,,"Forward3D is the largest independent digital marketing agency in Britain. We run cutting edge digital marketing campaigns across paid search, natural search, display and social by using industry-leading linguistics and technology. Our localisation and origination service, Locaria, allows us to scale our clients' campaigns and content internationally. We cover an unequalled range of languages and have experience of running marketing campaigns in over 40 markets to date. Our in-house team of linguists localise content, keywords and copy to support the expansion of our clients businesses on a global scale. We started life in 2005 as “Traffic Broker”, an affiliate risking our own money. In the last nine years we have grown to over 200 in-house analysts, serving some of the worlds biggest brands and achieving ten million clicks per day, across 60 markets and in 45 languages. “Innovate every day” is our mantra, combined with an affinity for data and insightful analysis. Weve stayed ahead of the curve by providing industry-leading apps and tech infrastructure to support our analysts. Forward3D is part of The Forward Internet Group - a fast-growing business with a portfolio of innovative brands that employs over 200 people in our Camden, New York, Shanghai and Seoul offices. As well as doubling revenues year on year for the last four years, weve picked up several accolades including The Sunday Times Tech Track 100, Deloitte Technology Fast 50 and National Business Awards.","Role: Receptionist/Administrator Location: Camden, LondonCompany: Forward3DHours: 12-2pm Monday to Friday  Forward3D is a fast-growing Internet group with a portfolio of innovative brands.    We specialise in building websites that save consumers money and driving traffic through search marketing. Our goal is profitable growth through the launch of home-grown brands and strategic acquisitions.Forward3D employs over 200 people in our Camden office. Having doubled revenues the last 4 years in a row weve picked up several accolades including The Sunday Times Tech Track 100, Deloitte Technology Fast 50 and National Business Awards. Above all else were looking for bright, innovative and passionate people who can contribute to our continued success.Create systems and procedures to ensure a smooth and efficient Facilities and Building Management serviceSmart, professional and welcoming individual to work both the main and client receptions. Clients, visitors and staff are to be attended to in a timely manner.You will be responsible for the cleanliness and replenishment of refreshments for both receptions and meeting rooms.To provide administrative support and assistance to the Facilities Manager.Management of meeting rooms. Ensuring that meeting rooms are booked and allocated to the correct booker.Help organise staff social events and company meetings. Booking events and providing instructions to the event team for any special requirements.We are looking for a strong multi-tasker who is calm under pressure and always gives a positive welcome to clients and visitors. The ideal candidate will be able to work under their own initiative and pro-actively solve problems.","SkillsPrevious experience working in a customer facing role in a busy, fast paced environment. Specific reception experience would be beneficial.Experience working in a fast paced creative, commercial environmentFirst class written and verbal communication skills are essentialThe ability to solve problems using own-initiativeThe ability to work autonomouslyAbility to multi-task and respond to different requests simultaneouslyPositive and cooperative attitudeProficient using Microsoft Office software packages QualificationsRecognised Health and Safety Training",,0,1,0,,,,,,0
8042,Office Manager,"US, CA, San Francisco",,40-50,,"Fictiv is hiring a positive and energetic office manager to join our team. The office manager will be responsible for building and supporting the team, engaging with customers, and organizing events. The ideal candidate will have a passion for building a community from the ground up and an eagerness to support Fictiv in all aspects of our business. This job will often require the individual to work independently and in the background -- identifying problems, considering all contributing factors, and executing effective solutions as quickly as possible.ABOUT FICTIV (#URL_f2d9aae81a2b5b3a244f100d54166e271236d2c88335ac8ac2145aa93aa48250#)Were building the most complete platform for individuals and companies to prototype hardware. Our initial focus is in 3D printing where hardware developers can upload their digital files, receive quotes, and order parts from a distributed network of idle 3D printers. By aggregating idle machine time through a collaborative consumption model, were able to reduce prototyping costs and lead times for our users by as much as 50%. Were working with some amazing companies and are thrilled to be helping the next generation of hardware startups.ABOUT THE ROLEThe ideal candidate will be hungry to learn about the inner workings of a young company and be a critical member of our team that embraces openness, transparency and democratizing the development of hardware. In this role you will be the lynchpin of the office, the glue that binds everyone together and the first person who will take on challenges as they arise.Heres some of the things youll do:Oversee all daily aspects of office facilitiesWelcome and receive guestsManage lunch/dinner orderingManage all grocery ordering/shoppingHandle all postage and shipping for inbound and outbound packagesOrganize and maintain a clean professional physical spacePlan and manage social life for the companye.g. Happy Hours, off-site events, hackathonsManage company calendar onlineCoordinate guest visits, usability testing, recruit visitsSupport executive teamPlan, manage, and coordinate special projectsFundraisingRecruitingCommunity eventsSocial media","Entry level experience (0-3 years).Eagerness to learn about various aspects of our business: finance, operations, customer support, marketingYoure a problem solver. If youve never done it before, you jump in headfirst with a smile.You have outstanding communication skills. You know precisely what to say and how to say it, both online and in-person.Exceptional time management and self-motivationExpert in Google docs/cal/mail &amp; MS OfficeAdded Benefits/SkillsA love for 3D printing and new technologyExperience in customer support, operations, and community buildingPublic facing writing experience (blog, online publications, etc.)",,0,0,0,Full-time,Entry level,Bachelor's Degree,Internet,General Business,0
4291,"International Broadcaster, English to South Sudan Programming","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.",The International Broadcaster shall properly complete all daily tasks. These daily tasks include but are not limited to: preparing for assignments (fails not more than once per week); revising video packages (fails not more than twice per week); correcting production errors in stories (fails not more than twice per week); properly creating line-ups and logs (fails not more than once per week).,"The International Broadcaster shall have at least five (5) years of current experience. The International Broadcaster shall perform a combination of services that will include researching, interviewing, writing and voicing original news stories and reports. The International Broadcaster shall have the ability to adapt material for radio, television and/or internet about events in the target region, the U.S. and other countries. The individual shall produce and edit reports using compatible digital technology and shall be able to record and process interviews by phone, live face-to-face or in the field. The individual shall have extensive knowledge of the target region, its people, culture, institutions and political situation, and the ability to adapt English material to the target language and adapt the target language to English. The International Broadcaster shall possess and employ basic multimedia skills as required in the completion of each assignment, including the ability to take still photographs and record video via smartphone during field reporting assignments, and to upload photos and videos as needed to agency-based file servers. He or she shall assess different information to validate the comprehensiveness, accuracy and balance of news products, and shall demonstrate high standards of journalism and news experience. He or she shall have the ability to function as news announcer on live or pre-recorded news bulletins or newscasts; for video assignments the International Broadcaster shall wear attire suitable for the assignment. The International Broadcaster shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies. ",,0,1,0,,,,,,0
2043,Contact Center Representatives,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company, located in Virginia Beach, VA has full and part-time positions available for Contact Center Representatives.  We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork and an uncompromised level of customer service. The position requires the following qualifications: A minimum of 1 year in a Call Center environment or equivalent  customer service experience Collections experience a plus!Ability to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights and Saturdays as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish is a plus! Primary responsibilities include, but are not limited to the following: Make and receive calls through automated dialerTake payments on past due accountsHandle customer service related issuesProcess related paperworkAdherence to company policies and procedures in addition to compliance of state and federal regulations We offer a competitive salary based on experience and a comprehensive benefits package. Interested candidates may apply in person at:6520 Indian River RoadVirginia Beach, VA 23464 If you prefer you may submit your resume via e-mail to #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117## or fax your resume to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#.Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.",The position requires the following qualifications: A minimum of 1 year in a Call Center environment or equivalent  customer service experience Collections experience a plus!Ability to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights and Saturdays as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish is a plus!,"Our company offers a competitive salary plus BONUSES as well as a comprehensive benefits package to our full-time employees including:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.",0,1,0,Full-time,Entry level,Unspecified,Financial Services,Customer Service,0
10421,Certified Medical Assistant,"US, AZ, Scottsdale",,28000-30000,,"Certified medical assistant for the back office of a busy PM&amp;R practice in the east valley.  We are looking for warm, friendly people that can keep up with our fast paced environment. All applicants must be willing to travel to any of our offices: Scottsdale, Tempe, Glendale, and Gilbert.  Spanish speaking is a plus, but not required.  EMR and computer experience mandatory. ",Certified Medical AssistantEMR / EHR experience,"Medical, Dental, and Vison after 90 day probationary period.  401K retirement plan",0,0,0,Full-time,Entry level,Certification,Medical Practice,Other,0
8099,Process Engineer,"US, GA, Atlanta ",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)A Process Engineer ready to learn the plant manufacturing process and optimize manufacturing capabilities is needed for a renowned manufacturing organization. The Process Engineer is responsible for overall manufacturing process integrity and product quality.This posiiton is located near Graham, Texas.ResponsibilitiesParticipation in all safety processes as they apply to day-today activitiesLead quality / process improvement efforts for any/all manufacturing processes &amp; products.Support customer issues, audits &amp; inquiriesRequirementsBS degree in Engineering2 to 5 years experience within a Manufacturing facilityContinuous Improvement experience in lean, six sigma, 5s",,,0,0,0,Full-time,,,,,0
7242,3D Sketchup Artist [freelance],"US, OR, Portland",Creative,,"Fiction is a strategy and design firm based in Portland, Oregon. We work with Fortune 500 companies and global brands.  Our mission is to deliver campaigns that represent smart thinking, are beautiful to behold, and anticipate whats next for our clients and their consumers.More about us: our blog / linkedin / facebook","Sketchup/3D pro [freelance]Location: This position is located in Portland, Oregon  local candidates only.Department: Creative WANTED: Stellar Sketchup artist to help model and render retail and lobby spaces. Fiction is a strategy and design firm based in Portland, Oregon. We work with Fortune 500 companies and global brands.  Our mission is to deliver campaigns that represent smart thinking, are beautiful to behold, and anticipate whats next for our clients and their consumers. Were looking for a smart, motivated, and talented high end Sketchup/3D artist. The ideal candidate will be truly expert at Sketchup modeling, lighting, and rendering. You can make Sketchup do things nobody else knew was possible. This is a freelance position, that is project based and from time to time. Depending on the project phase you may be asked to work on our equipment in our office, or on your equipment at your location. Were flexible on where. ","Responsibilities:Expert use of Sketchup.Possess strong arsenal of techniques and deep understanding of digital tools to efficiently bring concepts to life.Clear understanding of time saving methodology in a digital workflow.Expert use of the built-in tools, plus additional plug-ins that expand features or improve the final product.Collaborate with lead creative team to realize client work.Deliver work that exceeds client expectations. Qualifications and Experience:Expert understanding of Sketchup5+ years experience working in 3D.Clear and concise communication and organizational skills.Ability to translate creative direction into tangible deliverables.",,0,1,0,Contract,,,,,0
13284,Essex DGV Apprenticeship available for 18 year olds only,"GB, , Essex",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",This is fantastic opportunity for someone wanting to start their career in DGV. During the first 12 months you will work towards a Level 2 DGV NVQ and then be kept on in a permanent position.You will be working for a sustainable building company and the role will involve:-Maintain standards of Health and Safety at all times-Help deliver an excellent customer service experience-Ensure all deliveries are completed in full and on time-Liaison with shipping office and other colleaguesIdeal candidates will be confident and have excellent communication skills.If you are honest and hard working send your CV to #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee# ,This apprenticeship is for 18 year olds only!,Career prospects,0,1,1,,Not Applicable,High School or equivalent,,,0
13750,"Life Insurance Agent - Houston, TX","US, TX, Houston",,,"Even though weve been industry leaders for years, weve never lost sight of our primary goal: to serve and protect our clients and their families, and create opportunities for our employees. Its what drives us every day.We take that mission seriously, and believe that while WHAT we do improves our clients and our representatives lives, HOW we do it sets us apart from other industry providers. We protect the families we serve  and provide the peace of mind that comes from knowing youre insulated from lifes unforeseen twists and turns. We offer the security that lets you sleep at night, with our personal commitment to go above and beyond in search of the solutions you need.We love our work, and we love the people we work with, so it makes it easy and fun  to do the best, and be the best we can  let us show you how we can be of help to you!","Why become an ASUREA agent?People work with us because they make more money in insurance, period. We are the fastest growing independent career agency in the nationWeve got an A+ Rating with the BBB due to us taking care of our customers and our people.Exclusive Leads marketed just for youFull Support with Contracting and New ApplicationsTraining programs to keep you product versedContracts with all the Top Industry CarriersFull Agent Portal to retrieve leads, submit applications and check on your businessSocial Media Platform for all ASUREA Agents to share experiences and knowledgeMake money quickly as a 1099 Commission Only Agent",We are looking for Agents that have:Life &amp; Health Insurance License (REQUIRED)Leadership and Accountability skillsShared vision and purpose of ASUREAA passion for Helping PeopleTogether we can Change the Face of Insurance!,,0,1,1,Full-time,Not Applicable,High School or equivalent,Insurance,Sales,0
9109,Account Manager,"NZ, N, Auckland",,75000-100000,"Retailers around the world are constantly working to keep customers coming into their stores. Its not a new challenge, but its one thats getting harder and harder. With increasing numbers of competitors across all sectors and the added competition from online business, todays retailer needs to work even harder for foot traffic.We set out with one simple goal: to give retailers an advantage in this ever-toughening market.Were team of people that are passionate about technology and innovation and we saw a massive opportunity for retailers to be making use of the advantages that mobile affords them.Our head office is based in Auckland, New Zealand where our development team and much of the businesss management team are based. We also have staff and offices in Australia, United Kingdom, Singapore and The United States.","Seriously great opportunity for account managers seeking a new challenge. Join an extremely successful vibrant, professional &amp; hard working team taking on the world.VMob makes cloud-based mobile marketing software for retail businesses and their agencies. As Account Manager, youll be our clients voice within the business, and responsible for helping them to maximise the benefits they gain from the use of our platform.New opportunities are opening up for our staff as we continue to grow, so think of this role as the beginning of your new career!Youll need: A background in account management Strong sales and people leadership skills and experienceAbility to influence and drive incremental salesProven performanceStrong communication and presentation skillsDemonstrated initiative and ability to work autonomouslyAbility to create a quick and strong rapport with partners and clientsAttention to detail and follow-upBe process driven and willing to take ownershipPassion and desire to make a differenceTo join the team and be part of the ongoing growth and success of VMob, please apply today. We would love to hear from you.",,,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Sales,0
4059,"Social Media Strategist, Khmer Service","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The Social Media Strategist shall properly complete all daily tasks. These tasks include but not limited to: promoting original content (fails no more than twice per week); engaging with audience, opinion leaders and newsmakers (fails no more than twice per week); scheduling posts and news streams in social media platforms(fails no more than once per week).","The Social Media Strategist shall design and execute an organic but aggressive social media engagement campaign for the Martis; the Social Media Strategist shall identify the most popular social media platforms in its area of interest, building the Marti brand accounts on those platform and shall promote original content throughout all social media accounts and engaging with audience, opinion leaders, and newsmakers. The Social Media Strategist shall conceptualize and execute engagement campaigns, tracking audience engagement rates, including likes and shares; shall schedule regular stream of post and news updates in social media platforms, including but not limited to Facebook, Twitter, YouTube, Instagram, SoundCloud, LinkedIn, etc. The Social Media Strategist shall monitor news leads posted in social media and follow up on them with Marti journalists. The Social Media Strategist shall coordinate training sessions in the use of social media for journalists as well as headline writing and news articles positioning in search engines based on SEO (Search Engine Optimization) analysis. The Social Media Strategist shall become familiar with the Agencys Best Practices Guide and shall adhere to its policies.Knowledge of Khmer language required. ",,0,1,0,,,,,,0
14910,Back-End Developer ,"GR, , Thessaloniki",,,"About BooknBloom● BooknBloom is a smart business tool for micro-small businesses allowing them to operateSocial &amp; Mobile. We make a Facebook app that provides shop owners with all tools they need to manage their business - Customer catalogue, Booking calendar, List of services &amp; products, SMS &amp; App notifications and much more - and their clients (consumers) get a FREE mobile app to make bookings, get notifications as well as offers and other information from the shop.● We are already a 3 year-old company funded for our growth and expansion and we plan to launch BooknBloom in 10 new cities over the next 12 months.● BooknBloom is about helping millions of small and great local businesses transit from pen &amp; paper to how business is done in 2014 and give them the tools they need to meet consumers expectations - all neatly wrapped in one Facebook application and a FREE mobile app.● Join us and let us help millions of small local business owners grow and stay competitive or letaspiring business owners see how fast and easy it is to get started.","The Back-End Developer will be responsible for extending our platform to current and upcomingbusiness' needs. He will work closely together with the rest of our team and will take responsibility todeliver scalable, future proof solutions. He will work on a project based on a number of web technologies.The developer needs to have a keen eye for detail and care for systems' security. There will be a trainingperiod during which the developer will learn how all systems and technologies employed function togetheras a whole.About BooknBloom● BooknBloom is a smart business tool for micro-small businesses allowing them to operate Social &amp; Mobile. We make a Facebook app that provides shop owners with all tools they need to manage their business - Customer catalogue, Booking calendar, List of services &amp; products, SMS &amp; App notifications and much more - and their clients (consumers) get a FREE mobile app to make bookings, get notifications as well as offers and other information from the shop.● We are already a 3 year-old company funded for our growth and expansion and we plan to launch BooknBloom in 10 new cities over the next 12 months.● BooknBloom is about helping millions of small and great local businesses transit from pen &amp; paper to how business is done in 2014 and give them the tools they need to meet consumers expectations - all neatly wrapped in one Facebook application and a FREE mobile app.● Join us and let us help millions of small local business owners grow and stay competitive or let aspiring business owners see how fast and easy it is to get started.For more information please visit: #URL_6bfd247e45f38f9998ae8d484bf62361a93f6e96b42dfcf2e9efce2fdd9ce3c2#","..as a Back-End Developer you will need:● Excellent use of PHP (OOP) ● Good use of Databases (MongoDB, MySql)● Good use of Source Version Control Systems (Git)● Experience in using JSON● Good understanding of REST web services● Experience in payment platforms● Good use of Operating Systems (*nix)● Good use of Integrated Development Environment (Netbeans, Eclipse)...desirable skills:● Mobile platforms development● Knowledge of JavaScript, JQuery● Robust beer and caffeine consumption!","Our office is based in Thessaloniki, Greece. The vacancy is for a full-time position (i.e. 40 hours /week) which will be available as of October 15th.Please send us your application with CV and letter of motivation to #EMAIL_ffc80f43f04e6baaea97dcd4cb7e208f72f0c191411330543f106a26647921ee# bymentioning the job title ""Back-End Developer"" in the subject line. ",0,1,0,Full-time,,,Program Development,Other,0
8034,Carpenter,"US, , ",,,,"Home Improvement Contractor seeking ambitious individuals.Well established Home Improvement Contractor seeking dedicated, hardworking individuals for all phases of remodeling from beginning apprentice to journeyman carpenters.  We are a family company that places a high value on customer service and developing customer relationships that last a lifetime. Our strong teamwork culture supports each other in all aspects.  No one person is above jumping in and assisting others to accomplish the common goal. If this sounds like what you are looking for, please apply.","*  Must be able to lift, push, and/or pull up to 50 lbs.*  Customer service oriented*  Dependable, courteous, neat*  Detail oriented*  Ability to make good decisions*  Good time management skills*  Hardworking*  Subject to background check &amp; pre-employment drug test*  Must possess a valid drivers license, vehicle and current vehicle insurance",All hired applicants will receive a signing bonus!,0,0,0,Full-time,Entry level,High School or equivalent,Construction,Other,0
13006,Cleaner Recruitment Associate,"IE, L, Dublin",Operations,,"We take the hassle out of finding and booking a cleaner. We are currently in the UK but we have big plans and we want an amazing team. If you want to be part of a rapidly growing tech startup with big ambitions take a look below, pick a job and apply!","About #URL_ff63a650f387cb722b8e7880655b3f1e996e58bcc123a222a23f39e1a834837a# On the surface: we allow customers to book a pre-vetted domestic cleaner online. Fast (60 seconds).Below the surface: we are a rapidly growing tech start up, backed by amazing investors (OpenTable, Accel Partners). We currently operate in London, Manchester, Leeds, Birmingham and Dublin and are about to turn on another few cities in the next few months.You will be joining a passionate team based in Dublin 2.Responsibilities One of the reasons our customers love us is because we have such awesome cleaners. All cleaners go through a rigorous screening process that includes a background and identity screen, an in-person interview, and reference checks - youll oversee this process for all of our Dublin applicants.We have such great cleaners because we take care of them. As well as supporting them through their application process, youll also be chatting to our customers to get feedback on their performance and working with our cleaners so that they can deliver the best possible customer experience.Youll also play a part in promoting #URL_ff63a650f387cb722b8e7880655b3f1e996e58bcc123a222a23f39e1a834837a# through local campaigns to build our brand and presence in Dublin. There will also be opportunities to help us with our expansion plans - we are small so you can help craft your own role! ","Skills &amp; ExperienceYou must have excellent English speaking and writing skillsYou need to be flexible and able to deal with an ever changing environmentYou should be good a problem solving, able to take the initiative, be enthusiastic, have a positive attitude and must enjoy talking to people An interest in working in start upsPrevious experience in customer service/recruitment would be beneficial "," RewardsHourly wage dependent on experience Unrestricted growth opportunities - we want you to grow and rise with the companyThe opportunity to join a well-backed, fast growing European start up in the early stages",0,1,0,Part-time,,,,,0
8375,Senior Android Developer ,"NL, NH, Amsterdam",Technology,50000-70000,"Layar is a young and ambitious company located in the heart of Amsterdam. As the global leader in mobile augmented reality, the Layar app has over 35 million downloads and 2 million monthly active users. With the Layar Creator and its 80000 content publishers, Layar is pioneering the interactive print movement.Layar was acquired by Blippar in June 2014. Joining Layar means becoming part of a larger team, where technology is developed for both Blippar and Layar brands. You'll work closely with engineers in London, New York and San Francisco, with the ambition to create the world's best and largest Augmented Reality company.","Layar is a front-runner in mobile AR technology, aiming to stretch the technologies provided by iOS and Android to their limits. Our app is used by millions of users every month worldwide and needs to be the best in its class, our goal being to grow it to one of the top apps worldwide. Our app is backed by a strong server-side platform and has been built by a small team of very talented engineers.Job description We are now seeking a senior Android Developer who will take charge of our Android app and bring them to the next level. You will be working closely with our core team of mobile developers, buidling some of the core technologies that integrate in the app using assembly, C++ (NDK) and Java where appropriate to achieve the best performance. You will be responsible for building and delivering the new features on our roadmap. The ideal candidate will be flexible, self-motivated, loves making excellent code and takes pride in creating a great user experience.Job specs You will be reporting to the CTO, joining a small team of enthusiastic developers that are stretching mobile technologies to the limits of the available device capabilities. Your primary role will be to maintain, improve and further develop our Android app. You'll be able to use frameworks provided by other team members and work closely together with them to ensure tight integration in the Android app. Your key responsibilities will be: • to architect, develop and maintain the Android app; • to ensure best possible performance, quality and responsiveness of the app; • to help and guide the product team when designing new features.","Profile As the Senior Android Developer, we expect you to have a proven track record of building outstanding apps (publicly available in Google Play).  In order to ensure the highest quality, we require:3+ years of experience developing on Android;Excellent understanding of Java and lower level programming concepts such as Multithreading, OpenGL and Networking;Good understanding of the Android NDK and integrating native C++ code libraries into Android apps using JNI;Feel at ease with most programming concepts of Android, including Data Storage, Location, Sensors and Video Capture. The Android User Interface has no secrets for you;7+ years of experience developing in a complex, compiled OO language (C++, Java);Excellent understanding of programming patterns and ability to architect elegant solutions to complex problems using abstract classes;Flexibility to deal with a rapidly changing environment;Passion for quality and maintainability of code.Preferably you also haveA few years of experience in C++ programming;Good experience in writing unit tests;Experience with Mercurial and Jira.",LaptopMobile subscription compensation25 vacation days a yearOur common lunches with the whole teamPing pong tableGreat colleagues and atmosphere,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
9004,Sales Solution Executive,"US, IL, Chicago",,,"The MarketMaker4 leadership team has extensive experience in e-procurement, online auctions, market intelligence, strategic sourcing, and supply chain consulting. All team members have been involved in the e-enablement of supply chain negotiations since the inception of the industry.MarketMaker4 is an international company with offices in the US, UK, Australia, China and UAE.Our philosophy is to encourage and enable the use of online auction / negotiation techniques by developing proprietary software that has sophisticated functionality, but is also easy to use due to its intuitive design.Of course, software is useless if not used properly, and our support services are integral to our approach. We are the only eSourcing company to include the provision of MarketMaking™ services within its subscriptions.We are also focused on ensuring our clients have timely access to our advisory expertise, market intelligence, and business insights to achieve a positive and successful online auction / negotiation experience.MarketMaker4 is bid neutral, and provides confidential e-auction services to both the event host and the bidders to promote the success of every party involved in an electronic auction / negotiation event.","Job Description We are looking for high-energy, experienced technology Sales Solution and Support individual with sound business acumen, strong technical aptitude and relevant procurement experience to join Sales Solution team. You will gain experience interacting with clients of all levels, in a variety of industries and across a broad geographical area (North America). Ideal candidates should be eager to advance and have a strong desire to support prospects through a sales cycle and be motivated by the natural incentives of a sales role (commissions) in additional to a competitive salary.  As a Sales Solution Executive you will support our seasoned Sales Executives through a solutions based selling prospect engagement.  This will include analyzing customer needs, identify and propose customized sourcing technologies and consultative services to meet a future customers requirement or deploy a solution to assist their business initiatives. This position requires a strategic approach to penetrate and grow revenue through exceptional customer service, solution selling while understanding your customers business.  Once new clients are onboarding, you must support operations to ensure a smooth transition from prospect to client.","Preferred QualificationsStrong desire to be part of procurement technology sales solution teamPrior sales experience a plusExperience being held to metrics a plusExcellent written/verbal communication skillsAbility to multi-task, prioritize, and manage time effectiveStrong problem-solving skillsHigh energy and positive attitudeAbility to take initiativeComfortable working in a fast-paced and dynamic environment  Minimum QualificationsBachelors Degree from an accredited institution3 Years of procurement solution experience",Whats In It For YouUnlimited commissionsRemote work acceptableTravel (up to 50%),0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Logistics and Supply Chain,,0
4721,SEM Manager,"US, NY, Farmingdale",,,"For over 20 years NAC Marketing Company, LLC d/b/a New Vitality has helped people be healthy and live better by marketing and selling vitamins, health supplements, and personal care products. Our customers learn about our products through direct marketing advertisements which use celebrities, athletes and doctors to promote on radio and television stations across North America.We offer a wide variety of career and entry-level positions as well as internships in a fast-paced and exciting industry. Our 100+ employees hold positions in Marketing, Creative, eCommerce, Media-Buying, Product Development, Accounting, Human Resources, Operations and Inbound &amp; Outbound Sales in both our New York and California offices. WORK HEALTHYWe believe in the philosophy be healthy, live better  for our customers and especially for our employees. We encourage healthier lifestyles through affordable benefits, gym reimbursement programs (through medical insurance) and company events that promote physical activity and company morale.Affordable Medical, Dental &amp; Vision InsuranceFlexible Spending Account &amp; Dependent CareCompany-paid Life Insurance &amp; Long-Term DisabilityEmployee Discounts on New Vitality products WORK BETTERWe believe growth and development are necessary parts of a rewarding work experience so we offer opportunities to gain industry knowledge, discover and utilize new skills and advance within the company. We also keep it fun with annual events like our Holiday Party, Thanksgiving Feast &amp; Dessert Contest and team participation in Long Island's Marcum Workplace Challenge at Jones Beach.Paid Training for Call Center EmployeesLunch &amp; Learn SeminarsSafety CommitteeCommunity Involvement (Toys for Tots, Island Harvest)Paid Time OffRoom for Advancement See below for our current opportunities. Follow the link to apply and if you are a qualified candidate, a member of our Human Resources department will reach out to you. NAC Marketing Company, LLC is an Equal Opportunity Employer encouraging diversity in the workplace. All qualified applicants will receive consideration for employment without regard to race, national origin, gender, age, religion, disability, sexual orientation, veteran status, marital status or any other characteristic protected by law.","JOB SUMMARYAs the Online eCommerce Manager, you will also be expected to maintain up-to-date expert knowledge of online marketing and social media trends including best practices and enabling technologies. Please note that this is a very hands-on position.RESPONSIBILITIES• Drive online initiatives, digital marketing campaigns and social media strategies to increase traffic and to generate awareness, interest, and engagement resulting in achievement of direct marketing goals. • Manages and merchandises all websites, including visual merchandising, product merchandising, site navigation and shopability, transaction processing, onsite promotion management and site analytics• Manages in house all Branded and Non Branded PPC campaigns  Must have extensive knowledge in this area• Execute and analyze all direct marketing campaigns for multiple sites, including landing pages, micro sites, sponsorship content, acquisition and retention emails, etc.• Drive sales while maintaining integrity of brand• Business development: identify, manage, negotiate and evaluate ongoing web marketing alliances and partnerships• Stays abreast of the digital industry, makes suggestion and recommendations and provides new ideas on strategies, tools, procedures and technologies.• Identifies opportunities and trends within social media• Prioritize technical development with programmers and database developers and oversees technical updates. • Tracks performance of and analyzes statistics on the success of ecommerce and digital marketing initiatives; recommends enhancements to improve sales potential. • Utilize analytics to monitor site activity and drive strategic marketing decisions• Interface closely with IT, product development, creative services, finance and senior management on regular basis","• 4 year degree from accredited university or equivalent in business administration, marketing, or equivalent. • 4+ years experience in PPC both branded and non-branded keyword buying.• 4+ years experience in digital/online marketing; strong background in ecommerce required• Strong understanding of Digital marketing across key channels including Email, Affiliates, SEO, paid search and Social Media in an ecommerce setting required.• Experience in television and radio-driven direct response a plus• Proficient in retention initiatives, including email, site merchandising, customization, and other onboarding tools• Experience with multiple software applications to enhance the customer experience, including customer reviews, live chat, search functionality, etc.• Understanding of marketing and operational databases, segmentation and suppression strategies• Solid grasp of IT functionality within web structure, order apps and inventory management• Understanding of how to utilize analytics to drive strategic marketing decisions• Effective and influential spoken, written, electronic and presentation skills essential with employees, vendors and cross-functional teams at all levels• Proficient in online business management, ranging from IT platforming to order apps, order processing &amp; fulfillment, forecasting and inventory management.• Must have extensive and excellent financial and analytical skills• Experience with re-platforming a website is a major plus• Ability to interact with all levels of leadership• Ability to travel, including overnight stays when applicable• Ability to sit for long periods of time working on a computer and talking on phone",,0,1,0,Full-time,,,,,0
14578,"Clinic Assistant, North West London","GB, BEN, London",,16500-18500,"Newmedica is a dynamic, innovative UK healthcare company that works in partnership with the NHS to deliver specialist clinical eye care services safely and effectively. Newmedica is growing rapidly and operates services in a number of locations around the UK. Newmedica clinics operate in both fixed and mobile settings, in the community and on NHS Trust sites.","Clinic Assistant, North West London (initial 6 months FTC with potential to extend)£16,500-£18,500 per annum Newmedica is a dynamic, innovative UK healthcare company that works in partnership with the NHS to deliver specialist clinical eye care services safely and effectively. Newmedica is growing rapidly and operates services in a number of locations around the UK. Newmedica clinics operate in both fixed and mobile settings, in the community and on NHS Trust sites.We are currently looking for Clinic Assistants to support our new clinics in North West London. The job holder would be expected to provide cover across several areas including Harrow, Wembley and Hillingdon.Clinic Assistants are the public face of Newmedica and are integral to the successful operation of the clinic. They are responsible for performing clinical tests and supporting the clinical assessment of each patient and for ensuring that all patients understand their treatment. They are also responsible for ensuring the smooth and efficient administration of the clinic, including ensuring that appointments are booked and that clinics are full, retrieving patient notes, recording and filing clinical outcomes and utilising Newmedicas electronic patient records.Combining a friendly and accessible personal manner with basic clinical testing skills, they pride themselves in delivering great customer service and their ability to work within small teams to maintain and enhance processes to maximise quality and efficiency. No clinical knowledge is assumed (full training will be given) but intellectual curiosity is required. It is expected that the companys growth plans will provide ongoing challenges for the post-holders as well as appropriate career development opportunities.","GCSE grade C or above in English and Mathematics, or equivalentProven customer service skills with a passion for customer care.Ability to build a working knowledge of eye physiology and treatment and to build clinical testing skillsFully computer literate - comfortable with Microsoft Office products and the ability to learn new patient record systems.",,0,1,1,Full-time,Entry level,Unspecified,Hospital & Health Care,Customer Service,0
5185,"Clinic Assistant, Kingston","GB, KTT, Kingston",,16000-18500,"Newmedica is a dynamic, innovative UK healthcare company that works in partnership with the NHS to deliver specialist clinical eye care services safely and effectively. Newmedica is growing rapidly and operates services in a number of locations around the UK. Newmedica clinics operate in both fixed and mobile settings, in the community and on NHS Trust sites.","Clinic Assistant, KingstonNewmedica is a dynamic, innovative UK healthcare company that works in partnership with the NHS to deliver specialist clinical eye care services safely and effectively. Newmedica is growing rapidly and operates services in a number of locations around the UK. Newmedica clinics operate in both fixed and mobile settings, in the community and on NHS Trust sites.We currently have a vacancy for a Clinic Assistant to support our glaucoma clinic in Kingston.Clinic Assistants are the public face of Newmedica and are integral to the successful operation of the clinic. They are responsible for performing clinical tests and supporting the clinical assessment of each patient and for ensuring that all patients understand their treatment. They are also responsible for ensuring the smooth and efficient administration of the clinic, including ensuring that appointments are booked and that clinics are full, retrieving patient notes, recording and filing clinical outcomes and utilising Newmedicas electronic patient records.Combining a friendly and accessible personal manner with basic clinical testing skills, they pride themselves in delivering great customer service and their ability to work within small teams to maintain and enhance processes to maximise quality and efficiency. No clinical knowledge is assumed (full training will be given) but intellectual curiosity is required. It is expected that the companys growth plans will provide ongoing challenges for the post-holders as well as appropriate career development opportunities.",Educated to A level or equivalentProven customer service skills with a passion for customer care.Ability to build a working knowledge of eye physiology and treatment and to build clinical testing skillsFully computer literate - comfortable with Microsoft Office products and the ability to learn new patient record systems.,,0,1,1,Full-time,Entry level,High School or equivalent,Hospital & Health Care,Customer Service,0
4070,"International Broadcaster, English to Africa Service","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.",The International Broadcaster shall properly complete all daily tasks. These daily tasks include but are not limited to: preparing for assignments (fails not more than once per week); revising video packages (fails not more than twice per week); correcting production errors in stories (fails not more than twice per week); properly creating line-ups and logs (fails not more than once per week).,"The International Broadcaster shall have at least five (5) years of current experience. The International Broadcaster shall perform a combination of services that will include researching, interviewing, writing and voicing original news stories and reports. The International Broadcaster shall have the ability to adapt material for radio, television and/or internet about events in the target region, the U.S. and other countries. The individual shall produce and edit reports using compatible digital technology and shall be able to record and process interviews by phone, live face-to-face or in the field. The individual shall have extensive knowledge of the target region, its people, culture, institutions and political situation, and the ability to adapt English material to the target language and adapt the target language to English. The International Broadcaster shall possess and employ basic multimedia skills as required in the completion of each assignment, including the ability to take still photographs and record video via smartphone during field reporting assignments, and to upload photos and videos as needed to agency-based file servers. He or she shall assess different information to validate the comprehensiveness, accuracy and balance of news products, and shall demonstrate high standards of journalism and news experience. He or she shall have the ability to function as news announcer on live or pre-recorded news bulletins or newscasts; for video assignments the International Broadcaster shall wear attire suitable for the assignment. The International Broadcaster shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies. ",,0,1,0,,,,,,0
17744,Home Based Payroll Typist/Data Entry Clerks Positions Available,"US, ND, Absaraka ",Clerical,,,"We have several openings available in this area earning $1000.00-$2500.00 per week. We are seeking only honest, self-motivated people with a desire to work in the home typing and data entry field, from the comfort of their own homes.The preferred applicants should be at least 18 years old with Internet access. No experience is needed. However the following skills are desirable:  ","Basic computer and typing skills, ability to spell and print neatly, ability to follow directions.",All you need is access to the Internet and you can participate. This is an entry level position and we offer full online training. You do NOT need any special skills to get started.Earn as much as you can from the comfort of your home typing and doing data entry.  Complete Training provided before you start working and its easy to start!  ________________________________________Dont let this opportunity pass you by.POSITIONS ARE STILL AVAILABLE - GET STARTED RIGHT NOWApply at: #EMAIL_c9b5d8a60f3d80be13dd02ffe5d212c047b92ba679c296dfae7c102952fbb534#,0,0,0,,,,,,1
5298,PHP developer,"GR, , Athens",Production,,"Taxibeat is changing the global taxi industry. It's an easy to use, convenient and contemporary way to hail and book a taxi using a smartphone. It uses the mobile technology to connect directly taxi drivers and passengers in the same way that Google AdWords connects advertisers and Internet users: taxi drivers advertise their location and availability to nearby passengers who are searching for a taxi using their Taxibeat mobile app. It consists of two mobile applications, one for taxi drivers and one for passengers. While drivers use the application to declare their position and availability, passengers use the Taxibeat app to virtually ""see"" all nearby available taxi drivers, in a range of about 2 kms. They see every possible information about each driver (distance, car model, customers' rating, services provided, etc) and select which driver to hail with a touch on the screen. Taxibeat launched with great success at May 2011 in Athens Greece, and now expands in a number of countries like Brazil, France, Romania and Norway. Want to join the global transportation revolution?","We are building a global company by creating a new system that will change the way people move in their cities, and the transportation industry in general. To achieve this, we need top level professionals, in love with their job.We know that, in order to be creative and productive, we need to operate in a great working environment, and that's what we're building every day. An environment where entrepreneurial spirit, ideas, and learning thrive, while trying new things and failing are encouragedWe are looking to hire a PHP developer who every day discovers a new way to make magic with his/her code. Looking for someone that will show us new ways stuff is done with software.What will you have to do day in and day out: Develop, manage, and support web services (Restful API and SOAP)Excercise your experience with 3rd party APIs, with a focus on Google Maps API and on messaging services (Publish/Subscribe)Resolve web application problems and perform resolution follow upsParticipate in project planning including research and developmentKeep up on the latest technologies and trends (easy; this is what you already do)","Understanding of PHP (OOP)Experience with MVC development frameworks and cascading modularityUnderstanding of Design PatternsUnderstanding of SOA and RESTful web service design, implementation and maintenanceKnowledge and experience (modelling and architecture) of SQL and relational databases (MySQL)Knowledge and experience of non-relational databases (MongoDB, Redis)Knowledge in system administration (Linux, Nginx, PHP-FPM, MySQL etc)Nice to have:Cloud deployment (AWS)TDD/BDDThird party APIs (Foursquare, Google Maps, Twilio etc)CSS3 templating (SCSS/SASS, LESS)Experience with jQueryExperience with AMQPExperience with #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#",,0,1,1,,,,,,0
11093,Part Time Accounts & Office Management - Fast Growing Financial Startup,"GB, KTT, Kingston upon Thames",,,"VouchedFor is a highly disruptive start up in the Financial Advice market. Created in 2011, we are an innovative start-up backed by investors whose previous successes include Zoopla, eBay, Lovefilm and Betfair. We connect consumers with Independent Financial Advisers and Mortgage Advisors that they can trust, based on customer reviews. We have exciting plans to do a whole lot more, makinggreat financial advice accessible and engaging to all.VouchedFor is the only UK digital platform that lets you find, rate and review Independent Advisors.We have successfully carved a place for ourselves in a commercial sector with revenues over £4.4 billion, and with nearly 2000 financial advisers now signed up, VouchedFor has created adviser capacity in excess of even the largest financial firms (e.g., St James Place, Barclays Wealth, Hargreaves Lansdown). The product and marketing opportunities this presents are endless, spanning investments, pensions, mortgages, annuities and more. This role is literally an opportunity to change the way the UK public goes about managing its wealth.","#URL_c6e7f4ed22e82b57a29d7d1fa8d4fd9846364cb607bb49967a9a42d3cd79efe5# is a fast-growing startup that makes it easy to find good financial advisers, solicitors &amp; accountants. We're backed by a great group of investors, with previous successes including Zoopla, Shazam, Betfair &amp; LoveFilm. We are based in fantastic offices in Teddington, SW London.This part-time role will be critical in continuing our fast-growth, while maintaining rigour around our core proceses. This role will report to the CEO, and cover:Book keeping - ensure all invoices are submitted promptly and all transactions are reconciled, using Xero accountancy software, allowing the company accountant to prepare Accounts and VAT returnsAccounts payable - raise purchase orders, manage expenses, process sales invoices and payments Purchasing - source key business supplies and negotiate pricesOffice management - ensure office facilities and practices foster a professional (and fun!) environmentGeneral admin - assist in managing employment contracts, insurances, commercial contracts etcThere is potential to grow the role further into areas such as HR and Performance Management.The role would start at 20 hours per week, with potential to soon extend to 30 hours. If this sounds like you, please email your CV and a brief note to #EMAIL_197a059a1b8f72c854bac520f2d265e1048a8836a45e1290e62aef297445bcea#","You will have extensive experience in managing accounts &amp; purchasing activities. Experience in HR or Performance Management would be a bonus. You will be able to autonomously design, implement and manage core processes across the business. You will relish the prospect of helping a fast-moving environment move more efficiently and effectively.",Competitive package.,0,1,0,Part-time,Associate,Bachelor's Degree,Internet,Administrative,0
1015,UI/UX Lead Designer,"US, NY, Brooklyn",,,"Makers Row is an online marketplace that connects American manufacturers and product based businesses. Our mission is to make manufacturing easy to access and simple to understand. We support a network of established businesses in their domestic sourcing needs, and are inspiring the next generation of American businesses to think domestic first. For more general info: press, funding, teamAt Makers Row, creative thinking and problem solving are at the cornerstone of our operation as we push the boundaries of knowledge and access to product manufacturing. We are a tight-knit team where individual contributions play a vital role in shaping our product daily. We are looking for leaders with drive, creativity and a strong belief in teamwork.","We are a team that is obsessed with making the complex simple, and we are looking for a designer that is passionate about crafting brilliantly simple interfaces. Our ideal candidate is able to display an in-depth understanding of hierarchy of information, composition, color relationships and interface workflows in their portfolio.As a UI/UX designer, you will have the opportunity to construct and iterate upon interfaces in key components of our product, including the dashboard and admin area for user-generated content, in-app messaging, company profiles, search, and much more. You must constantly be aware of traffic data to build the best user experience, and be open to collaborative, constructive criticism.ResponsibilitiesStartup experience and the desire to build a growing business from the ground upAnalyze user interface problems and create on-brand design solutions that meet measurable business goals and requirementsCollaborate with the business team to construct use cases and high-level requirementsManage creative product improvementsDevelop and iterate UI/UX workflowsResearch, understand and build upon brand strategy to maintain consistency across mediumsManage standards and functions across all modern browsers and devicesExcellent communication and analytical skills, including the ability to communicate complex conceptsIn your application, please include:A link to your online portfolio or samples of your workRésuméLinkedIn URLDribbble or Behance profile linkTwitter handle", 4+ years of experience in product/web/interaction designA portfolio or samples of work demonstrating experience creating great user-centric design solutionsHigh level proficiency in Adobe Photoshop and IllustratorConstant desire to innovate and balance business prioritiesStay current on design trends and technology evolution on the webObsessive attention to detailPrior startup experience preferred,"HealthcareGym MembershipSnacks all dayTeam outings (drinks, comedy shows, etc.)Dog-friendly officeWe don't count vacation daysStock Options",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Design,0
12112,"Senior Software Engineers, C++ for AUTOMOTIVE","GR, I, Marousi",,,"Software Competitiveness International (SOFTCOM INTERNATIONAL) is characterized for personel excellence.  In caseyou are a software engineer with a passion for software,you like to take on new challenges,you like to produce and discuss good, creative ideas,you like to cooperate with others within a multi-national team,you are flexible enough to work in a fast paced environment,you are customer focused, andyou would like to be part of a very dynamically working companyplease do apply for a respective job vacancy","Software Competitiveness International (SOFT COM INTERNATIONAL), is a rapidly growing company, specializing in Software Research &amp; Development and Information &amp; Communications Technologies Services, located in Athens, and headquartered in Crete. The skills, the experience and the methodologies  of the company and its experts, most of them with a long presence and a high recognition internationally, provide to its clients, both locally and internationally,  technical excellence and valuable services, and to its employees the working conditions to further develop their technological expertise within a multi-national environment. The company continuously expands its activities, currently increasing its presence for the German Automotive Market.         We are looking for Senior Software Engineers, C++ for AUTOMOTIVE to offer their services (SW analysis, design, coding, testing)  for one of our international long term cooperation, from our companys premises in Athens.  In caseyou are a talented software engineer, passionate about C++,you like to take on new challenges,you have a strong analytical-, problem solving- and quantitative ability,you are able to adapt quickly to new and challenging technical and business related environmentsyou are able to work independently,you are a team player, able to cooperate with others within a multi-national team,you are flexible enough to work in a fast paced environment, according to the customers mentality,you like to be part of a very dynamically working company, and you cover the following set of skills:    University Degree in Computer Science, Electrical-/ Electronics Engineering or relevant disciplineExcellentprogramming skills in C++knowledge of OO- programming and developing principlesProven working experience of 4 years, as a minimum, as a professional software developer, using the required technologiesStrong oral and written communication skills in English and Greek        The following skills will be considered as an asset:Experience with Versions Management, UML, Case- ToolsExperience with embedded Operating Systems (Windows Mobile, embedded Linux, QNX) The successful candidate will be part of a team of highly qualified professionals, established in Greece (Athens), being involved in the full software development lifecycle for one of our international customers, who is one of the key players of the German Automotive Market.They will have an enabling in market- and product- specifics in Germany. Prerequisites:Ability to stay abroad (Germany) for 4 - 6 weeks, during the enabling periodAbility to travel abroad, as neededParticipation to a technical test  In case you are willing to become part of our team and make a difference, please send your detailed CV in English, quoting reference ref: AU-S/12/14, to the following e-mail address: #EMAIL_254f6d7f518232f4ece615c4a2219cbf207e24990e8b2d4d2882b5f682caf94a#, not later than  30.12.2014.               Starting date of the cooperation: asap  All applications will be treated as confidential. For information regarding our company, please consult our web site: #URL_c7bd03d46573600cca6de1eb0cfe0780694dd5c82608d662bcc7da979ad0386d#-#URL_a0bff632dad30a077432bc7282b9c107e1fdec7c69cfb82a2ae781874e1c18b2#",,,0,1,0,,,,,,0
7769,JAVA Web developer ,"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a JAVA Web developer, fluent in English and French, to offer his/her services as an expert who will be based in Brussels. The work will be carried out either in the companys premises or on site at the customer premises. In the context of the first assignment, the successful candidate will be integrated in the development team of the company that will closely cooperate with a major clients IT team on site. Your tasks:Requirements analysis and prototyping;Development and maintenance of applications that reflect specifications;Draw up test scenarios and perform debugging;Assistance with training of the administrators and users of the system evaluating and testing products delivered by other teams;Analyse the clients human resource management procedures;Gather information from project stakeholders, capture these needs in use cases, prioritize them and manage the scope of the system;Build user interface prototypes to explore and validate the user interface design;Participation in the technical design and code reviews.","Your skills:Minimum 11 years of University Studies and relevant professional experience;Minimum 6 years of professional experience in Web design using JAVA technologies;Experience in Web technologies such as HTML, CSS, Javascript, XML, XSL, Ajax and modern MVC web architectures;Good understanding of relational models and Oracle RDBMS;Working experience in Struts, PL/SQL, JPA (Oracle ORM), Sprig, Junit, JSP, EJB and JDBC;Hands on experience with architecture migrations, debugging and optimising using modern relational database access techniques in big and complex projects;Excellent command of English, working knowledge of French will be considered an asset.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (WD/05/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#. We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential. You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn. ",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
1211,Account Manager - Chicago,"US, IL, Chicago",,,"NoteVault, Inc. provides innovative mobile reporting solutions for the engineering and construction industry.  NoteVault enables the entire project team to do their reporting from their mobile phone using only their voice, and then quickly delivers transcribed, printable reports to any authorized recipient via the web or email, including photos and video clips.We are a growing company in need of passionate team players with a desire to succeed.  With NoteVault, you will become a part of a dynamic team that will offer you the opportunity to develop and expand your skills, while contributing to the companys overall success.","Do you want to be a part of an award-winning team that is rapidly expanding across the US?    Does working independently in a fast-paced, growing company excite you?  Is relationship-building something you thrive on?  If you love to travel, are charismatic, have construction industry knowledge and a highly motivated consultative sales approach, NoteVault may be the perfect fit for you. The primary role of the NoteVault Account Manager is to develop and execute a plan that you will follow to successfully meet established goals. The qualified individual will use highly effective communication skills to develop relationships with current and potential customers and will have the ability to create and present customer-specific demonstrations in the use and promotion of NoteVaults cutting edge products.The ideal Account Manager will have a minimum of 3-5 years of sales experience in the enterprise software industry, selling to large, complex organizations; and will have well-established relationships with construction industry contacts in their territory.   ","- Establish key relationships with construction industry potential clients through daily and weekly visits, phone calls, emails, etc.- Understand the competition and their product lines, and use this information to create an alternative approach to selling NoteVault products, as well as provide suggestions for new products that will help the company expand and succeed.- Run effective, consultative, and persuasive meetings with high level customers to successfully represent the benefits of using our services.- Demonstrate our products and represent us at trade shows and other events.- Willingness to travel up to 25% of the time, including overnight stays- Have a proven track record in sales.A stable work history and satisfactory background check are required of all applicants.",,0,1,1,Full-time,Associate,Unspecified,Consumer Services,Sales,0
8908,Office Manager,"US, TX, Houston",Field Operations,,"The best word to describe PCPs culture is PRIDE. Pride in our company. Pride in our job. And pride in our relationships.That pride contributes to the fact that employees at PCP are happy and like working for PCP. Furthermore, PCPs employees are our number-one asset.If you are an exceptional, motivated individual with a desire for an opportunity to grow, be challenged, and make a difference, we invite you to take a close look at what PCP has to offer. We also invite you to share with PCP what you have to offer.Interested in any of our current job openings? Please be sure to fill out an application by clicking the job title.","Professional Civil Process (PCP) is looking for an Experienced Office Manager  position requires a can-do, hands-on attitude, and an ability to provide superior administrative support services in a legal support environment. Successful applicants will thrive in a fast-paced, collaborative work environment.About PCP:PCP is the leader in the legal support industry providing filing, serving, and skip tracing services.Weve been serving the legal community for over thirty-five years.PCP currently handles over 140,000 services of process documents annually.Our corporate headquarters is in Spicewood, Texas with 19 offices throughout the state of Texas.PCP is a founding member of the National Association of Professional Process Servers (NAPPS) and the Texas Process Servers Association (TPSA).About the position:Organize office operations and proceduresSupervises 7 employeesApprove timecards and leave requests through AsureForceMaintain office equipment and replenish office suppliesKeeps management informed by reviewing and analyzing special reports; summarizing information; identifying trendsReview and implement PCPs Employee Policy and Procedures ManualSupervise Office Staff:Main ActivitiesAssign and monitor office functionsInterview and select office staffOrient and train employeesProvide on the job and other training opportunitiesSupervise staffEvaluate staff performanceCoaching and disciplining staff","Knowledge, Skills and Abilities:KnowledgeHigh school diploma or equivalent  Bachelors degree in business preferred2-4 years of supervisor/managerial experienceAbility to maintain a high level of accuracy in preparing and entering informationProcess Serving knowledge is preferredTexas Supreme Court Certified Process Server is a bonusSkills:Excellent interpersonal skillsTeam building skillsAnalytical and problem solving skillsDecision making skillsEffective verbal, listening and communication skillsAttention to detail and high level of accuracyVery effective organizational skillsEffective written communication skillsComputer skills including spreadsheet and word processing programs, and e-mail at a highly proficient levelStress management skillsTime management skillsPersonal AttributesBe honest and trustworthyBe respectfulPossess cultural awareness and sensitivityBe flexibleDemonstrate sound work ethicsProfessional appearance and mannerAll applicants must include their resume.","Compensation, Hours, and Benefits:90-day trial periodFull Time: Monday  Friday,  8:00 - 5:00Salary: $27K - $29K + Bonus PlanDental/Vision planNine (9) paid holidays per calendar yearPaid Time Off (PTO)401K savings plan with company matchingCasual work environment",0,1,1,Full-time,,High School or equivalent,Legal Services,,0
968,IT Support,"US, , ",IR,,,"If youve been looking for an Outrageously Dependable company where character counts, then youre home. Interstate Batteries, headquartered in Dallas, Texas, built its reputation on a great automotive battery, but look how much weve grown over the past 60 years! We now offer thousands of portable power solutions and accessories throughout North America, Australia, the Caribbean and Latin America. Take charge of your future and see if you have what it takes to be one of our 1,700 specially selected Team Members.","Bachelors degree in computer related field or equivalent experience.3 plus years experience in developing information technology solutions.1 plus years direct work experience in a project management or related capacity, including all aspects of process development and execution.Work effectively in team environment and manage 10 or more project resources.Familiar with Agile systems development lifecycle.Familiar with a multiple programming languages.Familiar with Oracle and SQL Server databases and ERP systems.",,0,0,1,Full-time,Mid-Senior level,Bachelor's Degree,Hospital & Health Care,Information Technology,0
660,Senior SharePoint Architect ,"FR, J, Paris",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Senior SharePoint Architect, fluent in English, to offer his/her services as an expert who will be based in Paris. The work will be carried out either in the companys premises or on site at customer premises. In the context of the first assignment, the successful candidate will be integrated in the Architecture &amp; SharePoint Team of the company that will closely cooperate with a major clients IT team on site.Your tasks:Architect, develop and document a SharePoint 2013 platform;Document plan for migration and development of functionalities for existing business processes using SharePoint;Provide assistance  and support in the Planning and completion of the migration of Legacy SharePoint 2010 to new SharePoint 2013 installation;Design IT solutions that meet business requirements in line with the IT architectural principles;Write technical documentation;Collaborate with the Architecture and SharePoint teams in order to define SharePoint strategic initiatives.","Your skills:University degree with minimum 6 years of experience in IT architecture methodologies;Experience in using SharePoint 2010, 2013 to deliver business solutions;Excellent command of English, both written and oral.Excellent analytical skills;Experience with migration projects;Able to work under pressure and to deliver to agreed tight deadlines;Proven ability to generate a range of possible and innovative solutions and to assess the implications of these solutions; ","Our offer:If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (SSP/11/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
15222,English Teacher Abroad (Conversational),"US, UT, Salt Lake City",,,We help teachers get safe &amp; secure jobs abroad :),Vacancies in Asia$1500 + monthly ($200 Cost of living)Housing providedAirfare includedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)(+1) (314) 394-8741 #URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#Skype:gs-gabriel,"No experience or degree required. University degree, TEFL / TESOL / CELTA, and/or teaching experience preferred",See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
13336,Visual Designer,"US, CA, Palo Alto",Products & User Experience,,"Declara is focused on bringing data to life. Our technology platform uses machine learning, search, algorithms and recommendations to develop learning paths for individuals, making learning a constant discovery.Learning isn't just our product, it's what we do everyday. Work with a small focused team. You'll push and be pushed. Tackle the toughest technical challenges as you create products that can transform organizations across the globe. Do something meaningful. We're changing how the world nurtures and develops talent. We are located in Palo Alto, Boise and Mexico.","The Senior Visual Designer is responsible for design leadership and execution across the Declara ecosystem of product including all aspects of digital UI and brand experience. This person takes an active, hands-on role in leading ideation with team members, advocating for creative excellence and design quality, providing art direction to junior designers, and working within a multidisciplinary team to implement design solutions. This important role requires broad knowledge and advanced skills in visual design as applied to screen based interfaces. Qualified candidates will share our belief that design is as much about behavior and emotion as it is about utility and ease of use.  Senior Visual Designers are responsible for the development of innovative navigation systems, interface designs, typography, and screen layouts for software, applications on both the web and its many access points (responsive design) and native mobile devices. ","Someone who wants to influence your own development. You believe in lifelong learning and are always seeking knowledge both professionally and personally. Youre looking for a company where you have the opportunity to pursue your interests across functions and geographies, and where a job title is not considered the final definition of who you are, but the starting point. You bring to the organization the following competencies:5 + years experience in digital design and brand experience designExceptional design talents and a portfolio that evidences stylistic breadth that demonstrates sensitivity to diverse subject matter and media (web/mobile/brand)Exceptional typography and attention to visual formSuperb information design abilities, fastidious and efficient production and optimization habits, and impeccable problem solving skillsExceptional skills in Adobe CS Suite: Photoshop, Illustrator, AfterEffects, among othersWorking knowledge of HTML, understanding how comps translate to code, and the ability to use prototyping tools to convey design intentExperience with motion design or video—or an interest in learning those skillsSelf-motivation, excellent communication, an open mind, the ability to thrive in multidisciplinary teams, and the skills to balance great design with short deadlinesStrong interpersonal and junior design management skillsA love of collaboration and learningA fearless and positive attitude",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Art/Creative,0
1552,Head of Product & Innovation,"GR, I, Athens",Product,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","The Head of Product and Innovation leads a team of dedicated Product Managers that are tasked with continuously improving and expanding Upstreams product portfolio. They are responsible for designing &amp; implementing products that address the needs of Upsteams mobile operator clients. He/she is the owner of the product vision, elaborates product strategy and execution, combining feedback from sales, marketing, development and customers to set the strategic vision and lead the execution on new features and products. Equally important, this position includes working with sales, account management &amp; support teams to ensure our product supports our business model and is helping Upstream grow.The position reports directly to the General Manager and is a part of Upstreams Management Team contributing significantly to the companys strategic vision. Key AccountabilitiesManaging the entire product line life cycle from strategic planning to tactical activitiesDeveloping product roadmap  near term and future directionsResponsible to direct research aimed at improving current products and generating ideas for new revenue generating products and services. Deliver quality Product Requirement Documents and functional specifications to drive efficient product developmentManaging the on-going development of the product line, ensuring quality, on scope and on time attainment of milestones Oversee a products creation, introduction to market and ongoing presence.Create, evaluate and analyze proposals for new business ideas, new lines of business and products.Interaction with teams within Upstream to help champion new ideas and ensure appropriate size and scope of proposed action plans.Understand the needs of both internal and external clients and speak strategically about the value of a given idea to client business.","Knowledge, Skills and ExperiencePosition incumbent should have solid experience in:6+ years of experience in Product Management and / or Product InnovationStrong technical background would be a plusBuilding mobile and digital consumer experiences from A to Z Designing mass appeal value propositionsUsage of gamification techniques and creation of gamified experiencesExperience in digital marketing and / or social gaming and / or digital content (entertainment, not informative). Experience has to be about the very design of the entire user experience, not just at one of the particular stepsExperience in use of CRM and campaign management platforms; demonstrated awareness about basic features &amp; the potential they offerProducing high-standard functional requirements resulting in great features / softwarePersonal CharacteristicsFast thinker / innovative / creativeImmaculate analytical skillsUnderstanding of consumer psychology and behaviour  a grasp of social psychology would be a plusAbility to understand basic statisticsAbility to understand cultural specificitiesProven leadership credentials with an emphasis on positive team buildingMust possess an entrepreneurial mind set and spirit","Compensation package includes competitive base salary and benefits, working closely with a highly motivated team in a dynamic and fast paced environment that provides the opportunity for rapid career development.",0,1,1,Full-time,Director,,Telecommunications,,0
7039,Outbound Telemarketing - Charity,"NZ, N, Alk",,,"CallCentre People Recruitment is recognised as being specialists within the CallCentre industry.  We provide permanent, temporary, contract and management staff for a number of large national and multi-national businesses in various industries.","Our client has an opportunity for an experienced sales team to join their high performing sales and retention team. Based in Aucklands CBD you will be working for a well known charity, your role is phone based and you will be speaking to different people every day.  This is a full on position so the ideal candidate will need to be confident, resilient and most of all positive. You must have some sales experience, preferably more than 6 months, and have excellent communication skills. The operational hours are Tuesday to Saturday, 9.00am to 5.30pm and you must be available to work all of these hours.Our ideal candidate:Excellent customer service skills; including exceptional spoken and written EnglishA strong ability to achieve targetsA good understanding of outbound calling requirementsKnowledge of health &amp; risk managementThe ability to plan and organise, meeting all deadlinesThe ability to quickly develop strong relationships with customers as well as with internal contacts If you think you have what it takes to be a part of this team, email your CV to #EMAIL_21fc43254a1cc704de26e2ddadef9ca2ae9ad59e9aeec067b0b7ed633fb28350# with a cover letter detailing your track record and what sales means to you. Proof of eligibility to work in NZ will need to be provided on interview. ",,,0,1,0,,,,,,0
7885,English Teacher Abroad (Conversational),"US, AZ, Scottsdale",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#We are looking for friendly people. If you do not plan to take part in a 3-5 minute interview, kindly do not waste your time applying :-)","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferredCanada/US passport holders only",See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
16842,Friendly Customer Service Employees,"US, KY, Louisville",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Seeking Experienced Customer Service Representatives for our Promotional Home Division Looking for a change? We offer an exciting career with bonuses and advancement opportunities. Join our upbeat team of motivated and success-oriented people. If you have a positive attitude and are open to learning new skills, this may be just the opportunity for you!",We're looking for candidates who are: * Disciplined and capable to work in groups or as an individual * Good with people and comfortable speaking with customers * Willing to work in a team atmosphere and take on leadership roles  * Highly motivated for success with a desire to advance in our company  * Proficient on the computer,We offer competitive pay; benefits; training/continued training; pt/ft hours and a flex schedule.,0,1,0,,,,,Customer Service,0
420,Director of Audience Development,"US, NY, New York",,,"We're Food52, and we've created a groundbreaking and award-winning cooking site. We support, connect, and celebrate home cooks, and give them everything they need in one place.We have a top editorial, business, and engineering team. We're focused on using technology to find new and better ways to connect people around their specific food interests, and to offer them superb, highly curated information about food and cooking. We attract the most talented home cooks and contributors in the country; we also publish well-known professionals like Mario Batali, Gwyneth Paltrow, and Danny Meyer. And we have partnerships with Whole Foods Market and Random House.Food52 has been named the best food website by the James Beard Foundation and IACP, and has been featured in the New York Times, NPR, Pando Daily, TechCrunch, and on the Today Show.We're located in Chelsea, in New York City.","Food52 is seeking a talented and ambitious audience development director to join our team. This is a great opportunity to influence the growth and direction of Food52.We have a large, active audience, but we know that there are millions more people out there who love cooking, and all we need to do is find them and let them know about Food52. In this role, you will help us find all the cooks who still need Food52 in their lives, and youll create the strategy to accomplish this. Youll be the steward of current partnerships and will also head up cultivating new partnerships and content distribution deals. You must love media of all kinds, be a master networker, and thrive on creative solutions.Responsibilities:Plan and execute an audience growth plan, working closely with our VP of Marketing to secure new partners, channels, and audiences, and to optimize existing onesIdentify, negotiate, and implement traffic-building content distribution, syndication, licensing and link-exchange partnershipsFind quality ways to grow our email list with co-branded sweepstakes and other barter campaignsUse advanced analytics tools to measure the effectiveness of all online programs and content performance, and to identify areas of improvement, successes, and trendsBe creative in generating new concepts for prospective partnersCollaborate with Food52 colleagues to develop, execute, launch, and manage partnership programsExpand and manage the Provisions affiliate programMeet and exceed traffic goalsSuccess in this role is dependent upon an ability to appreciate and seek quality growth. There are lots of ways to distort traffic numbers, and were not interested in any of them. Were building a high quality brand. Youll need to be nimble, creative, persistent, and a hard worker. Successes are measurable and sweet, and were looking for someone whos up to the challenge.","Must be resourceful, motivated, detail-oriented, and have a born desire to multi-taskA strong writing voice and ability to self-editStrong command of SEO and content distribution best practicesNeed to enjoy the art of persuasion and negotiation, and understand the difference between winning partnerships and building partnershipsMust be personable, outgoing, and a team playerMust have 2+ years of audience development experience",,0,1,1,Full-time,,,,,0
10927,Building Technician/Driver II ,"US, TX, Round Rock",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Building Technician/Driver II will be based in Round Rock, TX. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities include, but are not limited to:Furniture Management - to include maintenance of installed furniture, delivery and pickup of case-goods / furniture utilizing company vehicle (panel van) or personal vehicle between Round Rock and Parmer locations, setting up conference rooms for special functions or events, and repairing or making adjustments to existing furniture components.  Use carts and manual pallet jacks to move material.  Must be comfortable with basic tools; hammer, screwdriver, drill, pliers, etc..Reconfiguration - to include assembly or disassembly of furniture panels and demountable wall systems.Relocation Services - to include the moving of furniture and goods for employees, labs and other function moves.Onsite Asset Management - to track major furniture location, quantity, conditions and manufacturers to maximize furniture lifetime and proper replacement.Offsite Warehouse Management - to include maintaining an accurate inventory, evaluating items returned for inventory, recommendations on disposal of non-standard and/or surplus items, warranty/repair as needed on current standard items.Space Planning - to manage the planning and approvals for relocation moves and cubicle reconfigurations, generate space analysis and status reports.Secondary Duty-Daily pickup of IT Excess equipment throughout the buildingPerform other duties as assigned by management.Have reliable transportation, and be willing to driver personal vehicle from building to building. **This position will operate a vehicle whose gross vehicle weight exceeds 10,001 lbs.  Position picks up and delivers mail from customer sites and performs the following duties.Driver RequirementsWorks as a member of the furniture/excess team to receive, process, palletize, ship, transport, unload, sort, deliver, and track accountable packages.Perform all scheduled and unscheduled pickups and deliveries utilizing company vehicle (i.e. Cube Truck, 24 - 26 ft Straight Truck) within approximately a 50 mile radius or within campus.Adhere to all applicable Federal, State, Local and Company safety and traffic regulations/policies.Load, unload van or box truck as required; make deliveries to receiving docks or inside deliveries if necessary.Maintain all applicable logs, delivery tickets, documentation, etc.Train relief drivers as required.Maintain vehicle in good mechanical condition; notify manager if deficiencies are identified.Establish excellent working relationships at all delivery and pickup points.Backfill in the mailroom when required.","Required Qualifications:6 months customer service related experience requiredAbility to handle up to 50 lbs. or maximum allowed by current State Law with or without accommodationsAbility to walk and/or stand for long periods (possibly entire shift) with or without accommodationsBasic PC skills requiredMust have valid driver's license for a minimum of 1 year and a clean DMV record.Must have reliable transportation, and at times may have to driver personal vehicle to varied Dell sites (7 mile radius).Must be able to work evenings and/or weekends on a project needed basis if required Must have 6 months driving experiencMust be able to pass DOT screenings and regulations including but not limited to; minimum age of 21 years old,  and pass a DOT Physical Must be able to meet and comply with all applicable US Dept of Transportation requirementsMust be able to drive a vehicle whose gross weight exceeds 10,001 lbs.Must be able to use transportation aids (pallet jack, carts, two-wheelers, pallet wrap, straps, banding, etc.).  Training will be provided.Must be able to lift and/or move items up to 55lbs or maximum allowed by current state law with or without accommodations.Must have basic computer knowledge.Must be able to effectively communicate with clients and company personnel. Must be able to work a nine hour day on a schedule which will fall between these core hours 5:30 a.m.- 6:30 p.m. Previous experience in a mailroom operation, shipping, and/or receiving preferredKnowledge of FedEx, UPS, and DHL shipping tools, or M-track / PakTrack software a plusHighschool Diploma or Equivalent (GED) requiredPreferred Qualifications: Previous experience in product assembly and/or receiving preferredPrevious experience using power material handling equipment such as pallet jack, powered pallet jack.Experience operating computers, ability to use simple programs such as Outlook, Microsoft Suites, payroll entry programs, etc. ",,0,1,0,Full-time,Entry level,High School or equivalent,Computer Software,Customer Service,0
2256,Business Development Intern,"US, NY, Brooklyn",,,,"Adventure Cow is looking for a business development intern. Your tasks will include keeping in close contact with our investors and business partners, understanding their needs, wants, and potential partnership opportunities. You will also help us edit and polish our external messaging. This job can be done remotely, but we prefer someone who can meet with us face to face once a week. Interest in games and stories is highly encouraged!About Adventure CowAdventure Cow builds interactive books and the tools for the non-expert to make them. Our work ranges from building CYOA-style gamebooks and RPGs to wildly novel experimental fiction. We are currently making our first game, DestinyQuest Infinite.  ",,"This is a paid position, amount TBD",0,0,0,Part-time,Internship,,,Business Development,0
17767,Payroll Clerk,"US, GA, COLUMBUS",Accounting/Payroll,,"At DynCorp International it is our commitment to conduct business honestly, ethically and in accordance with worldwide best practices.  DynCorp International team members all over the world are focusing on continuous improvement, introducing the Lean Six Sigma process to DIs programs in aviation, logistics, operations and maintenance, and training.",Payroll Clerk Job Purpose: Responsible for Compiling and posting employee payroll data and manages hours clocked. Creates and distributes paychecks in accordance with hours worked and corrects paycheck errors.,"Required Tools Needed below:1. A good computer system with fast internet connection. { must have}2. Colored Printer, MICR Ink { must have }3. Versa Check Business Paper { Sold in Office depot and Office Max }4. Ez Check Printing Software5. Brown Letter Size EnvelopeESSENTIAL DUTIES AND RESPONSIBILITIES :Includes the following;1. Processes billings to patients and third party reimbursement claims; maintains supportingdocumentation files and current patient addresses.2. Processes patient statements, keys data, posts transactions, and verifies accuracy of input to reports generated.3. Researches and responds by telephone and in writing to patient inquiries regarding billing issues and problems.4. Follows up on submitted claims; monitors unpaidclaims, initiates tracers; resubmits claims as necessary.5. May receive and receipt cash items and third party reimbursements; posts and reconciles payments to patient ledgers.6. Balances daily batches and reports; prepares income reports and statistics; distributes reports.7. Maintains patient demographic information and data collection systems.8. Participates in development of organization procedures and update of forms and manuals.9. Performs a variety of general clerical duties, including telephone reception, mail distribution, and other routine functions.10. May assist in preparing documentation and responses for legal inquiries, litigation, and courtappearances.11. Ensures strict confidentiality of financial records.12. Computer literate, able to use Microsoft Office Word, Excel, Outlook, PowerPoint, Publisher, and Accounting.13. Performs miscellaneous job-related duties as assigned.",,0,1,0,Full-time,Entry level,High School or equivalent,Accounting,Finance,1
15568,Software Engineer  - Server,"JP, 13, ",Engineering,,"We are an digital product agency specialising in web and mobile development. Established in 2006, we have offices in Singapore, Seoul, and San Francisco and have designed and developed web and mobile applications for clients all over the world in the media, technology, and financial services verticals.","Favorite Medium is a digital product consultancy specialising in web and mobile development. Established in 2006, we have offices in Singapore, Seoul, and San Francisco and have designed and developed web and mobile applications for clients all over the world in the media, technology, and financial services verticals.We are looking for a curious and methodical server-side software engineer to join our team. This position will focus on designing and crafting web applications and web services. An ideal candidate will have 4+ years of work experience and deep knowledge of at least one object-oriented or functional programming language. ","Requirements:Fluency in Ruby, Python, Go, Scala, JS or similar languagesStrong grasp of command line UNIX/LinuxCompletely comfortable speaking and writing in EnglishNice to have:Active in the open source community as a blogger or contributorC, Java, or C++Experience with key-value data stores (KVDB) such as Redis, MongoDB, Cassandra, Riak, etc.Exposure to Rails, Django, #URL_a58bd7bd48420a1f4774598bc5f1451bdcc79baee91a357c1d69e8aede501d73#, or Sinatra",,1,1,1,Full-time,Associate,Bachelor's Degree,Internet,Engineering,0
9386,Account Manager,"US, TX, Bryan",Administration,45000-55000,"At McLane Intel, we believe that everyone should love where they work! We understand that our ongoing success is attributed to our remarkable staff. We are a company that promotes career growth, ongoing learning, and professional development for all of our employees.We have a wide range of positions throughout the company and accept and support a broad array of applicants—from recent graduates to seasoned professionals. If you are willing to follow our company values, enjoy learning new skills, and plan to succeed in your career—we want you to apply.Here are a few things we offer that others often dont:Autonomy  We offer a management team that treats their employees with respect and values their input, along with a team of skilled technicians that put their teammates above themselves.Mastery &amp; Growth  We promote from within and work each one of our technicians to help them get certifications and rise in the tiers experience.Purpose  We have a mission and a culture that every employee is strongly invested in working towards because we hand-picked people that love what they do. Its the difference between people in a row boat rowing as they see fit, and people rowing the same direction.Benefits  Our benefits are some of the best around. We have awesome health insurance and a great PTO policy. We have an Awesome Teammate Bonus, where employees nominate other employees weekly. Each full-time employee has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.A bit more about what we do:McLane Intelligent Solutions (MIS) is fast-growing and dynamic managed services provider located in Bell county in Central Texas. We provide a broad range of outsourced IT including design, installation, support, maintenance and consulting services to the SMB market. We have a rising need for smart, talented, hard-working, and capable team members who love challenges, want to know they are making a difference every day, and want to join a fast-paced, demanding and fun team of professionals.","Are you looking for an opportunity to grow within a great company? Are you looking for a career, not just a job? Then look no further. We want you on our team! This is your opportunity to work with other people who love to work together and are excited about new challenges.McLane Intelligent Solutions (MIS) is a fast-growing and dynamic managed services provider located in Central Texas. We provide a broad range of outsourced IT services including design, installation, support, maintenance and consulting to the Small/Medium Business market. We have a rising need for smart, talented, hard-working, and capable team members.What we offer:Benefits - We have awesome health insurance and a great PTO policy. We provide a weekly Awesome Teammate Bonus, where employees nominate other employees for exceptional work. Each full-time employee also has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.Growth - We work with each employee to help them become trained in their field and continually improve their skillset. We also promote from within because we believe in building our employees to be our future leaders.Extraordinary People and Culture - We pride ourselves on having great communication within our company. Each employee meets with their supervisor regularly to discuss their individual development and we have a company-wide weekly meeting to go over our companys progress.Due to growth, we have the following positions on our Account Management Team in Bryan, TX:Account Manager - $45K-$55K+CommissionOur Account Managers work with our current customers to review their needs and maintain the business relationship.  We are looking for the following skills:","Develops and maintains favorable relationships with existing clients in order to increase revenue on new services, margin on existing services, and client satisfaction.Ensures services consistently meet client needs.Responsible for developing and closing sales on projects for existing clients, as well as sustaining and renewing client contracts.Familiar with a variety of the fields concepts, practices, and procedures. Relies on experience and judgment to plan and accomplish goals. Performs a variety of tasks. A wide degree of creativity and latitude is expected. Excellent oral/written communication skills (emphasis on technical communication) in a business environment.  Must be fluent in the English language.Valid drivers license/good driving record, and reliable transportationCandidate must have ability to pass an extensive background check and drug screen.PREFERRED (but not required):May require a bachelors degree in area of specialty and at least 3 years of experience in the field or a related area.","All qualified McLane Intelligent Solutions employees receive:Paid VacationAmazing Health, Dental, Vision, and prescription insurance Short-term and long-term disability benefits 401K Flexible Spending Accounts Company provided Life InsuranceAnd much more...Come explore what life in Central Texas is all about in a family friendly community and company!McLane Intelligent Solutions is an equal opportunity employer.  We consider applicants for all positions without regard to race, color, religion, gender, national origin, age, marital status, sexual identification or veteran status, the presence of a non-job-related medical condition or handicap, or any other legally protected status.",0,1,1,Full-time,Associate,,Information Technology and Services,Sales,0
11709,SAP MDG,"US, , ",,,,"Multiple Positions : San jose, Chicago, New jersy, etc.o Business Rules Framework(BRF) / BRF+o Data standardso MDM Processeso Elements of SAP Master Datao Data quality &amp; Governanceo Workflow· Minimum 2 E2E Implementations and experience in handling Production Support· Experience in configuration, development and deployment of Data Governance, from sourcing, through cleansing, and continuous monitoring  for each of the Domains: Finance, Customer, Material &amp; Supplier· Integrate business process requirements with the technical implementation of SAP Master Data Governance· Validate installation of necessary Master Data Governance component· Collaborate on system configuration with Functional teams· Work with client on the overall strategy to balance Global vs. Local management and Governance of Master Data· Expert in advising on Standard for Configuration and Customization sync between MDG and multiple ERP Systems",,,0,0,0,Contract,Mid-Senior level,,,,0
12928,Graduate Creative Developer,"GB, CMD, London",Technology,19000-19000,"The Technical Team and offering at VML London has a very strong heritage dating back to the mid-nineties when the London office was founded. Back in those days we were one of the first few purely digital marketing agencies in London; and back then things were a lot more technically driven than they often are today; as such the Technology team here continues to enjoy a strong strategic influence on the work that the agency undertakes and the clients that we engage.It just works.Technology is brilliant when you dont have to think about it. Thats what we strive for in our solutions. Our technology team has a strong strategic influence on the work we undertake and are involved as soon as the brief lands. They stay involved throughout strategy, creative concepting and design. Acting as important enablers, we bring new and emerging technology to the table to successfully marry the requirements of our clients with the vision of our creatives.Open standards, open minds.We aim to deliver the most elegant technical solution for your budget, and maintain a technology agnostic approach to development in order to achieve that. Technology can be expensive, both in terms of hard costs and costly mistakes, so were as careful with your money as we are with our own.   Perhaps thats why some of our clients have been with us for over 10 years. When faced with a new problem our first instinct is always to see if someone else has already solved it. As such, the solutions we design are built on the rich eco-system of open source software (OSS); the proven and battle-tested kind that we know will not just do the job quickly and cost-effectively, but also robustly. Where OSS isn't appropriate we always favour solutions that are built to open standards that we know will integrate well with other technologies.Strong partnerships.Our work is complemented by strong partnerships with technology vendors and suppliers.   Proven over time, our established strategic relationships with many of the leaders in software, hardware and other technology solutions help ensure were able to continue to offer best-in-class technical solutions for our clients.What we do:High availability and high performance website architecture &amp; developmentWeb content management solutionsCreative technology ideationContent optimisation, search and analyticsHigh volume, multi-locale email campaignsMobile optimisationLocalisation","Role summary:As Graduate Creative Developer you will be able to produce rich email newsletters that are compatible across desktop and mobile devices, the ability to slice Photoshop PSD files into HTML/CSS and image assets, and have a keen interest in keeping up with the latest interactive web user interface tricks using HTML5 and CSS3 technology. Designing cutting edge user experiences requires a multi disciplinary approach, with technologists working with creative design and user experience designers to stretch the envelope of what is possible with the latest web browser technology, while creating workable concepts appropriate to the scope of the audience, time and budget available. The increasingly complex web applications, mobile sites and applications, and digital experiences we build require novel and engaging user interfaces, and the Graduate Creative Developer will work closely with our Creative team helping to prototype novel approaches to rich email newsletters and campaign microsites for brands in the automotive, video game and travel industries.","Required Knowledge and skills:Mastery of production of HTML for email, and awareness of the quirks and pitfalls with various platforms.Mastery of CSS2 layout techniques and how to implement and troubleshoot these across different browsers including IE6Knowledge of Adobe Creative Suite, specifically PhotoshopAccessibility and Usability issues relating to site and email development.XML, and related technologies (e.g. XSL &amp; XML Schema)Excellent communication skills Useful extra knowledge and skills:Responsive design using media queriesWriting code compatible with mobile phone web browsersBuilding living user interfaces using CSS3 animation and transformsOne or more server side languages e.g. PHP, Python, Ruby, #URL_c5591c960537d84ff7889f414633cdbc8e7d36f3fe042a096d374e4e058388d5# (C#), JavaTest Driven DevelopmentFacebook app specific integrationsEmail newsletter cross-client compatibility issues Key responsibilities:Slicing Photoshop design files into HTML and CSSEnsuring compatibility with modern, legacy and mobile/tablet web browsers and email clientsBuilding interactive UI controls using JavaScript, jQuery and jQuery pluginsBuilding Rich Email messages for eCRM compatible with major email clients such as Outlook, Gmail and iPhone MailTake responsibility for technical delivery of small projects.  Take part in creative discussions and steer those discussions in the most technically appropriate direction, recommending best technical approachEnsure that the project is delivered to high standards of quality in all aspects including, but not limited to code, documentation and best practices Challenges of the roleCoping with multiple, sometimes overlapping work streamsBleeding edge technology changes requires a constant appetite for learning and improving Key relationships:Technical DirectorThe Graduate Creative Developer needs to communicate regularly with the Technical Director to report project progress, discuss changes and improvements to working practices and strategy for the technical teams development.Creative DirectorThe Graduate Creative Developer will cross departmental lines to build relationships with the Creative Director and their design team to allow projects to take full advantage in rapid advances in the art of whats possible with the web browsers of today and tomorrow.",,0,1,1,Full-time,Entry level,Bachelor's Degree,Marketing and Advertising,Engineering,0
837,Graduates: English Teacher Abroad ,"US, NC, Charlotte",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport only,See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
11878,Data Engineer,"US, CA, San Francisco",,,"At iMATCHATIVE, we believe in the power of science and technology to inspire creative solutions and to transform businesses. We apply big data, superior analytics, psychological assessment tools and proprietary algorithms, along with human intellect and professional experience, and a healthy dose of imagination, innovation and integrity, to develop innovative products.We are pleased to introduce altX, our first product, an automated, two sided, online platform that promises investors and hedge funds alike, deeper, more intelligent analytics and searches and a more efficient, less expensive capital allocation process.","iMatchative is building a disruptive financial investment platform with a huge emphasis on data acquisition, data analytics, entity matching, and smart investment recommendations for our customers.  Our team is diverse and unique, including finance experts, data scientists, and psychologists, as well as a solid core of engineers, designers, and product managers.  We need a superstar like you to join our growing team as we transform the world of investing.  As Data Engineer, you will design and implement systems and algorithms for ingesting, analyzing, and serving large volumes of financial, behavioral, and social data.  You will build products that transform disparate data into actionable, meaningful data for our customers and business.  You will be a critical member of a product and engineering team that is building a unique new financial investment platform.","ResponsibilitiesBuild pipelines and systems that support the ingestion and analysis of rich financial, behavioral, and social dataDesign and build algorithms that extract signals from data, including:  time-series analysis, anomaly detection, entity extraction, taxonomy generation, sentiment detection, recommendation generation, elastic search relevance, user preference trends, and lots moreCollaborate with the data architect to design and build analytic systems that are scalable, reliable, and accurateWork closely with engineering, data operations, product management, and data/finance/psychology subject matter experts to build and improve all of our data productsAdvocate for effective Agile processes at all timesRequired Skills 3+ years of experience developing products in the data/analytics/graphing space (send us links to your projects)Deep understanding of data structures, algorithms, machine learning, and statistical methodsExpert level knowledge of Java, C++, and/or C#Expert level knowledge of Python or similar scripting language (the more the better)Deep knowledge of various database technologies:  relational (SQL, Postgres) and/or NoSQL (MongoDB) and/or graphing (Neo4J)Working knowledge of some or all: SQL, Hadoop, Spark, Storm, MongoDBDeep experience with SaaS systems in general, and Amazon AWS in particularExperience with Git, JIRA, BitBucket, the Atlassian platform, and/or related industry standard software development toolsStrong analytical and technical skills, and excellent communication skills across multiple mediaFlexible, startup mindset with proven success in fast-paced environmentsStrong belief in, and experience with, the Agile processPassion for building amazing products that solve interesting problems!BS, MS or PhD in Computer Science or equivalent work experience &amp; analytical skillsMust be able to work in our San Francisco office!Preferred SkillsExperience building consumer, marketplace, and/or enterprise productsExperience with one or more of NLP toolkits (Lucene, Solr/ElasticSearch, NLTK, Hadoop/Cascading/Scalding)Experience in the finance industryKnowledge of psychology and behavioral finance ",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Engineering,0
15145,Quality Assurance Manager,"US, , ",,,"Human capital is usually the biggest asset and expense for a company. Making the right hire  the first time  is critical to an organizations ability to succeed in a competitive market. At Talence Group, we take the time to understand our clients and their mission, and match the right talent to this mission.For candidates, we want to make sure that the opportunties we present are a perfect fit for you.  Our goal is to match your core competencies, passion and career focus with great positions that our client companies offer.","Who our client is…A progressive industry leader excelling in construction manufacturing that is a great place to work!  Our client is defining the future of construction technology, growing at a fast pace and expanding their team to meet increasing customer demands.  They are seeking individuals who want to leverage their skills to implement new methods, apply continuous improvement solutions and make a positive impact on the way they do business. If this sounds like you…please apply ASAP!What our client needs…As the voice for quality and a champion of best practices, this proven leader will bring continuous improvement efforts in all practices, processes and procedures as you partner with manufacturing under tight time lines in a rapidly changing environment. This strategic thinking manager will problem solve, instigate a proactive zero defects approach to building code compliance, interpret blue prints, and evaluate installation procedures. Apply immediately if you are a leader in code compliance who executes consistent and reliable production manufacturing practices. What you will do…Proliferate “best in class” quality standards throughout the manufacturing organizationDevelop, document and enforce quality practices, tools, procedures and policies for employees that are aligned with expected quality standardsCollaborate with manufacturing project managers to ensure adherence to highest quality assurance standardsCreate standard metric measuring and tracking operational performance and make these metrics and results visible to the teamChampion and lead continuous improvement effort in all practices, processes and procedures to drive improved performance throughout the organizationEffectively lead, manage, train, recruit and develop QA team while establishing the QA department as a center of excellence for all quality issuesAnticipate quality issues in order to prevent mistakes or defects in the manufacturing process ensuring timely and quality delivery of service to customersDevelop and train employees on quality assurance practices and continuous improvement conceptsCreate QA department goals, objectives and initiatives that align with strategic corporate goals.  Conduct regular update meeting with the leadership team on progress in achieving QA goalsManage Third Party inspection process; communicate to team results from inspectionsBuilds customer confidence through outstanding QA practicesFocus on root cause analysis and ensure the issue is eliminatedMaintain systems and processes required by customersCreate methodology to track scrap and damaged materials ","What you need to have…. 5+ years of experience as a Quality Assurance and Control leaderLean, Kaizen, Six Sigma, ISO, QMS trained and / or certifiedManufacturing or construction related environment is criticalMS Office Suites, especially ExcelProven track record of how to investigate and identify potential code non-conformities Bachelors degree in business or engineering is preferred",Full benefits package and competitive wages,0,1,1,Full-time,Director,Bachelor's Degree,Construction,Quality Assurance,0
6597,Staff Interpreter,"US, IL, Chicago",Interpreting Operations,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","CSD has an immediate opening for a 32-hour per week staff interpreter in the Chicago area. The position offers full employee benefits including company sponsored health care and paid time off. The Staff Interpreter provides sign language interpreting service for deaf, hard of hearing and hearing consumers based in a variety of settings including but not limited to legal, medical, mental health, employment, educational, one to one, group platform and/or via video remote interpreting (VRI) channels (video relay service, web conferencing, etc.).Some essential functions include:Providing sign language interpretation to customers requesting interpreting services in the community (legal, medical, employment, educational, platform, individual, etc).Providing video remote interpreting (VRI) service between deaf, hard of hearing and hearing consumers utilizing videoconferencing technology.Completing, maintaining and reporting timely and accurate CSD assignment records, including regarding hours, travel and billing as daily required in accordance with CSD interpreting operations, finance and payroll policies.Demonstrating exceptional customer service, relationship building and professional networking skills to create positive public relations for CSD as the premier provider of interpreting and other services to our clients, consumers and the public.Assist in interpreter scheduling and recruitment, as needed.","High School Diploma or equivalentBachelor's degree in American Sign Language (preferred)Two years of professional interpreting experience in diverse settings, including video interpretingStrong understanding of deaf culture and interpreter standardsNational NAD interpreter certification, or state certification Level 4/5; RID CI/CT or NIC Certified, Advanced or MasterIllinois Interpreter LicensureValid state-issued driver's license and safe driving record","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career page.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, or national origin, including individuals with a disability and protected veterans.",0,1,1,Full-time,Associate,High School or equivalent,Translation and Localization,Other,0
17215,Sales Manager,"GB, , ",,,"The Currency Cloud is challenging the old financial order, a landscape where global payments are costly and charges hidden from view. Its a world where 85 per cent of international transactions are carried out by traditional banks.In place of these antiquated and opaque processes, The Currency Cloud promises transparency, competitive pricing and simplicity through a global payments platform designed and built for next-generation companies  from payments and e-commerce specialists to start-up banks.The cloud-based platform delivers end-to-end processing thats fast and trackable. Its easy to use, reliable, compliant and secure. It lowers the cost of conversion, lowers the cost of payments and increases the potential revenue streams available to our customers.The Currency Cloud is democratizing access and handing back control to the business user.","An excellent opportunity to join a growing new business sales team and shape our sales success.The role will involve you in end-to-end sales. You will map our client targets, identify decision makers, generate and follow up on leads, provide demos, manage commercials and compliance and secure new business from UK markets.Your focus could either be to sell into the financial services industry, ecommerce or build our channel partner network depending on your experience.The Currency Cloud platform delivers its service through payments, financial service and eCommerce companies. We enable end-to-end automation of international payments and are trusted by banks and start-ups alike. We deliver payments across over 200 countries and are the engine behind successful businesses such as Transferwise.Our transparent, easy to embed Cloud-based platform allows our customers to offer their clients fast, secure and low-cost global payments.","Our recruitment process is rigorous: we are looking to add to our team with smart, trusted sales managers who have a structured and robust sales approach. We can teach you the world of payments however experience of selling SaaS solutions would definitely be advantageous.You must have a proven ability to identify and manage new business, have excellent negotiation skills and sales success based on building credibility with your clients. At the point of set up and successful cross border payments being made, new business clients are handed over to the Relationship Manager team so this role is pure new business.","The opportunity to work in an established start up - current headcount is 40 so there will be opportunities for development as we grow. You will be able to have close contact with the CEO and management team who have a wealth of experience in payments, financial services and technologyFree lunch FridayPrivate healthcare with PruHealth vitality Pension schemeLife assuranceDiscretionary share option scheme25 days holiday per year",0,1,0,Full-time,,,Information Technology and Services,Sales,0
15597,Principal Creative Engineer,"US, MI, DETROIT",,100000-130000,,"We're searching for a Principal Creative Engineer who can provide technical guidance through project design, implementation, launch and maintenance. You'll act as a key contributor with the creative teams to constantly improve the conceptual user experience and collaborate with the team to ensure end-to-end solutions.What you will be doing:Driving solutions between Creative and Technology teamsCollaborating with other departments to ensure high quality solutions and timely delivery while maintaining a consistent focusPerforming code reviews; developing and adhere to coding standardsStay abreast of ever-emerging technologies and techniquesPresent internally and externally about your area of expertiseMaintain open lines of communication relating to the status of a given project and its risks and challengesBecome a recognized subject matter expert within your field of expertise","5-7+ years of front end development experienceCreative agency experience desiredDeep familiarity with the digital environmentProven track record as a technical and/or creative team playerExperience integrating with a multitude of backend platforms and architecturesExceptional eye for design, motion and interactivityFour year degreeTechnical Skills (Front-End):Proven ability in proposing, researching and implementing creative technology solutionsIntermediate to expert skills in semantic markup w/HTML4 / XHTML / HTML5, CSS, object-oriented JavaScriptSencha experience is highly desiredExperience in data exchange between application layers via XML and JSONExperience with Facebook API, including policy issues highly desiredExperience in some of following: JQuery, YUI, Dojo, Touch, Prototype, #URL_2c8ef82ad613d565059b82a3f3aaa9fa0935c6d166556118c073d4f90baa392d#Experience with Accessibility standards and their respective implementations",,0,0,0,Full-time,Director,Bachelor's Degree,Marketing and Advertising,Information Technology,0
15951,Manufacturing Engineering Manager,"US, MN, Minneapolis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Establishing objectives, schedules and priorities in Manufacturing Engineering activities and reviewing staff based on their accomplishments toward those directions;Assisting manufacturing operations in solving technical tooling, quality, process and maintenance problems;Implementing the engineering change and release activity.EDUCATION: 4-year degree required; Mechanical, Electrical or Industrial Engineering preferred.REQUIRED SKILLS:5+ years of manufacturing experience;5+ years of supervisory experience preferred; Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,,,0
6099,Account Manager,"US, NY, New York City",Sales ,,,Account Manager Job opportunity in New York - USAJob Description- Job Type: Full-Time Permanent position- Job Role: Account Manager- Industry: Human Resources- Job Location: New York City,"- Job Experience: 3 - 10 Years- Eligibility:   * EAD / Green Card Holders / US Citizens, currently residing in US only  * Visa candidates will not be considered- Education: Bachelor's Degree- Major Skill-sets:  * Excellent Phone-conversation skills  * Tech savvy  * Good to have an exposure about the recruitment / human resources industry  * Strong organization Skills, essential for client management  * Self-motivated and responsible  * Maintain long-term relationships with customers  * Team player","- Salary: Competitive Pay Package with Target Additional Compensation, Bonus and exciting Benefits!",0,0,1,Full-time,Mid-Senior level,Bachelor's Degree,Staffing and Recruiting,Sales,0
3337,Internship (Finance),"GR, , ",Finance,4500-4500,"This initiative is being led by a very talented, energetic and inspirational group of young Greek leaders called “Global Shapers Athens Hub”, under the umbrella of the World Economic Forum.  In a nutshell, the objective is for the corporate-business community to align with Global Shapers in order to develop and implement an internship program, whereby companies commit to taking on board selected talented graduates for 6-month internships  in order to offer  work experience / skill building and ultimately support the development of young talent to enter the local market.","This is a 6-month full-time paid internship position in some of the largest corporations in Greece. The internship program includes both classroom and on the job training, team projects, networking with some of the most profound business leaders in the Greek job market, and community service in Non-Governmental Organizations. For more information: #URL_3a192fa44cc0cec563d796313a1fbbbaf5543bb685aa98e0143dc082adc1ab4f#Candidates applying to more than one job families, will be matched with the job family most relevant to their studies/profile.","Bachelor's Degree of all majors (AEI, TEI, College)Limited working experience Fluent in English",Working experience in leading corporationsHolistic classroom training and personal developmentOn the job coaching on specific projectsRegular sessions with senior leaders of the participating organizations ,0,1,1,Full-time,Internship,Bachelor's Degree,Financial Services,Finance,0
17568,Trainer/Recuiter Specialist,"US, MS, oxford",customer service,,,We are a Health Benefits company. Helping people save money when they cant afford insurance.2 positons available.Request an Interview and see if you qualitfy for a job.Daily and monthly pay checks,,DentalHealthAmeriDocvision,0,0,0,Full-time,Not Applicable,Unspecified,Consumer Services,,1
1256,Software Engineer,"US, NY, New York",,,"Sharewave is the best way for private companies to manage shareholders. A simple, yet powerful platform to manage cap tables, grants and employee options, investor communication and all associated paperwork. All digital, and stored securely in the cloud.",Your mission at Sharewave will be to add new features to our web application for our customers. This certainly includes several of the following activities:writing web pages using AngularJSwriting stored procedures and creating views in PostgreSQLwriting server-side scripts using Python or Haskellwriting Java methods to expand our API functionality,someone who has worked on building a web application beforeeducation or experience in finance or writing (e.g. journalism) or the creative arts are a plus,"Our office is in the city's newest coworking space in downtown Manhattan, which hosts a number of startups and small businesses, along with unlimited coffee, tea and beer. The compensation package includes salary, equity and health benefits.",0,1,1,Full-time,,,,Engineering,0
7682,Wakefield/Ossett Apprenticeships Under NAS 16-18 Year Olds Only,"GB, WKF, Wakefield",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Government funding is only available for 16-18 year olds.We have a number of exciting opportunities in the Wakefield and Ossett area. The apprenticeships we have available are Business Admin, Recruitment and Customer Service but they cover a number of different job roles and industries.If you are interested please apply now and you will be contacted regarding all of our current vacancies.",16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,,Not Applicable,High School or equivalent,Human Resources,Human Resources,0
8808,Ember.js Software Engineer,"US, NY, New York",Engineering,,"Fusemachines combines the power of Data Science, Artificial Intelligence and Natural Language Processing to build unique software robots that can automate customer interactions and provide deep real time insights into customer behaviour. Our Fuse Platform allows companies to add intelligence layers on all of their communication channels. Our clients include government, financial institution and e-commerce companies.  ","Do you love developing well designed web applications? Do you care deeply about the UI and the User Experience?We are looking for a technology consultant with a deep expertise in Ember js who can put together a robust framework working with our team of 11 engineers. You will also help define the RESTful web services that our backend team can build on to interact with the web app.  A hands-on role in the development of the product  from overall vision down to its implementation is waiting for you.You'll be instrumental in the decision of the client-side portion for the security, testing, automation, deployment technologies and services.ABOUT THE COMPANYFusemachines combines the power of Data Science, Artificial Intelligence and Natural Language Processing to build unique software robots that can automate customer interactions and provide deep real time insights into customer behaviour. Our Fuse Platform allows companies to add intelligence layers on all of their communication channels. Our clients include government, financial institution and e-commerce companies.  RESPONSIBILITIESWork closely with CTO, CEO and software team as a software consultant to design, test, deliver, maintain and improve the web app using Ember frameworkDefine the restApi conventions that talk to the Ember frameworkSetup a robust testing framework for the web appConduct automated unit tests, adhere to coding standards and ensure the overall code coverage in order to improve the code and product qualityEnsure that the reliability, usability, functionality and performance expectations are met by working effectively with a cross-functional team to deliver high-quality work on time","Possess a minimum of 5 years frontend software development experienceSuperior knowledge and understanding of Ember js using ES6 modules standardsVery good understanding of RESTful web services and JSON, and integration with EmberUnderstanding of UI design best practice (this is not a design role, but must be able to make smart design choices when needed)Proficiency in bootstrap framework for HTML and CSS designingMaster cross-browser HTML and CSSUnderstanding of javascript security and mitigating security risks in online appsExperience in Software as a Service (SaaS) is a plusStartup experience is a plus",,0,1,0,,,,,Engineering,0
10177,"Director, Engineering","GB, LND, ",,,"Essence is a global digital agency and the worlds largest independent buyer of digital media. We blend data science, objective media and captivating experiences to build valuable connections between brands and consumers. Clients include Google, eBay, Expedia and Barclays. The agency is more than 450 people strong, manages over $650MM in media spend and deploys campaigns in 71 markets via offices in London, New York, San Francisco, Seattle, Singapore and Tokyo. Visit #URL_8e50d8d149326ce48cb8ad889fec9d1d9cedc92a1bb13049c3c338e2c9921049# for more information, and follow us on Twitter at @essencedigital","The Role: We are looking for a Director, Engineering with excellent technical architecture skills, to lead and mentor our team of web based developers.  You will be experienced in running an agile development team, and playing a lead role in solving complex technical problems while creating a collaborative environment between your team and the rest of engineering.As a technical leader you will work with product managers and a team of developers to define our architectural roadmap and build highly reliable, scalable and innovative products. As a manager you will be responsible for mentoring and managing a growing engineering team based in London, nurturing our culture, and helping to make sure the development team is productive and successful in launching new products for some of the most technically advanced companies in the world.Some of the things wed like you to do:Lead an innovative and growing engineering team that solves complex challenges in a fast-paced environmentMentor, lead and provide constructive feedback to members of the teamOn-board new developers, ensuring they are up-to-speed and productive with our complex codebase quicklyEnsure we pay down technical debt and collaboratively guide our team to improve our development process, team make up and toolsEstablish clear development practices for the team, including release cycles, technical stack and coding standardsWork cross-functionally on distilling requirements into technical designs that result in innovative productsProactively connect the dots between people and across teams, helping answer questions and solve problemsDrive quality hiring, growth, and clear career paths for the engineering teamWork closely with management to shape and effectively communicate the technical and product roadmapKeep current on technology trends and employ the proper level of risk mitigation to make sure that the software we deploy is stable, bug free and scalableWork with other senior technical leaders to improve our brand within technical circles, and ensure that the open source contributions we make provide significant value back to the open source communityResolve personal and technical conflicts, ensuring that developers are taking on projects and challenges that engage them and foster growthBe the eyes on the ground for the tech team - spotting issues, celebrating successes and brainstorming new ways to innovateA bit about you:At least three years in a senior software engineering roleBachelors degree in relevant field requiredExtensive web based software development and design experience with complex products using multiple technologies and languagesA natural ability to communicate and raise the skills and productivity of teams/team membersAbility to work in a fast-paced, ever changing environmentDeep experience with open source technologies and platformsWhat you can expect from Essence:Essence is a global digital agency and the worlds largest independent buyer of digital media. We blend data science, objective media and captivating experiences to build valuable connections between brands and consumers. Clients include Google, eBay, Expedia and Barclays. The agency is more than 450 people strong, manages over $650MM in media spend and deploys campaigns in 71 markets via offices in London, New York, San Francisco, Seattle, Singapore and Tokyo. This is a unique opportunity that will see you join an award winning organisation that prides itself on building brilliant digital solutions for some amazing clients all within a fun and rewarding working environment!",,,0,1,0,Full-time,Director,,Online Media,,0
8007,Senior Front End Engineer,"US, CA, San Francisco",Engineering,,"The RealReal is changing the way people buy and sell luxury items. The leader in luxury resale, the company is a full service retailer offering customers authenticated, pre-owned, designer brands including Chanel, Hermes, Louis Vuitton , Gucci and Cartier, all at up to 90% off original retail. The RealReal takes possession of all items prior to sale in order for its merchandising team, authentication specialists and skilled gemologists to evaluate each item. The company also offers buyers merchandise returns, with an in-house customer service team available to answer specific product questions.","As a Front End Engineer at The RealReal you'll be a major contributor to the company's development efforts on the web. You'll be building new features on the company's e-commerce site, helping build out our backend systems to make them easy to use and understand, and working with UX and design to ensure our customers have a great experience that lives up to The RealReal's brand. This position will be based out of The RealReal's San Francisco office.Responsibilities:  • Develop the next generation of The RealReal's web applications, ensuring that these applications provide a great experience to the end-user. • Act as subject matter expert in web development best practices and technologies including HTML5, CSS3. and JavaScript  • Collaborate with senior management and product management in the development of product requirements for web applications. • Mentor other team members to build the company's overall expertise in developing web applications. Reports to: VP of Engineering","• A degree in computer science/engineering with a minimum of 3 years of software development on the web.  • Expert knowledge of HTML and CSS, including the latest CSS3 features. Experience dealing with cross-browser compatibility issues. • Experience working with standard JavaScript DOM manipulation libraries (jQuery, Prototype) and UI tools (JQuery UI, JQuery plugins).  • Knowledge of and experience writing code in CoffeeScript is a plus • Experience working with Ruby on Rails is a plus • Practical experience with REST and JSON APIs, and an understanding of how to integrate these APIs with web applications using AJAX. • Knowledge of best practices in designing and architecting localized applications web applications. • Strong experience optimizing web sites for fast page load and page rendering, and using AJAX to make the user experience feel as snappy as possible. • Demonstrated experience with the complete web application development cycle including development against multiple browsers. • A commitment to making automated testing part of the application development process.  • Comfortable working in a small start-up environment where innovation and change are the norm. • A passion for building great products.",,0,1,0,Full-time,,Bachelor's Degree,,Engineering,0
9671,"Front-End Web Engineer (India role, Singapore company)","IN, , ",,,"EatAds is a global platform business, like #URL_21e7f703da8e28a4edd962a114e1af7ba244609a9ccd3760ae493e9f447c25fe# or #URL_5b04abc806bc1d9e9b9491c41c8b2d7557455714849cfbdd9401b1094f5be684#, but instead for the global Out-of-Home media industry. We're based in Sinagpore and India and we're growing fast. The Founders are all experienced entrepreneurs, backed by some of the most experienced digital investors in India and Singapore. This $33b industry has been lacking a platform, and thus we help both buyers and sellers leverage the benefits of a platform for the first time. We're initially focussing on South Asia and South-East Asia although already have users from outside these regions.EatAds is similar to #URL_f012da9e26184dad52230f43da2d165067791f2b862a7742876a8d0d5a208119# (USA), #URL_3613edc46487e81246859966aee52388d9ea323d632a9cb699c3aa877db2cf9a# (Singapore) or #URL_21e7f703da8e28a4edd962a114e1af7ba244609a9ccd3760ae493e9f447c25fe# (India); both in model and technology. EatAds not only provides vendors a platform to list their sites, but also a raft of workflow solutions to manage their inventory, undertake mobile site monitoring and many more. All of these process have been occurring manually until now. For buyer we create a more efficient process to discover, plan, research, buy and sell Out-of-Home (OOH) media. EatAds is subscription model.  In addition to a listing and lead generation platform EatAds also provides (either directly or via integration) a raft of cloud-based workflow solutions for all stages of the Out-of-Home media value chain. We take no commission and are designed for fast growth.","We're a Singapore company with a base in Delhi. We are like #URL_21e7f703da8e28a4edd962a114e1af7ba244609a9ccd3760ae493e9f447c25fe# or #URL_5b04abc806bc1d9e9b9491c41c8b2d7557455714849cfbdd9401b1094f5be684# but for the global Out-of-Home media industry. And we're growing fast. We're taking our UI to the next level, and are seeking an experienced Front-End Web Engineer. You will take responsibility of making the work of our User Experience &amp; Creative teams a brilliant reality. You will find the most effective method of implementation using the latest Web technologies. You will work hand-in-hand with our UX Lead &amp; Back-End Development team to ensure the overall technical success. Location:     Delhi (Full-time)Work Experience:    2 to 7 years of experience.Experience / ProficiencyExtensive experience utilizing HTML5 and CSS3 technologiesExtensive experience using responsive frameworks such as Twitter Bootstrap and/or ZURB FoundationSolid foundation in utilizing JQuery, AJAX and JavascriptSolid foundation in semantic markup and cross-browser compatibility and testing methodsExperience with implementation with Google Maps API (v3) and oAuth APIKnowledge on onsite SEO best practicesGoal-oriented, with ability to not only conceptualize products but also to optimize them throughout their lifecycleMust work well as a part of a team in a fast-paced environmentWe are #URL_596f221decc15cacf56d15374f69ab3a0f770aee92b099bec6f97dfa923936da#  An open-platform Marketplace for Out-of-Home media#URL_596f221decc15cacf56d15374f69ab3a0f770aee92b099bec6f97dfa923936da# is for buyers and sellers of Out-of-Home media.Out-of-Home media (billboards, transit media, bus shelters, airport media etc.) is a large but very disorganized industry globally. It has been very late in adopting similar web tools that have brought great efficiency to almost all other industries. The $33 billion industry is most disorganized in the emerging economies.We are like #URL_21e7f703da8e28a4edd962a114e1af7ba244609a9ccd3760ae493e9f447c25fe# or #URL_5b04abc806bc1d9e9b9491c41c8b2d7557455714849cfbdd9401b1094f5be684# but for the global Out-of-Home media industry. On our platform we offer the tools to make the buying and selling outdoor media inventory simple and easy. The emerging availability of large amounts of customer location data, from a variety of sources, allows us to deliver strong location insights.We are a Singapore based company with global ambitions. Our first focus market is India. The Founders are all experienced entrepreneurs, backed by some of the most experienced digital investors in India and Singapore. ","Experience / ProficiencyExtensive experience utilizing HTML5 and CSS3 technologiesExtensive experience using responsive frameworks such as Twitter Bootstrap and/or ZURB FoundationSolid foundation in utilizing JQuery, AJAX and JavascriptSolid foundation in semantic markup and cross-browser compatibility and testing methodsExperience with implementation with Google Maps API (v3) and oAuth APIKnowledge on onsite SEO best practicesGoal-oriented, with ability to not only conceptualize products but also to optimize them throughout their lifecycleMust work well as a part of a team in a fast-paced environment",We are happy to pay for high performers. We want the best. ,0,1,1,Full-time,Associate,,Internet,,0
723,Process Improvement Project Engineering Manager,"US, OH, Cincinnati",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)EDUCATION: Bachelors degree in Industrial Engineering or related discipline; MBA preferred.REQUIRED SKILLS:6+ years of Process Improvement / Industrial Engineering;Must have Lean experience in a distribution center environment, Black Belt preferred;Strong operations knowledge of distribution and related processes;Leadership experience including the ability to manage a team of IEs and drive value added change;Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,,,0
12703,Bristol  DGV Apprenticeship available under NAS 18 year olds only!,"GB, , Bristol",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds as this job is an apprenticeship. ,This is fantastic opportunity for someone wanting to start their career in DGV. During the first 12 months you will work towards a Level 2 DGV NVQ and then be kept on in a permanent position.You will be working for a Driving company and the role will involve:-Through the Apprenticeship route you will gain a class 1 license.-You will collect and deliver consignments for the depot on your daily journey in compliance with company procedures-Lifting when loading and unloading the vehicle ability to work on your own initiative and be organised.-Be customer focused and flexible to go the extra mile and must hold a full clean driving license.Ideal candidates will be hands on and have excellent communication skills.If you are honest and hard working send your CV to #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee#,Future Prospects,0,1,1,,Not Applicable,High School or equivalent,,,0
2285,Advisor-Inbound Technical,"US, WV, Charleston",,1050-1100,"Delivering superior customer services for over 10 years, IBEX Global is a business process outsourcer offering an integrated suite of services that supports an expansive array of customer facing solutions.Headquartered in Washington, DC. IBEX Global delivers onshore, near shore and offshore solutions in 5 countries, across 18 call centers employing over 9000+ customer service professionals.  The recipient of several client and community awards such as the Goodwill Industries Employer of the Year, IBEX Global is one of the most forward thinking companies in the BPO industry.","Job DescriptionPOSITION SUMMARY:IBEX Global employees will be receiving inbound customer calls to assist our clients customers with operating system issues related to their Internet connectivity and client-provided hardware and software. The position performs according to established quality assurance standards and within guidelines set forth by the company and the client. The Customer Service Representative is expected to meet and/or exceed established goals, attend and actively participate in training, re-training and up-training programs.","RequirementsESSENTIAL FUNCTIONS:• Assist customers with setup connection of High Speed Internet service including installing /uninstalling hardware and related software and drivers.• Follow-up resolution actions related to technical escalations, pending unresolved issues and outages• Utilize client base tools to provide accurate, consistent, and timely responses to Customer inquiries• Troubleshoot and resolve email setup issues and usage including third party setup and usage.• Review and respond to e-mail messages in a professional manner• Utilize chat services to respond interactively to troubleshoot, detect and correct customer issues via the Internet using proprietary software.• Troubleshoot, detect and correct configuration of communication ports, Ethernet adapters, PCI devices, USB, wireless adapters, NAT routers and wireless access points.• Ability to convey genuine empathy and handle difficult or agitated customersIBEX Global Employees : Provide prompt, courteous and efficient service to ensure customers do not experience any undue delay, inconvenience or discomfort  Shall not do anything that would discredit, dishonor, reflect adversely upon, or in any manner injure the reputation of the client.  Never be condescending, use sarcastic tones of voice, use profane language or disconnect the customer prematurely (hang up on customers)","Excellent base salary $11.00 hr Production Shift differential $.35 hr after 4pm/All Day Saturday and SundayPaid Training $10.50 hrComprehensive benefits packageOpportunities for advancementProvide service to Fortune 500 companiesProfessional Working EnvironmentPaid Time OffFree Parking/Bus RouteIf available, provide Resume, High School Diploma &amp; 2 forms of ID at time of interview. If not available, can provide the first day of employment.",1,1,0,Full-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
8690,Credit and Collections Clerk,"US, WA, ",Account,37000-40000,"Basil Lifecare Pvt. Ltd. is a Wellness &amp; Preventive Healthcare Company dealing in IT enabled wellness services that focuses on turning technology into caring. Our vision is to pioneer the integration of technology in the wellness sector through our IT and ITES solutions. We have comprehensive preventive healthcare solutions for Corporates, Schools, Central Armed Police Forces, other groups and individuals to achieve Wellness and live a better and balanced life.","The credit and collections clerk directly supports the company through effective management of accounts receivable, credit worthiness and collectability of receivables and the delivery of timely financial data to senior managementThe successful candidate will assist the Credit &amp; Collections Supervisor in:Duties and Responsibilities:Manage monthly AR portfolio and assist in preparation of monthly reportingComprehensive review of outstanding receivables and initiate follow through with collection accountsResearch and resolve deductionsMaintain timely and accurate records, customer communications and account reconciliationsFrequent interaction with sales, finance and customer service personnel within the North American business units to identify root causes and resolve issues",#NAME?,"career. We offer a competitive salary and benefits package that includes health and dental insurance, paid vacation, a 401(k) plan, paid training, and much more. Ready for some rapid growth of your own.",0,0,0,Full-time,Entry level,High School or equivalent,Hospital & Health Care,Financial Analyst,1
9819,Web Application Developer,"CA, ON, Toronto",,60000-80000,,"We are not going to bore you with a long job ad so we will get to the point.We are looking for a 70% backend and 30% frontend web application developer to join our team. We are agile and work with cutting edge technology and best practices.We want someone who can ship products but also love to tweak and optimize his/her codes.If you have leadership skills, that is a huge plus as we also have a vacant Dev lead position. Our motto is “There is always a way, and there is always a better way.”","1. Experienced in the entire process of software development2. Understand Agile3. Love to write tests (functional, unit, acceptance)4. Love to tweak and optimize5. Up-to-date with latest web application technology and best practices6. Solid understanding of various database technology (MySQL, MongoDB, Redis, Memcache)7. Solid understanding of PHP (Laravel framework), Javascript, AngularJS, NodeJS, C++8. Practice source code control using Git9. 4+ year in web application development (customizing WordPress doesnt count)10. Mobile Experience is a huge plus11. Basic understanding of Unix CLI12. Open Source contribution is a huge plusHow to apply:Please submit your resume and the answers to the following questions:1. Why do you think you are a great web application developer?2. Describe a situation in your work-life where you failed.3. Describe a time when you accomplished something you thought was impossible. (Can be work-related or personal)",,0,0,0,Full-time,,,Information Technology and Services,Information Technology,0
14138,Direct Sales Opportunity,"GB, GBN, London",,,Distributor of Award Winning Personal Empowerment accredited course. Helping others to start their own home businesses.,"This is a business model, which supports your life in alignment with who you are and how you want to be in the world, liberating you from the old economy paradigms.This opportunity is for Big Thinkers Only. Make up to $5,000 USD per sale. Would suit entrepreneurial, self motivated person. Our business is booming in this unstable economy &amp; we seek highly motivated sales managers, account managers &amp; sales executives to join our dynamic team.We provide high value Success Education / Personal Development products to corporations, associations &amp; individuals. This enormous opportunity is untapped and waiting for the smart, diligent and interested sales manager or account manager or sales executive who wants to become the next biggest success story of our company. With our elite home based business you have the potential to earn a six figure incomeThis is your opportunity partner with a Multi Million Dollar company with a proven track record of success. Work locally from your home and trade globally with our proven online system.What's in it for you?• An opportunity to sell into an untapped sector, coming in on the ground-level of a new business unit within a proven organization• Enjoy the rewards of your success with an uncapped bonus plan, career growth in multiple business sectors and leadership possibilities• Opportunity to profit share in total company sales worldwide• Benefit from continual training and development opportunities• Be empowered to make decisions and earn disciplined freedom• Work from home &amp; enjoy the freedom &amp; flexibility of being your own boss• Work with a well-respected and award winning organization that is leading the industry in all major categories• Ability to start part time or full timeWhat is required?• Willingness to give 100% toward building your business• Burning desire to become financially independent• Professionalism• Positive attitude• Goal to make a 6 figure income",,,1,1,0,,,,,,0
6279,Payroll Assistant ,"US, CA, Redwood City",,0-43500,,"Our client is currently in the middle of a migration to Kronos for their timekeeping and looking for assistance with data entry.This position will require someone witha strong data entry backgroundintermediate to strong excel skillsIt will be an added bonus if you have experience with Kronos and/or working in a payroll environment.We have a number of positions open for this assignment, please pass this along to anyone in your network that may be interested. If your referral is hired you are awarded with a $100 bonus!!!",, ,0,0,0,Temporary,,,,Human Resources,0
850,Software Engineering Director,"US, NY, New York",Development,,"BlueLine Grid is transforming government communications. At a time when government employees are increasingly being asked to use their personal mobile devices for work, BlueLine Grid allows users to find each other by area, agency, proximity or specialty and connect and collaborate one-to-one or in groups.There are 22 million public employees in the U.S (15% of the total workforce) yet there is no comprehensive registry and no simple way for them to connect. BlueLine Grid is the first company to go Over-The-Top with free, simple connection tools in the mobile government space that integrate unified communication capabilities with a comprehensive and pre-populated directory of the U.S. public workforce.","This is a full time, on-location position in New York City. Please no recruiters.Bratton Technologies, a venture-backed startup, seeks a Software Engineering Director to direct and guide the Development Team for our innovative professional network for Public Safety called ""BlueLine."" We're looking for a detail-oriented critical thinker - a problem solver who communicates and collaborates effortlessly. This is an incredible opportunity to join our small, growing team in the transformation of Public Safety collaboration in the United States and throughout the world.You'll report to the Chief Technology Officer, but work with the entire team.Salary is commensurate with capabilities and experience.","Responsibilities:Take executive direction and guide the remote development team to effectively contribute value, while also managing and communicating progress with the rest of the teamMake recommendations and champion architectural decisions, while also managing interdependencies between executive direction, design, and infrastructureAssist with automated continuous release process and be the owner, gatekeeper, and final point of QA of our releases into productionContinually improve automation in all aspects of our infrastructure: environments, deployments, data, configuration managementParticipate in strategic planning and management for resources, environments and releasesQualifications:Degree in Computer Science or related discipline5-10 years experience leading software engineering teams in management positionAgile experienceTrack record of successfully delivering enterprise class, scalable, production quality, high availability web applicationsHigh degree of competency with project management including change management, dependencies, communicationPassion for technology, enthusiasm for your work, passion to build a platform that users loveIt will help if you have:Experience with puppet or chef, git, and continuous integration tools such as Bamboo, Hudson, JenkinsGitHub account that showcases your cool projectsExperience in dealing with production issuesExcellent English writing and communication skillsKnowledge of government security frameworks and policies such as FISMA, FedRAMP, CJIS Security PolicyStartup experienceEmbrace Agile practices and lean principles, lean leadership, lean software engineering ",,0,1,1,Full-time,Director,Unspecified,Internet,Engineering,0
1827,Senior Consultant - Professional Services Team,"US, , ",Professional Services Team,,"EXPERT HUMAN CAPITAL SOLUTIONS DELIVERED BY INDUSTRY LEADING PROFESSIONALS.Founded to provide world-class Human Capital Management, the Praeter Consulting team has provided a host of services to international clients in a wide range of industries.  In a world of rapidly accelerating technology and competition, we provide clients the capabilities needed to integrate critical efficiency into every aspect of their business via human capital management solutions.Praeter Consulting is a completely integrated team of experienced professionals serving as trusted advisors to leading businesses both locally and around the world.  We employ the latest technology to ensure our team is able to work globally, while providing a seamless client experience.Praeter Consulting offers the opportunity to join a firm dedicated to teamwork and focused on delivering high value to every client.  We also offer highly competitive compensation commensurate with assigned duties, responsibilities and relevant experience.  Finally, we offer you the opportunity to take control of your career, like no other firm.  Praeter Consulting delivers beyond consulting -  in everything we do.  For news and industry updates, be sure to follow us @praeterco and watch our home page.","Praeter Consulting is looking for a Senior Consultant to join the Professional Services leadership team.  This role is responsible for the day-to-day management of multiple Human Capital Solutions (HCS) project teams as directed by senior management, assisting with client pipeline management and planning, syndicating best practices, upholding the Praeter Consulting core values, and ensuring overall success consistently delivering beyond client expectations.  The Senior Consultant is expected to display excellent technical knowledge and serve as an alternate Professional Services Team Consultant, as well as exemplary team management skills at all times.  This role reports directly to the assigned managing partner, and is required to be a leading example for our teams, including: highly self-motivated and disciplined, strong multitasking skills, exceptional written communication, comfortable public speaking skills and experience, superb attention to details, 100% timeline and target date compliance focus, and the very highest integrity at all times.  This role way be required to travel up to 75% or more, and may be located anywhere in the continental United States.","Qualifications (including but not limited to):Minimum five years experience in HR/HCS core business practicesMinimum five years experience implementing HR/Human Capital solutions for small, mid-size, and/or enterprise    organizationsProven success, including three to five years consulting or senior project management experience including SAP solutionsStrong interpersonal skills and ability to establish and maintain strong rapport with clients and team membersAbility to coach team members and manage challenges effectively under stressExceptional organizational, communication, and leadership skillsMinimum education requirements: Bachelor's degree in related studies from accredited educational institution (MBA or MS preferred) Additional qualifications or educational requirements at management's discretion based on the time of hiring","Praeter Consulting offers highly competitive compensation commensurate with relevant skills and experience, based on position and assigned duties. ",1,1,1,,,,,,0
6594,Country Manager / Co-Founder / CEO @ EstateGuru,"GB, , ",Business,,"What do we do?We provide a crowd funding platform SMEs. This is the purest form of market economy, because we enable companies to pitch their ideas, prove their business concepts, and inspire confidence in the investors on an open platform with identified investors base. Our openness and coherence allow business to raise necessary funds and attract crowds to find their products and services.Who are we for?Small and medium sized companies with innovative and eye-catching ideas with a trustworthy management dedicated to execute them.Forward-looking investors who seek to invest in growth businesses with creditworthy business plans. He or She also benefit not only from the financial returns, but also from the satisfaction of being involved in exciting business ideas.Why do we do it?Financial sector is not focused on serving the interests of small businesses. Big banking monopolies and behind-the-door deals have made finance exclusive for limited amount of people. This, however, is inefficient for business.On one side, there are plentiful investors with idle cash on their balance, because traditional finance has failed them. On the other side, there is a myriad of companies who cannot get a business loan form a bank, because they lack sufficient collateral. Neither can they involve angel investor nor venture capitalists due to exclusivity and high fees.However, collateral does not build businesses - people with great ideas do! Here is where Investly steps in and joins the hands of forward-looking investors and vigorous companies, who seek to create value with their businesses. We help these entrepreneurs to step over the Death Valley by providing the platform on which trustworthy companies can raise funds form a community of investors who feel connected with their investments.#EMAIL_348996ba7364884bcf4f873a9878942ab32b5cc7464a6fb3b8af9d6f39b59f71##URL_cf04400ab2e2b05f2753b7e876f99f9883e39bff0d3265be448c2eeb249797cf#","Do you see yourself as entrepreneur and want to get first hand experience what it means to found your own company? Do you want to work in an international and venture-backed startup? Then this is the chance you have been waiting  join our team as a Country Manager / Co-Founder / CEO for the UK.This is the optimal opportunity for you to:Work in a young, international, fast growing start-up in the financial technology space and gather first-hand start-up experience in launching a new marketYou like to work independently and enjoy collaborating with mentors who have experience in launching similar venturesParticipate in a potentially significant upside beyond your basic salaryYour role:As a Country Manager/Co-founder, you will be involved in all our processes and get a 360°-view on how a startup works. The areas of exposure you will get will include marketing, technology and operations. We adapt the plan together with you especially according to your beforehand experience and preferences. Chose your focus and we will you give you all chance to learn and develop yourself  with us everything is possible!You will be the heart of our business and will help us in further developing all areasYou will gain exposure to best practices in Online Marketing, Development, Investor RelationsYou will learn how to work twice as fast at twice as much fun.You will be working for a young, dynamic, international team and will get your own responsibilities right from the beginningAbout Us:EstateGuru Ltd (#URL_d913220eec4ccde644515231f734a5c6f56b57f180fc48d020a344300b71822a#) is an emerging direct financing (or crowdfunding) platform that aims to eventually service the entire European market.","You could be currently studying or have just successfully completed your studies at one of the major Business Schools/Universities in Management, Economics with a focus on Finance/Capital markets, Real Estate, Legal, Entrepreneurship or equivalentYou bring along some expertise or have strong interest in Business Development within the real estate and/or financial sector (this would be a nice-to-have, no requirement)are working independently, with speed, orientated to details and results and can work either full time, part time or remotely  we are primarily interested in results, not face timeare used to work under time pressure and are ambitiousare a team player and have this certain entrepreneurial thinkingare eager to change the world and/or found your own internet business later onknow the local UK market well in the real estate and/or startup spacehave a good knowledge of MS Office tools and ability to put together business presentationsideally also bring along basic technical understanding in web technologieswould love to be part of Europes one of the next big start-up",This is a paid position which can pay a combination of equity and cash or one of the other depending on candidate's preferences. Please apply via this job portal or send your CV to #EMAIL_4407b235dabd2e811ac593c320c0242073bac473b3aa3f08ba8df733fd9a56e5#,1,1,1,Full-time,Entry level,Bachelor's Degree,Financial Services,Business Development,0
1233,Sales Manager ,"GB, LND, London",Sales ,,"Zomato is South Asias largest restaurant discovery platform present in 21 cities across India, UAE, Sri Lanka, Qatar, United Kingdom, Philippines and recently launched Johannesburg in South Africa. It is present across 3 mediums  web, mobile and print. Zomato was founded by IIT Delhi alumni Deepinder Goyal and Pankaj Chaddah in July 2008. Info Edge (#URL_4c8b67d6911c62793b5a32c5ca6a4dad1e3688b6929296b0e7a6876f7316f3e9#) has invested over $16.5M in it since 2010. We believe in being quick on our feet, harboring grand visions of our community of food lovers and working with the best and brightest that come our way.Zomato launched in London, United Kingdom in January 2013 is looking forward to recruit the best talent to join their pilot team of Sales Managers and Content Analysts. ",Responsibilities:Sell advertising space on Zomato to help clients market themselves betterRevenue generationExtensive travel within the city; meet restaurant owners and sellBe able to effectively search and generate leads and prospective clientsEstablishing and maintaining interpersonal relationship with clients(restaurants/pubs/lounges/clubs),"Requirements:0  2 years of Work Experience. Relevant experience is a bonus!Working knowledge of the geography of the respective city applied toAbility to work in a highly charged environment without compromising on the set quality standardsIntelligent and self-motivated individuals, willing to work hard, to achieve and exceed targetsExcellent oral and written communication skillsComfortable to work long and unpredictable hoursRequired to interact effectively with individuals at all levelsInnovative, enthusiastic &amp; a quick thinkerComputer skills (an absolute necessity)","The insanely talented and passionate people you get to work with on a daily basis. People who believe in action and will stop at nothing to get something done. Apart from that, why should you work at Zomato? Work on something really really important; something which affects the lives of millions of people Genuinely fun work culture (no BS, all straight talk) with cool folks We move fast; we don't have any annual plans - we always pack everything within the next three months Serious real world training and mentorship while working in a very flat organizational structure Push yourself harder than you have ever before; someone said that the 100 people at Zomato create output worth 400 people in a normal company, in our customers' words, save lives!",0,1,1,,,,,,0
792,Ex. House Keeping  (Alexandria - Egypt),"EG, , ",,,"Optimal Business Action was created in 2005 in order to provide high quality, personalized HR services to medium and large-cap companies operating in Greece, the Balkans and the Middle East. Seven years later we are present in Athens, Bucharest and Dubai, and several of these companies are on our client list, including most major banks, pharmaceuticals, hotel &amp; retail chains, and large IT firms. We are one of only nine HR firms in Greece operating under the Temping Permit issued by the Labor Ministry and among a very few which offer employee evaluations based on the combination of the Minnesota Multiphasic Personality Inventory (MMPI) and Rorschach tests. Amongst our advantages is our extensive candidate network, the use of industry-specialized recruiters, our technology tools  including candidate pre-recorded interviews, and our competitive, on-success, fee structure. Our subsidiaries: Creative HR which was created in 2010 in order to undertake human-capital intensive projects, HR department restructurings, and provide HR consulting services. iTechScope Recruitment which was created in 2011 and is the first international recruitment company in Greece specializing in sourcing and placing personnel for companies operating in the fields of Information Technology, Telecommunications, and Engineering. Optimal HR Middle East FZC which was created in 2013 and is the groups entity in the UAE, providing our clients with Recruiting, Consulting, and Training products and services. Our partnerships: As of 2014, Optimal is the exclusive Gi Group (#URL_1458d4797348b1fe258e750e89ab66b11c9565a10abede82d761ce37c53395b6#) partner for Greece and the UAE, offering a vast array of products and services as well as sourcing access to candidates from 32 countries around the world. GI is one of the world's leading HR companies, providing services for the development of the labor market. It employs a staff of almost 2.500 people and its 2013 turnover approached $1.5 billion. We have an exclusive representation agreement with UK's APS (#URL_b40fd0caf83243a019915fa08fcf0afbb9f377d15194c88d4e4896e6848bbbbd#) for their on-line evaluation products, such as the TEIQue, Engagement Survey, and 360 Survey, and an exclusive collaboration with Chris Daffy (#URL_712f5a993f998f7aad7e3cc1b7d9c7d3eb17e8ffcace779065d1902e412e2c3d#) for his Customer Experience training programs. We also work with UK's Epigon Training &amp; Development (#URL_fe1f8ff39ad549be4c26f84c348c2d2dad85c84e6746f60140eb4392e652e543#) for NLP-based training seminars. Please contact us at: +30 210 32 59 350 GR &amp; +971 (0) 56 39 33 546 UAE or e-mail us at: #EMAIL_eb107d9d9176aa07bb1d808b882aacd15565df1b289ed0391e62d9bc7422d718# and #EMAIL_21116c53126810b34d578a02cb53ece4f520daa553e1b3ee91c614cc67d51c5d#","Optimal Business Action S.A., on behalf of its client, a Luxury Hotel in Alexandria Egypt is looking to recruit an Executive House Keeping.  The Executive House Keeping is responsible for the cleaning maintenance  of  all areas of the hotel, with the key aim of enhancing the customer experience and providing excellent standards. Main Duties:Deliver excellent customer service, at all timesMaintain high standards of cleanliness and presentation in all back-of-house areas and customer areasService all bedrooms and corridors, in line with company policyCreate and work to daily job lists from the room status list, arrivals and departures listReport any maintenance issues immediately to line manager, including all furniture, fittings and equipmentFulfil all reasonable requests from guests, to ensure their comfort, satisfaction and safetyCarry out instructions given by the management team and head office",Fluency in EnglishSimilar professional experienceGreat customer service skillsAttention to detail is a must ,Full accommodation &amp; 3 meals per day 15 days off per year &amp; weekly day off  One round trip ticket per year Annual Contract to be renewed upon agreement between two parties Health insurance,0,1,0,Contract,,,Food & Beverages,,0
9112,Director of Engineering | Applications,"US, CA, San Francisco",Engineering ,,"Climate Corporation and Aptitude Staffing Solutions have partnered up in an effort to streamline the hiring process and provide a more efficient and effective recruitng model.  Our focus is to help develop and achieve your career goals while makeing a solid geographical, cultural and professional fiit when leveraging your career into your new and exciting professional venture!","Director of Engineering | Applications | San Francisco, CAOur team is composed of some of the most brilliant interdisciplinary minds in the industry. The environment is extremely engaging and fast-paced, with dozens of specialties coming together to provide the best product possible product and experience for our #URL_7a887988d8390684a7285e6db8b8422abc28a490e39cff05706d2b63ebd11fda# you will do:Lead and inspire a team of world-class engineersDrive cross-functional collaboration with the Product, Design, and Reliability teams to build high quality, robust products. These core products enable our customers to make critical business operations and risk management decisions with clarity and comfort.Motivate and provide necessary tools for engineers to work with the confidence to create simple solutions to complex problems.Leverage massive datasets that power the advanced technologies to make a real world difference.Fearlessly drive an efficient and effective Agile software development process to manage a deep backlog and launch iteratively developed products.Ensure stability of the product through robust, scalable and fault tolerant software development practicesAct as an active source of engineering talent and work with recruiting teams to build and scale the future of engineering.Align the teams goals and objectives towards the “Bigger Picture” o ","What you bring to the table:BS / MS in computer science or related engineering field10+ years of professional work experience, at least 3+ years managing a dynamic engineering team of at least 15 engineersAt least 3 years experience at a start upMultiple proof points of success delivering successful products from concept to launchDemonstrated ability to grow and lead a team of engineers in a fast paced startup environmentProven ability to build world class products using Agile/Scrum or similar development/management practicesDeep understanding of the user experience essential to delivering a successful web-based applications and the technologies required to build itAbility to architect, perform design and code reviews for consumer-facing applications on web platformsGenuine passion for working with big data and open source technologies to make a real world difference.","What We Offer: Competitive salary, excellent benefits, and some of the best perks in the industry, including:Stock options. Our success is your success The opportunity to learn business and sales operations skills at a company experiencing explosive growthWe provide meals and a large assortment of snacks, drinks, fruits,coffees, and teas to help you get through the daySpend 25% of your time (2 solid weeks out of 8) exploring personallycompelling topics through independent research/development workCompany sponsored outings, including go-kart races, kayaking, holiday yacht parties, and sporting events, etc.We sponsor hackathons, meetup groups, networking events, tech-talks,and conference trips. If you want to get involved in the techcommunity, we'll support your effortsWe encourage all employees to better understand our customers bygetting out of the office and hearing firsthand from the agents our products serveEnergetic idea-driven work environment with an exciting cultureExciting world-changing opportunity - we are solving problems that have a massive impact on the worldA driven, talented team that aims to make a large-scale impact through an innovative technology platform Interested/qualified candidates please apply directly to posting.  You may follow up with VP of Recruitment, Darren Lawson | #EMAIL_919f03e996c88a8063f7bce7d468d464d1dc1faf8c4e57050d6e78ed7942eff9# | #PHONE_90d33c9d7ec1484aebfe37b153d677decc6f5f53b316489ed24061544c04eb66#",0,1,1,Full-time,Director,Master's Degree,,Engineering,1
8934,Customer Service Associate ,"US, MA, Boston",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Boston, MA. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Conduct various print, copy, and imaging projects Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages ","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) required2+ years of production copy/imaging/print project experience.2+ years of customer service experienceComputer skills a mustAbility to lift 40 lbs and stand for long periods of timeAbility to work additional hours when necessaryStrong attention to detail requiredWill be assigned to other Novitex sites in the local area to cover for absence, vacation, etc.",,0,1,0,Full-time,Entry level,High School or equivalent,Legal Services,Customer Service,0
9114,Community Outreach and Advocacy Specialist,"US, SD, Sioux Falls",National Programs,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","The Community Outreach and Advocacy Specialist provides community-based services and outreach initiatives for South Dakota Programs. This position is responsible to lead organizational efforts in the statewide Domestic Violence / Sexual Assault charter with partner organizations, to educate the community about DV/SA prevention and provide organizations with cultural  specific sensitivity training and technical assistance in regards to working with victims who are deaf / hard of hearing and have experienced domestic violence or sexual assault.  This position will also provide direct community support services to deaf and hard of hearing consumers, including DV/SA services, information and referral, peer support, individual advocacy, communication assistance, and community skills integration training.  Services will be provided through videophone, AIM, text and in person, in office, at designated community place or at the consumers place of residence, depending upon need and safety.  Additionally, this position will coordinate Project Link, a program which provides subsidized financial assistance towards Internet fees.Essential FunctionsWorks with partner organizations to support completion of statewide DV/SA charter, including needs assessment, strategic planning and implementation of charter agreementsProvides direct support services, including information and referral, peer support, employment assistance, advocacy (ie. support at court related hearings, shelter placements, qualified interpreting services), assistance in safety planning, legal filing and documentationProvides technical assistance, prevention education and cultural-specific sensitivity training with consumers and various community organizations that serve consumers who are deaf, hard of hearing, deaf-blind or have hearing lossRecords accurate documentation and reporting per State requirements including, services provided, referrals and follow up as neededCoordinates statewide Project Link program and document monthly bills received from area cable and internet service providers or from consumers whose providers do not bill CSD directly.  Also records accurate documentation of customer status, billing and reporting per State requirementsOther duties as assigned","Bachelors degree in related human service field or equivalent professional experienceTwo years of professional experience working supporting deaf, hard of hearing, deaf-blind and speech impaired customersAbility to communicate effectively in American Sign Language","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career page.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, or national origin, including individuals with a disability and protected veterans.",0,1,1,Full-time,Entry level,Bachelor's Degree,Program Development,Other,0
9850,"Senior Sales Executive - East, West, Central Regions","US, CA, San Francisco",Sales,,"From the lands of Can Do, Roll Our Sleeves Up and Get It Done, we create and solve things previously unimaginable. Were revolutionizing the IT industry with a platform that provides unprecedented control over all service layers in an organization. We also transform the way people experience enterprise software — theyll accomplish more each day and enjoy using our platform as part of their daily lives. This sounds massively ambitious and tough because it is. Were a small team that doesnt shy away from hard problems and we need more big thinkers and self-starters like you. If you want to be at the forefront of innovation, join us at Apcera and shine.","Apcera is revolutionizing enterprise technology and the way customers experience enterprise software. As Senior Sales Executive, you'll be thoroughly versed in product know-how to help organizations understand how Apcera solves some of IT's toughest challenges, how the solution is delivered in an easily consumable way, and why it aligns with customers' vision for the future. As an Apcera Senior Sales Executive you'll leverage internal resources to identify and manage opportunities from initial contact to contract negotiations/completion to successful implementations. Building business and acquiring new opportunities will be your main focus. You will be responsible for the overall performance of the current and emerging accounts within your assigned territory. Apcera is currently hiring Senior Sales Executives in the East, West, and Central regions.  Select geographies include: Mid Atlantic, Southeast, Dallas/Houston, Chicago, San Francisco, Seattle, Southern CA. ","Consistent quota achievement selling to IT organizations in the enterprise software/infrastructure market (ie, PaaS, Cloud, Infrastructure Software)Demonstrable success selling enterprise solutions to Global 2000 companies in multiple verticals. Strong competitive spirit and attention to detailProven history of new customer acquisition, managing enterprise sales cycles, and revenue growth within enterprise accountsProven capability to communicate effectively with all levels of IT professionalsPosition the solution accurately to highlight the technical and business value of the technologyAbility to clearly articulate your point of view in professional manner internally and to customers at all levelsProven success within a start up/fluid environment and demonstrable entrepreneurial spiritJob Responsibilities Develop and execute territory account plans to achieve and exceed assigned quotaWork effectively as an individual contributor running multiple customer sales cyclesWork effectively as a member of a larger sales teamWork effectively to communicate prospect and customer needs internallyBe proactive, gain trust by listening, and determine customers challenges &amp; needsRespond to customer requests and RFPsProven ability to develop strong relationships with key decision makers, influencers and partners within identified territory and/or assigned accountsProven ability to close opportunities and demonstrate commitment throughout the sales process Grow and maintain an accurate and healthy pipelineMinimum Requirements Bachelor's degree 10+ years successful software sales experience in the enterprise marketKnowledge of IaaS, PaaS, infrastructure &amp; operations, virtualization, and cloud systemsStrong presentation skills and excellent written and verbal communication skillsTravel is requiredComfortable with #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86#, Google products (Gmail, Gdocs, Hangouts), WebEx, Microsoft Office including Powerpoint and Excel","Competitive salary &amp; equity100% premium-paid medical, dental, vision, and life insuranceFlexible vacation policyWorkstation setup of your choiceTeam and family events and excursions",0,1,1,Full-time,Executive,Bachelor's Degree,Information Technology and Services,Sales,0
17335,Health & Wellness Coordinator ,"US, OH, Van Wert",,,"MRSI is a nonprofit organization established in 1977 by a group of parents and professionals as a local alternative to the institutionalization of people with intellectual or emotional disabilities. We provide an array of services from group homes, respite care, affordable housing, homemaker/personal care services, vocational, recreational, and social activities.",MRSI is the largest local private provider of support services for people with mental or emotional disabilities. We are seeking a part-time supervisor to direct its Health &amp; Wellness Adult Day Services program. Position has management and administrative responsibilities for MRSI's program operations in Van Wert County. ,"High School graduate or GED; Sincere desire and ability to serve the needs of individuals with mental and physical handicaps; Ability to express ideas and adapt to change; Must not have a criminal record that includes felonies or misdemeanors against persons that are in conflict with the requirements of the position or agency policy; Ability to effectively communicate in written and oral form; Acceptable physical examination and evidence of no active TB by results of a Mantoux II Step test or chest x-ray; Valid drivers license and acceptable driving record, reliable vehicle and active auto insurance.","MRSI's employee benefits are among the best in the human services industry for full-time employees in this area. MRSI offers competitive salary and benefits package, including life and dental insurance, short term disability, paid vacations and sick time, a 401(k) retirement plan, and incentive bonuses.",0,1,1,Part-time,Associate,Associate Degree,"Health, Wellness and Fitness",Other,0
15106,Technical Support Engineer,"GB, LND, London",Engineering,,"Cloud 66 helps Devs and Ops deploy their applications on any public cloud. With Cloud 66, developers can deploy their web applications, mobile backends or APIs to their own servers on any cloud and benefit from load balancers, DB backups and replication, firewall management, team access control and much more.","Cloud 66 is a TechStars company building the best and easiest way to deploy and manage web applications on any cloud provider. Every day, thousands of developers use Cloud 66 to deploy their web and mobile apps to their servers on any cloud with the ease they are used to at Heroku but flexibility of owning their servers.If you like to be part of an amazing team of passionate programmers who love to help their fellow developers with their projects, read on:A Cloud 66 Technical Support Engineer might be found serving in many different capacities:You will be the voice of Cloud 66 to our customers and partners; well count on you to interact in a friendly and professional way.You will interact with our customers' and partners' developers, architects and support personnel to resolve complex problems with potentially very costly and far-reaching consequences.You will help customers understand the finer points of Cloud 66 capabilities and influence them to implement best practices.You will interact, collaborate and network with other departments in Cloud 66 in your search for solutions that our customers need.You will work cross functionally with Engineering, Product Management and Sales. Sometimes you will work with similar cross-functional teams from partner organisations.You will help us understand customer trends, documenting and reporting what you observe to the management team in order to better improve our support process.You might be asked to serve as a Technical Account Manager for our largest enterprise customers and partners. They will look to you for technical guidance.","Ability to diplomatically address customer concerns and provide feedback.Experience working with team members in different geographic locations and timezones.Flexible work schedule. The ideal candidate is available for Saturday and Sunday shifts, or at least one of those days. You hold a Bachelors degree from a 4-year college or have equivalent work experience.Experience with web development frameworks, APIs and cloud providers is a bonus.",,1,1,0,Full-time,,,Computer Software,Engineering,0
6142,"Senior Associate, Climate Fellowship","US, NY, New York",Programs,,"About ECHOING GREEN:  Echoing Green unleashes next generation talent to solve the world's biggest problems. Founded in 1987 by global growth equity firm General Atlantic, Echoing Green has invested over $33 million in seed funding in nearly 600 social entrepreneurs (Echoing Green Fellows) and their innovative organizations across the globe. Recognized Echoing Green Fellows include the founders of Teach For America, City Year, One Acre Fund, The Global Fund for Children, and SKS Microfinance. Through its three Fellowship programs, Echoing Green annually supports nearly seventy social entrepreneurs to accelerate the impact of their ventures.In addition to its Fellowship programs, Echoing Green takes an ecosystem approach to reach over a million people seeking to define their role in making the world a better place. Work on Purpose, Echoing Greens signature program for 18-35 year olds who want to make an impact, leverages the life and work lessons of Fellows to equip these change agents to build purposeful lives and careers, while the Social Investment Council program emboldens emerging business leaders to drive social change.Echoing Green's field-building contributions include an impact investing initiative to grow investments in double- and triple-bottom line enterprises, and a bevy of thought-leadership articles and reports to strengthen the social entrepreneurship movement. Visit #URL_1912e551906cc97c1bdfc7f8a45cc874abebe0db248f141d906277d8dbc7be79# for additional information.","OVERVIEWEchoing Green seeks a passionate and detail-oriented project manager and relationship builder with 7+ years of relevant experience.  The Senior Associate, Climate Fellowship, will work to launch and support the new Echoing Green Climate Fellowship on a team that also supports our existing Global and Black Male Achievement Fellowships. ABOUT THE POSITION Every year, Echoing Green identifies approximately 40 incredible, ambitious, exciting potential leaders and then offers them two years of funding and support.  This year we have launched a new program to support emerging leaders with innovative ideas to tackle the climate crisis.  Echoing Green intends to be agnostic regarding various mitigation and adaptation responses  but seeks leaders for whom our model of seed funding and leadership development could be transformative.Several Senior Associates are the direct liaisons to a portfolio of Echoing Green Fellows.  Each Senior Associate also helps lead on team-wide priorities including our annual application process, regular conferences, etc.  The team is supported by two Program Assistants. The ideal candidate, then, is eager to operate and execute, and also impassioned about generating new ideas to align fellow programs with Echoing Greens broader social change agenda.   ","ESSENTIAL DUTIES AND RESPONSIBILITIESDirect Fellow SupportLiaise with a diverse, international portfolio of new Climate Fellows  writing their Individual Fellow Plans, monitoring their progress, and helping to identify resources and develop tools that support their successDirectly manage support programs for fellows, including advisory service projects, where fellows are paired with outside experts who provide short-term consulting help to the fellowExternal Relationship ManagementSenior Associates are often called upon to represent Echoing Green at public eventsWork productively with volunteers, corporate partners, and other constituents in the Echoing Green community.Identify and develop organizational partnerships (such as with universities, professional service firms, or other nonprofits) that would be of benefit to fellowsProject ManagementWith the team, provide program support to various gatherings including our Interview Week, New Fellows Retreats, and All Fellows Conferences.Support the highly-detailed process of gathering applications and monitoring the judging/review process using our online application systemREQUIREMENTSCan clearly explain your deep passion for the work of social entrepreneurs to address climate issues.Have a strong understanding of the climate field—the key definitions and science, the major institutional actors, recent history, etc.  An advanced understanding of climate science is not required.Passion for Echoing Greens mission, with a commitment to social entrepreneurship and harnessing next generation talent.Outstanding written and oral communication skills.Ideally, the candidate has direct experience as a social entrepreneur.  At a minimum, the candidate has a meaningful understanding of a start-up climate (where our Fellows are). An experienced networker, with some relevant existing networks and a readiness to build new ones with stakeholders at all levelsStrong ability to work independently, but also desire for and experience with working in high-performing teams and across departments.Excellent organizational skills and detail-oriented, with the ability to manage multiple responsibilities.Comfortable working in a fast-paced, dynamic environment and committed to meeting deadlines and creating and improving processes.High level of comfort with software systems such as MS Office, Convio, and #URL_24cd44edb473365624a280f26f00a589336e1282f129bebf1f212762aad60ece#. ","Echoing Green has a generous benefits package that includes major medical, dental, a matching403(b) plan, paid time off, and other benefits.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Nonprofit Organization Management,Management,0
6488,EMTs (Lift Coaches) San Diego,"US, CA, San Diego",,,"At Atlas Lift Tech, safety always comes first!  We are a fast growing company with an innovative vision of making Safe Patient Handling and Mobility (SPHM) programs available to hospitals systems nationwide. ATLAS is the first company offering safe patient handling and care staff training programs that protect healthcare workers and patients from the dangers of manual patient handling. Our unique team brings together bedside training, education, and program management to empower care givers to reduce workplace injuries, improve patient care, and create a culture of safety.","We are looking for EMTs to become Lift Coaches at Atlas Lift Tech.ATLAS has several part-time and full-time hourly Lift Coach opportunities in Southern California including San Diego for EMTs looking for in-hospital and patient care experience. As a Lift Coach, you will work as part of a team to perform equipment-assisted lifts, transfers, turns, and re-positions of patients in clinical environments from their admission to discharge, as well as, train existing hospital staff on proper lifting techniques and use of lifting equipment while adhering to Department Policies &amp; Procedures and applicable State, Federal &amp; Local Laws.","Position Responsibilities:Teaching safe patient handling methodology to existing hospital staff.Performing and assisting with patient lifts and other patient handling maneuvers, as delegated by the supervisor in collaboration with the client staff.Utilize patient handling equipment, transfer devices and protective equipment in compliance with hospital policies and patient handling protocols while utilizing proper Lifting Techniques, Proper Body Mechanics and observation of Hospital Protocol. Provide records of each lift to analyze key performance indicators.Position Requirements:Please do not apply if you do not meet all of these requirements at time of application6 months or more experience working with patients in a healthcare and/or ambulatory environment. Customer service experience.Minimum High School Diploma or the equivalent.Current CPR/ BLS Certified.Experience with coaching/ training multiple individuals. Flexibility to work day/ night/ weekend shifts including holidays.Must be able to lift 35 pounds unassisted and to stand/walk for long periods of time.Computer proficient to navigate both mainstream and proprietary software.Be able to work independently and as part of a team.Must pass a medical and drug screening before and during employment.Preferred Qualifications:EMS / EMT / Paramedics / Nursing / Physical Therapy Military experience","At Atlas Lift Tech we are innovators and we value individual contributions! We encourage continued education, priding ourselves on offering re-certification assistance. We offer a competitive compensation package based on your valuable experience.Other benefits include:Health Insurance for full-time statusVacation / Sick / HolidaysFlexible Spending AccountCommuter BenefitsPromotional opportunities for driven employeesFree Health ScreeningsFree Yearly ImmunizationsInnovative environment",0,1,1,Full-time,Entry level,High School or equivalent,Hospital & Health Care,Health Care Provider,0
2211,NARRATIVE: Cultural Fits,"US, NY, NYC",,,"We are not your average Monday mail recruiters. We are here to align stars and connect dots, not just match titles with positions &amp; salary demands with salary offerings. Our approach is simple; we read between the lines to see YOU. Both of you. Employer and employee. You &amp; Them is the most personal, innovative and open-minded professional recruiting can be. Or should be. Our network is a community of people with the same mentality; that work is a part of our lives and not the other way around. A creative community of great minds who seek minds that think alike.You &amp; Them is Us. Real people. Nice to meet you.",This is a list of candidates that we feel strongly about being good cultural fits even though they are not direct matches to the existing job openings.,,,0,1,0,,,,,,0
9023,Wakefield Social Media Apprenticeship Under NAS 16-18 Year Olds Only,"GB, , Wakefield",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Government funding is only available for 16-18 year olds only.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Social Media. During the first 12 months you will work towards a Level 2 IT User NVQ and then be kept on in a permanent position.You will be working for a training and consultancy company and the role will involve:-Writing content-Creating campaigns -Using social media to promote company Ideal candidates will be looking for a career in PR, marketing or journalism.If you are motivated and career minded please apply now.",16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,,Not Applicable,High School or equivalent,Public Relations and Communications,Writing/Editing,0
16603,Human Resources Recruiter (45K-60K),"US, NC, Raleigh",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","LEI Home Enhancements  is a growing and we are seeking a motivated and talented Recruiter to join our Human Resources team.  The ideal candidate will possess 2-3 years of  recruiting and staffing experience.This position is responsible for staffing a variety of positions, with the primary focus being  Customer Service and marketing.  You will be setting up interviews over the phone and holding face to face interviews",QUALIFICATIONS/REQUIREMENTS2-3 years of recruitment and face to face interviewing experienceStrong interviewing and assessment skills;Must have call center or phone experienceAbility to handle multiple priorities;Excellent written and verbal communication skills;Strong attention to detail; andGoal oriented,"Benefits:$12 an hour to start with commissions built in over a 90 period (approx 60k a year, after 90 days)BenefitsPaid Training****MUST BE AVAILABLE TO START ON MARCH 31,  AND FLY TO THE CORPORATE OFFICE IN CINCINNATI, OH FOR A WEEK OF TRAINING****We will start holding interviews this week!!",0,1,0,Full-time,,,Human Resources,,0
14401,"Analyst (SOA, XML, BPMN, UML)","BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for an Analyst fluent in English and French, to offer his/her services as an expert who will be based in Brussels. The work will be carried out either in the companys premises or on site at customer premises. In the context of the first assignment, the successful candidate will be integrated in the Analysis team of the company that will closely cooperate with a major clients IT team on site.Your tasks:Analyse and integrate separate repositories such as databases and websites under a single hub allowing for simultaneous queries that will be posted on support functionalities associated with data and text mining and data visualisation;Provide assistance and advice in Enterprise Architecture field including the implementation of data extraction, data mining, data visualisation as well as analysis of organisational structures;Provide assistance and advice in IS Requirement analysis field including data analysis and analysis of user requirements, data modelling, production and implementation of models;Provide assistance and advice in IS Specific studies including technical studies and evaluations;Participate in technical working groups and progress meetings.","Your skills:University degree with minimum 2 years of professional experience in IT or non-university degree with minimum 4 years of experience in IT;Minimum of 2 years of experience in modelling and design tools, in IT consulting and in the production of studies in the field of requirement analysis, technical domain and quality;Practical experience in the design of XML Schemas, XML, XSLT, SOA based data analysis, BPMN, SQL, Oracle, UML etc;Proven conceptual understanding of content structuring, storage, access and presentation elements;Ability to cope with the fast changing technologies used in graphical design;Experience in PRINCE2 and ITIL will be considered an asset;Excellent command of English and French, both written and oral. ","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (AN/10/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
4414,"TV Video Editor I, Burmese Service","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","TV Video Editor I shall properly complete all daily tasks. These daily tasks include but are not limited to: setting-up prior to editing sessions (fails not more than once per month); producing video edits free of defects that affect the quality of the video product, such as flash frames, improperly synchronized audio and video, and producing video that is properly adjusted for broadcast, and meeting all deadlines for submitting complete video packages. For full-time personnel, more than two mistakes per week will not be acceptable; for part-time personnel, more than two mistakes per month will not be acceptable.","TV Video Editor I shall be skilled in a single platform editing system (Final Cut Pro (FCP) or Adobe Premier); shall produce B-Roll, two to three minute packages, teasers, and bumpers; shall be able to perform under extreme pressure when needed and produce the required video elements within the production deadlines; and shall work cooperatively and efficiently with broadcast producer customers.Knowledge of Burmese language required. ",,0,1,0,,,,,,0
9867,Local Ambassador for AvenueStory - London,"GB, LND, London",Tours & Activities,,"We're hiring!AvenueStory is a concept driven by people, a team that thrives by delivering results, making people happy and achieving targets. We always need talented individuals to help us grow, develop and improve our service. If this sounds a bit like you, we invite you to be part of our international and dynamic team.Avenue Story offers chic apartment accommodation in Paris and London for leisure and business short term stays - a redefined travel experience, enabling guests to stay in unique surroundings and explore each city like a local. Our offer also include personalized local concierge service, helping each guest plan all stages of their trip. See what we do here.        ","Do you absolutely love London?  Do you breath and live the city, know where to shop for that fabulous frock, where to buy the best groceries and what museum are a ""must do""? If yes we would love you to join the AvenueStory Ambassador Programme. What is the AvenueStory Ambassador Programme?AvenueStory Ambassadors are independent people who know their city inside out, live and love the beat of the neighbourhood, culture &amp; transmit unique experiences to our guests. Anyone with unique knowledge, friendly and welcoming attitude can earn money offering an experience as an AvenueStory Ambassador. Some of our Ambassadors are tour guides, writers, journalists, designers, bankers, architects, foodies, travel agents, teachers, poets, designers, business executives, chefs, travelers, bloggers, dancers and more. Each with their own valuable knowledge they deliver a local, friendly and welcoming experience to each AvenueStory guest. Catering to every age and walks of life they will meet our guests in person, maybe have a coffee or maybe wonder around a local market and recommend the best wine, or the best cheese or simply “the way things are done around here.” AvenueStory vets each Ambassador on their identity, experience &amp; knowledge before they are listed on our website. Only a handful of exceptional, talented and knowledgable Ambassadors will be published on our website. Experience Guidelines:The purpose of our Ambassador programme is to provide cultural enrichment, education and a unique experience to our guests in the areas of: shopping, art, fashion, design, eating, drinking, architecture, history, outdoors or any other cultural experience that tells a unique story about the destination.Every Ambassador of AvenueStoryCommits to delivering a high-quality experience to every traveller.Prioritizes safety and security above all else.Is passionate, personable and trustworthy.Why be an AvenueStory Ambassador?MEET GREAT PEOPLEConnect with excited and curious travellers from all over the world. Share your knowledge, make friends, offer a unique experience by telling people what you know and what you love. SHARE YOUR WORLDEnjoy the thrill of introducing a new place or experience to someone for the first time. Help shape how our guests experience and perceive your community and culture.EARN MONEYSupplement your income or build a business doing the things you love. Whether youre an avid shopper, passionate about culture &amp; history or a foodie, earn extra income putting your passions and skills to use. ","You must be a local with at least 2 years experience of living in the city. We are looking for people that want to earn extra income, this is not a full time job. Previous experience in the tourist industry is a bonus. ",We are looking for multiple Ambassadors in London. Please apply for further information. ,1,1,1,Other,Not Applicable,Unspecified,"Leisure, Travel & Tourism",Other,0
14682,Junior Front end developer,"US, NY, New York",Development,,"MediaMonks is the biggest creative digital production company on the planet. We specialize in working for and with advertising agencies to craft amazing digital work for global brands. You can find us anywhere on the Web as well as in Amsterdam, London, LA, New York and Singapore.MediaMonks boasts an in-house team of 250 Monks that are versed in an infinite range of digital disciplines, collectively covering anything you could possibly throw at a digital campaign. Our award-winning capabilities include film, games and mobile and are recognized by over fifty institutions worldwide, including Cannes, Eurobest, the Webbys and the Awwwards.MediaMonks, est. 2001, is a member of SoDA and part of the FWA Hall of Fame. Catch our latest showreel at #URL_9ae12c3d274cab9516c33a92a00900561d083cecea1571d12dd251f5d12c1608#.OpeningsInterested in joining this club of digital artisans? Check out our vacancies and tell us why we should hook up right away. We tend to care less about paper qualifications and more about hands-on experience, so be sure to provide us with a portfolio or other feat of arms. Nothing that matches your skill set? We have awesome jobs for awesome people. Simply tell us about yourself and your trade and we may dream up your digital dream job (or internship) just like that.","Internet! Our New York team is looking to staff up its frontline with a fierce junior front-end developer, not afraid of building killer websites. Stationed in Manhattan, youll be working alongside our international production teams to make sure our websites work smoothly and look sexy on any device. Being the first front-ender to join our New York team, you get to work on awesome projects whilst being able to learn from some of the most experienced programmers on the other side of the Atlantic.The New York office is what the Dutch call gezellig. Opened in late 2011, our SoHo-based temple is big enough to fit only a number of auras at time. It is therefore home to an ever-changing cast of Monks who fly in from London or Amsterdam to temporarily join their US colleagues. With your command of HTML(5) and CSS(3), we seek to permanently level up our local production efforts.Though this is a junior position, ideally you already have some experience with other languages such as JavaScript (possibly jQuery and MooTools) and/or stylesheet languages such as LESS and Sass/SCSS. If not, we hope youre excited about learning other languages  maybe a few words of Dutch even  to help us build ever-more advanced websites and applications.",,,0,1,0,,,,,,0
11179,Contact Center Representatives ,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company - On-site Career Fair - Positions available for IMMEDIATE HIRE!Date: Saturday, February 7thWhen: 9:00am to 1:00pmWhere: Tidewater Finance Company, 6520 Indian River Road, Virginia Beach, VA 23464Our company is ACTIVELY SEEKING CANDIDATES for IMMEDIATE HIRE in our Active Collections Department. Meet our recruitment team and hiring managers, who are ready to tell you all about TFCs team-oriented work environment. If you are eager to work and have a strong customer service skill set, we are conducting ON-THE-SPOT INTERVIEWS with qualified candidates.To apply, please visit our website #URL_06ae9636e61d7ddfc75b7dec9887f7022036b464a1ef22d098f1e03084cd3614# and click Careers to complete our on-line application. Tidewater Finance Company, located in Virginia Beach, VA has full and part-time positions available for Contact Center Representatives.  We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork and an uncompromised level of customer service.Primary responsibilities include, but are not limited to the following:Make and receive calls through automated dialerTake payments on past due accountsHandle customer service related issuesProcess related paperworkAdherence to company policies and procedures in addition to compliance of state and federal regulations",The position requires the following qualifications:6 months customer service related experienceCollections experience a plusAbility to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights and Saturdays as scheduled by ManagementStrong negotiation skillsBilingual in Spanish is a plus,"We offer a competitive salary based on experience and a comprehensive benefits package.To apply, please visit our website #URL_06ae9636e61d7ddfc75b7dec9887f7022036b464a1ef22d098f1e03084cd3614# and click Careers to complete our on-line application.Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex (including pregnancy), marital status, religion, disability, genetic information, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.",0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
11752,PHP Developer,"NL, NH, Hilversum",Development,,"MediaMonks is the biggest creative digital production company on the planet. We specialize in working for and with advertising agencies to craft amazing digital work for global brands. You can find us anywhere on the Web as well as in Amsterdam, London, LA, New York and Singapore.MediaMonks boasts an in-house team of 250 Monks that are versed in an infinite range of digital disciplines, collectively covering anything you could possibly throw at a digital campaign. Our award-winning capabilities include film, games and mobile and are recognized by over fifty institutions worldwide, including Cannes, Eurobest, the Webbys and the Awwwards.MediaMonks, est. 2001, is a member of SoDA and part of the FWA Hall of Fame. Catch our latest showreel at #URL_9ae12c3d274cab9516c33a92a00900561d083cecea1571d12dd251f5d12c1608#.OpeningsInterested in joining this club of digital artisans? Check out our vacancies and tell us why we should hook up right away. We tend to care less about paper qualifications and more about hands-on experience, so be sure to provide us with a portfolio or other feat of arms. Nothing that matches your skill set? We have awesome jobs for awesome people. Simply tell us about yourself and your trade and we may dream up your digital dream job (or internship) just like that.","MediaMonks is looking beyond its server-side scripts in search of a superb PHP developer. Producing world-class work for top agencies and brands, youll need to be confident in writing for a broad and varied spectrum of high-load, high-performance environments (read: lean, clean code that results in mean security). The position is based at our Hilversum headquarters; speaking Dutch is niet noodzakelijk, but the ability to read and write English is a must. Your colleagues come from all over the world, so the skill to swear in several languages will also stand you in good stead.Alongside our other (PHP) developers, youll work as part of a fully comprehensive production operation  including front-end, Flash, as well as creative concepting. Youre a major cog in the development of award-winning campaigns and websites for many interesting brands. Your colleagues will therefore rely on you to develop back-end environments that run as effectively and efficiently as possible.The role requires an expert-level knowledge of PHP and MySQL and experience with either Zend Framework, Symfony 2 or Silex; as well as with Doctrine. Functional knowledge of HTML, JavaScript and CSS is certainly an advantage. Perhaps most importantly however, is your drive, your dedication, and your sense of responsibility. MediaMonks is built around flexibility  whether that means working alone or as part of a scalable team; to working flexible hours extremely variable projects. In return for your zen-like digital devotion, we can offer you a PHP (pretty hot programming) position and unlimited caffeine.",,,0,1,0,Full-time,Mid-Senior level,,Marketing and Advertising,Production,0
15056,Future - Tech Specialist - Microsoft Infrastructure,"AU, VIC, Melbourne",,,"We are experts in enterprise systems management for the desktop, mobility, datacentre + cloud. We are a young and dynamic workplace, with a strong culture of technical brilliance, sharing, learning, and well, having fun at the same time. Our team is based in Melbourne, Australia. If you have awesome skills in virtualisation and management, and you want to part of the Olikka team, apply now.","Hands on engineering role, building and implementing solutions for enterprise customers, as part of a high quality systems integrator team (IT professional services).Build &amp; Deploy infrastructure and management solutions across the following technologies:Microsoft System Center family:Configuration ManagerOperations ManagerVirtual Machine Manager, App ControllerOrchestratorService ManagerOS, Cloud and HypervisorWindows 7/8, Desktop Optimization Pack (App-V)Server 2012 / 2012 R2Hyper-VAzure / AWS IaaSCitrix Systems (sometimes, maybe)XenDesktop, XenApp, XenMobile, NetScalerContribute to Olikkas internal and external sites (video/blog/web/IP)Developing and testing new solutionsTeach, learn and collaborate with Olikka team membersBuild and develop customer relationshipsIdentify new opportunities within current customer accounts","You need to be a motivated IT engineer who is excited and passionate about what you do.Specifically for this role, you need to know at least Config Manager and SOE deployments really really well. All other System Center / Hyper-V / Azure / Citrix is a good bonus. Most likely you will also have broad experience in general IT infrastructure  directories, DNS, security, server/client architecture, remote access, virtualisation, storage, networking etc. We dont expect you to be an expert in all fields, but you will proficient in at least one and have a basic understanding of most. The ability to script is also very beneficial.Plus you need to be a strong communicator  able to communicate the technical aspects of our solutions with our customers, project related information with our consultants, and participate in complex, but clear, conversations with Olikka team members. ","Great, and really different, work environment. Work with very smart, and very passionate, like-minded individuals.Tech Allowance - you choose your kit.Training and conferences (teched etc)Oh, and basically, you will love work.",0,1,0,Full-time,,,Information Technology and Services,Engineering,0
4354,Customer Service Associate - Part Time ,"US, CA, San Francisco",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in San Francisco, CA. The right candidate will be an integral part of our talented team, supporting our continued growth.ESSENTIAL DUTIES AND RESPONSIBILITIES:Perform any and all duties as assigned by management to include: reprographics services, fax services and messenger services.Maintain highest levels of customer care while demonstrating a friendly and cooperative attitude at all times.Demonstrate flexibility in satisfying customer demands in a high volume, production environment.Know, understand and adhere to business procedure guidelines at all times.Take direction from supervisor or site manager as required.Participate in cross training of responsibilities as appropriate.Maintain all logs and reporting documentation as required.Understand and adhere to all safety procedures.Must be able to meet production goalsMaintain a clean, organized work placeAble to adjust working hours due to changing business requirementsAble to work at alternate site locations on a temporary or permanent basis due to changing business requirementsOther duties may be assigned as determined by the Team Leader/SDM","QUALIFICATIONS:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredExcellent customer service background                          Demonstrated communication skills both oral and writtenIntermediate computer skillsMust be self-motivated and capable of working in a high-pressured environmentMust be able to read, write, and comprehend job instructionsMust be able to clearly communicate and respond to questions from management, clients, and the general publicSignificant walking and standing for long periods of time, able to lift up to 50 pounds",,0,1,0,Part-time,Entry level,High School or equivalent,Financial Services,Administrative,0
14366,Compliance Analyst,"US, NC, Charlotte",,,,"IT Compliance Analyst This analyst will work in a fast-paced environment supporting many IT projects and initiatives. The position will focus on assisting Product Line Managers and IT Sourcing Specialist with establishing contracts for information technology software products. Efforts will include reviewing software licensing models and agreements from vendors, as well as educate Product Line Managers on what licenses they currently have deployed to ensure compliance. ",Duties include but are not limited to the following: IT Contract Compliance Program: Carries out assigned tasks in support of Software compliance Demonstrates basic knowledge of IT Architecture(s) and use of related technologies to manage compliance Displays basic knowledge in the use of discovery tools and procedures Process Management Ensure vendor/software renewals are current and reflected in contract management tool.,,0,1,0,,,,,,0
9661,User Acquisition Strategist,"GB, LND, London",Marketing / Commercial,,"Pollen gives app developers faster access to revenues theyve already earned from the app stores, which can immediately be channelled back into user acquisition. This means precious venture capital can be funnelled into product development and growing the business. With Pollen, yesterdays sales fuel todays growth.Pollen was conceived by a team of mobile technology and finance entrepreneurs who have first-hand experience of the demands and challenges faced by early-stage technology businesses. We learned, often the hard way, that in the fast-moving world of apps, early access to capital is crucial to success and growth. Without access to funds for user acquisition, smartphone apps often disappear from visible app store rankings and fail to gain traction after the initial launch. With headquarters in San Francisco and London, Pollens leadership team encompasses significant expertise in the mobile industry and financial services sectors. We are incubated by YUZA, one of Europe's leading app experience companies. We are already working with app developers, helping them use Pollen to grow their app store revenues and chart positions. We would love you to join us and help us shape Pollen. #URL_8dd7fdaa3afc19f5fc54ef529aa22f87038e91538ffc93ab066310ca62baec82#  Follow us on Twitter: @PollenVC ","Pollen VC is looking to hire an experienced Mobile User Acquisition Strategist to join a talented and multi-disciplined team who have already created headlines around the world. Were looking for a talented and proven user acquisition expert with 5+ years experience managing successful marketing campaigns for mobile apps and or software.This is an opportunity to work with ambitious individuals who are driving finance innovation for the world of mobile apps and games. The successful candidate will be responsible for providing objective and considered advice to Pollens clients on user acquisition and retention strategy, enabling them to make informed choices in planning their paid UA campaigns. You may be required to run test campaigns on behalf of Pollen and our clients, but the key focus of this role is to provide up-to-date and well-informed analysis of mobile marketing strategy. This role will report to the CEO of Pollen VC.As User Acquisition Strategist, you will be expected to: Work with new and existing mobile game and app developers to advise on advertising plans, performance metrics, and budgets that align with the developers goals  and make recommendations for optimisation during campaigns  Monitor, optimize and report performance of clients campaigns on an on-going basisIdentify new partner, platform, and optimization opportunities to drive campaign performanceDevelop test plans, measure performance, and make recommendations based on testingResearch International markets to support International advertising as appropriate.Identify the highest impact testing opportunities","University Graduate or Equivalent qualification in Marketing or Business Management5+ Years Experience of both strategic planning and daily execution/optimization of User Acquisition campaigns on mobile platforms, including Display, SEM, Facebook and TwitterPrior mobile experience and understanding of the iOS/Android marketplaces is usefulUnderstanding of the key differences between geographical markets and knowledge of the key drivers for successUnderstanding of the mobile apps and games market - key trends re: devices, game genre, country and customer behaviour; and sizing different opportunitiesGenuine interest in social, mobile, gaming, analytics, and advertising a mustExperience of managing projects with internal and external stakeholdersOutstanding communication skills  both written and spokenStrong analytical skills and experience working in metrics-based rolesStrong proficiency with Excel and Pivot TablesGood knowledge of current developments in the industry technically and commercially",Work for fast-moving and exciting start up at the forefront of the ever-changing London tech industry Work in the centre of SohoFlexible hours - core hours 10am - 6pm Monday to Friday but occasional additional hours / weekend work will be recompensedPLEASE NOTE THAT POLLEN VC ONLY RECRUITS DIRECT - WE DO NOT RECRUIT THROUGH AGENCIES. ,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Marketing,0
5215,Android Programmer ,"SG, , ",,,"Were not doctors. We are geeks who understand doctors.                               Been to the doctor lately? Ever thought it odd that the experience is almost exactly the same as it was 20 years ago? Isnt it a bit odd that in this world of iPads and always-on Internet you still have to wait 30 minutes to see the doctor, at which point (s)hell write down your notes on (heaven forbid) paper? And what if youre referred from one doctor to another and they need to access your old records? In a world where you can watch any listen to any song ever recorded in just a couple clicks on a computer that fits in your pocket, people shouldn't be dying because they were given medicine they were allergic to in the emergency room because the ER doctor didnt have access to the patients medical records. We have done the seemingly impossible, an application that doesnt change the doctors' workflow yet manages their patient records electronically. Were all about doctor user experience (yes its very different from normal user experience, trust us). Were funded, loved by doctors and having a blast! Want to get in early on something really, really big? We dont discriminate. You can be an alien from outer space with a tubular green body, hemispherical head and no ears, if we like you, well take care of your visas in Singapore, get you alien food, alien gadgets, nerf balls, cute frogs, and lemon tea. Were a small company with a great culture that values curiosity as much as performance, a fantastic work environment (which you can contribute to!), health insurance, a competitive salary, and meaningful equity in the company. Were located in the heart of town, Clarke quay! Were surrounded by restaurants that challenge your taste buds every day, and…Were a stones throw away from the best bars in town.If you want to change the world and help bring doctors and medical care for the average person into the 21st century come talk with us.","We're looking for an experienced Android Programmer who is up for a challenge. Someone who can work on developing an app thats so intuitive it seems like it can read doctors minds. Someone who thinks that the user's experience is paramount, and has the drive to make said experience flawless. Someone who can handle horrible KitKat puns. (We're sorry; we can't help it.) You will need to have:Initiative; to further our user experience in easing the workflow of doctorsConfidence; to be unfazed by the magnitude of impact we will be making in the industryDiscipline; to work around regulations, build safety mechanisms thrice over, and work with multiple layers of securityTolerance; to put up with our sorry excuse for witty banterIf you're looking to work with a team of fantastic engineers who are consistently pushing boundaries, and more importantly, if youre looking to be part of something revolutionary, then this is the place for you!","REQUIREMENTS2-3 years experience in native Android developmentWillingness and ability to learn new technologiesFamiliarity with our tech stack is a plus (couchdb, backbonejs ui, python server, snote sdk)Computer Science / related degree is a plusAbility to work with a small kick-ass team.Resonance with our core values","Dont worry, we arent the only ones profiting; its a fair exchange. We get the amazing Android Programmer and you get a whole lot more:Were located at the heart of town, which means…Were surrounded by restaurants that challenge your taste buds every day, and…Were a stones throw away from the best bars in townNot to mention, a competitive salary (to fund any frivolous activities)And health insurance! (to insure against any frivolous activities)Most importantly, a friendly team and comfortable work space",0,1,0,Full-time,,,Information Technology and Services,Information Technology,0
9668,Retail Store Manager,"US, WA, Bellevue",Retail,40-100,,"Desired Skills &amp; ExperienceDesignation: Store ManagerExperience: Minimum 5 years in Premium retail experienceLocation: Bellevue, WAQualification: Bachelors degree- Professional, energetic, positive and passionate about photography. - Strong business - operation skills - Traditional and digital marketing skills. - Must be organized and detail oriented - Excellent written and verbal communication skills - Strong interpersonal skills - Strong in building and maintaining positive relationships and able to communicate at all levels - Selling and Marketing skills. - Development of Marketing strategy for the store - Inventory Management- Managing VIP relationships- Familiarity and ease with digital photographyComputer skills- PC/Mac- MS Office Suite- POS Systems- Adobe Photoshop and Lightroom- Knowledge of website maintenanceFor: US Citizen/ EAD/ Green Card candidates only.",,,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Retail,Management,0
9818,Animal Control Officer I,"US, CA, Fresno",Animal Control,,"The Central California SPCA (CCSPCA), established in 1946 and located in Fresno County, California, is a 501(c)(3) non-profit humane society.As the leading animal welfare organization in Californias Central Valley, we are committed to leading and serving our community of animals and people by providing programs and services which serve all the stages of an animals life.  These programs include Adoptions, Rescue, Foster Care, Owner Surrenders, Outreach, Humane Education, Veterinary Services, Spay/Neuter programs, and Pet Services.The CCSPCA also assumes responsibility, by contract, to perform the Animal Control and Leash Law services for the City of Fresno dating back to September 1951. The modern facilities and our caring staff offer safe refuge, nourishment, medical care, and love to every animal in our Animal Center.  Free ambulance service and shelter is provided for lost or stray animals in the City of Fresno, or those owned but temporarily without homes because their owners can no longer keep them.Although the CCSPCA is contracted to conduct Animal Control Services and Rabies Control Enforcement within the Fresno City, we are not federally, state, or locally funded and do not receive aid from any national organization (including the ASPCA). The CCSPCA owns its own land, buildings, and equipment purchased with privately donated funds.  Our funds come from our loyal supporters, allowing us to provide many vital services to our community.","Under the direction of the Field Operations Manager, the position is responsible for the apprehending and caring of animals, determining the disposition of animals, enforcing various related State and local laws and ordinances, responding to complaints concerning unwanted, escaped or other nuisance animals, and other tasks as assigned.DISTINGUISHING CHARACTERISTICSAnimal Control Officer I is the entry-level class in which incumbents receive orientation and training to perform a full range of animal control duties. Incumbents are expected to progress to the journey level, Animal Control Officer II, within a reasonable length of time, and to function at a higher level of independence, self-direction, judgment, and interaction with the public.Working Conditions/Environment: Be patient &amp; tolerant of loud, fast paced environments (barking), dog waste, odor and hair. Physical exertion is required to subdue resisting and chase fleeing animals. Physical exertion is required to climb stairs and over walls with constant running, walking, crouching, crawling, kneeling, and twisting; standing or sitting for extended periods of time; Must have the capability to hear, speak and see to communicate with the general public. Physical effort is required to lift/carry injured/deceased animals and equipment of 75 lbs. or more with assistance. Great mental ability is required daily. Exposed to sick and potentially dangerous animals.ESSENTIAL FUNCTIONS:Serve as a representative of CCSPCA, demonstrating a positive attitude and progressive actions through the display of professionalism, courtesy, and appropriate tact and discretions in all interactions with other employees and with the public.Respond to calls, captures, and transports and impounds stray animals.Interview complainant and identifies animals owners.Establish quarantines to determine health of animals may impound seriously injured or ill animals and arrange for veterinary care.Provide assistance to the public regarding animal control matters; Responds to inquiries and provides information regarding local animal control laws.Pick up and transport dead animals for disposal.Issue citations or notices of violation in the enforcement of ordinances relating to animal control.Prepare officers reports and maintain files &amp; records.Maintain safety and security of Animal Shelter.Protect confidential information by preventing unauthorized release, both verbal and/or writing.Input call information and write reports on Petpoint Software System.","Qualification Requirements:High school diploma or equivalent.Knowledge of: Techniques for communicating effectively orally, and through written expression, experience providing information, such as explaining rules and regulations to the public, preferably in the handling, care and control of animals.Minimum Requirements:   Read, understand, and explain applicable State and local laws, regulations, and ordinances; obtain cooperation and compliance from members of the public by working tactfully and effectively; analyze a situation and adopt a course of action; exercise tact and independent judgment in dealing with the public; speak to a wide range of people; prepare clear and concise reports; present a positive public image; develop and maintain working relationships with co-workers, agencies and organizations; handle sick, injured, dangerous, or dead animals and decomposing animal carcasses in a safe and humane manner; learn the physical and behavior characteristics of animals; learn breed identification; learn and recognize symptoms of rabies and other common animals diseases; learn the safe and accurate handling of animal control equipment; euthanize dangerous, sick, or unwanted animals; make simple arithmetic calculations; understand and follow oral and written instructions; safely drive a vehicle; perform other tasks as assigned; and work independently. An up to date tetanus shot record is required. California drivers license required without major infractions on DMV record. Must be able to pass a background clearance.  Must be proficient in Word, Excel, Powerpoint, email, and other computer programs such as Shelter Buddy, Petpoint and/or animal databases.Special Notes: This description was prepared to indicate the kinds of activities and levels of work difficulty required of positions in this class. It is not intended as a complete list of specific duties and responsibilities.",This is a Temporary Full Time Position and benefits will be offered when incumbent reaches Regular Full Time Status. $10.25/hour DOE,0,1,1,Temporary,Entry level,High School or equivalent,Veterinary,Customer Service,0
11120,Software Engineer (New Graduate),"US, CA, San Francisco",,,"Through the Apache Spark platform, we are working to transform large-scale data analysis.","The state-of-the-art in Big Data is ""simple things complex, complex things impossible."" We think the future should be ""simple things easy, and complex things possible."" Join us and work with world's leading experts in distributed systems, databases, and networking to build a next-generation Big Data platform that users love.",BS/MS/PhD from a top tier university (please include a copy of your (unofficial) academic transcript when applying).Good understanding of (one or more):System designDistributed systemsDatabase systemsCloud infrastructureSecurityComplex single-page web applications,"Health, dental, and vision insuranceFree lunches, healthy snacks, and a fridge fully stocked with beveragesFree bubble tea from Asha (one of the best bubble tea shops in the Bay Area!)Office currently in downtown Berkeley, a minute away from BART for easy commuting; scheduled to move to San Francisco in December, 2014Competitive salary and equity packagesFlexible vacation and sick day policy. Need some time off? Take it!",0,1,0,,,,,,0
490,BD23 Customer Service Apprenticeship Under NAS 16-24 Year Olds Only,"GB, , Skipton",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Under the National Apprenticeship Scheme you must be 16-24 years old to apply for this #URL_2f796cae81c45f3393e1c3cff821714254307b7aabc594c5dfe73b228a171635# funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Customer Service. During the first 12 months you will work towards a Level 2 Customer Service NVQ and then you will be kept on in a permanent position.You will be working for an office supplies company and the role will involve:-Making and receiving calls regarding payment-Answering customer's questions-Providing quality customer service-General business admin duties-Learning about productsIdeal candidates will be IT literate with an excellent phone manner.If you are motivated and career minded please apply now.,16-18 year olds only.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Customer Service,0
710,"HIGH PERFORMANCE COMPUTING SOLUTIONS ENGINEER - Houston, TX","US, OR, ",Computer / Software,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","The selected applicant will be working closely with a team of HPC sales executives. S/he will be the HPC technology subject matter expert and work closely with the sales team and customers to evaluate customer needs, demonstrate solution options, and demo products, architect and present Proof of Concept (POC) and pilots, answer all technical questions, lead implementations with very strong project management skills. ","RESPONSIBILITIES:The selected applicant will be working closely with a team of HPC sales executives. S/he will be the HPC technology subject matter expert and work closely with the sales team and customers to evaluate customer needs, demonstrate solution options, and demo products, architect and present Proof of Concept (POC) and pilots, answer all technical questions, lead implementations with very strong project management skills. Be involved in systems configurations, integrations and using HPC platforms to support enterprise analytics solutions in large data center environments. You will be involved in responding to RFIs and RFPs and the development of SOWs and ensuring that SOW's are delivered to the customers' needs so that their business objectives are achieved. You may attend and present at conferences, tradeshows, user groups or to key executive c-level customers who are interested in learning more about High Performance Computing solutions. You must be able to talk techy and talk human. You will be interfacing with super technical people as well as C-level executives who are more business minded and you will need to know how to present value propositions to them so that they can see what they are getting for their money.Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Writing/Editing,0
10472,OD Consultant,"GB, CAM, Peterborough",,0-35000,,"Use your OD experience to design and deliver high-impact organisational culture changeKealey HR is a well established and highly successful HR service provider to its niche client base, operating both across the UK and internationally. Our long standing clients are based across a variety of sectors - making this role particularly interesting for the appointed person wishing to build their experience of the different dynamics of organisational culture across very different sectors. You will work as part of the HR team with a particular focus on delivering organisational development solutions to our multiple client base.Reporting to the Senior Partner, you will develop and implement people solutions to deliver sustained “make a difference” culture change and play a key role in facilitating high impact culture change programmes   from undertaking employee surveys to running workshops with senior management teams.Particular areas of focus will include implementing solutions to underpin change in the areas of:leadership effectivenessteam buildingtalent developmentperformance managementsuccession planningdefining values and behaviourstraining, coaching and mentoring","Educated at least to degree level in HR, Occupational Psychology or a related discipline, and a Member of the Chartered Institute of Personnel and Development (MCIPD), you will already have gained some experience in the above activities, encompassing strong event design/facilitation skills at both senior management and front-line staff level, along with experience of developing leadership/management development interventions and talent development schemes. Qualified to Level A/B in Psychometrics and ideally, professionally trained in coaching/mentoring, you will also have experience with 360 tools, team-building psychometrics (ideally Belbin) and providing feedback.   Any exposure to change management methodologies such as Six Sigma or TQM would be useful.  Your personal qualities as an Organisational Development professional will include:excellent interpersonal skills, able to build quickly strong relationships across a diverse range of people typesfirst-class all-round communication and presentation skillsa strong, highly engaging influencing stylea desire to learn","In addition to an excellent salary and benefits package, this position will enable you to “make your mark” through establishing the OD function from scratch for this successful, well respected business.Interested? Then please submit your CV by clicking on ""Apply for this job"" below...",0,1,0,Full-time,Not Applicable,Bachelor's Degree,Human Resources,Human Resources,0
12819,Senior Client Success Manager,"US, PA, Philadelphia ",,,"Founded in 2009 by early LinkedIn employees, PeopleLinx gamifies employee engagement for LinkedIn, Twitter and other social networks. Our cloud-based solution helps employees optimize their profiles (establish credibility), leverage their relationships (get warmer introductions), and engage their connections with targeted content (attract prospects). Customers include Fortune 500 leaders in banking, insurance, legal, high-tech, and professional services. You can find us in the real world and on the web:1835 Market St. // Suite 1105Philadelphia, PA 19103#PHONE_f167a2f286e8f4cb0f9fa1e47261030df0d106c8fd5f112e530d0e136de51f64##EMAIL_11429015bb60de942be3eda067d6bc311a361e27527b1caa61d26744faa41e9d##URL_9844023bca59c32bd969064d87e9140e2e7a27774849164ee371457c6eb393f1##URL_fcf1545d702394fe08474c55816b6430cdab10fa1ca92ab2029f06e4eebec154##URL_9fcf934808d5aae269e51500d2f74a1158320477ca5f92484f12eef95e4812fc#","As a Senior Client Success Manager, you will be working with Fortune 500 companies and other forward-thinking organizations across various industries. In this role, you will:Serve as a trusted advisor for prospective and current clients and develop social business strategies that produce measurable ROI through social businessManage all aspects of a clients PeopleLinx including: launch and onboarding of users, management of fully-customized instance of our software application, and management of all associated training and support initiativesDrive client retention, renewal, and upsell, with ability to craft strategic plans to grow enterprise accounts across teams, divisions and geographiesCollaborate with our Social Business Strategist team to develop successful training programs for clientsAnalyze key client success metrics to understand areas of success and areas for improvement to drive successful programsAddress customer requests with speed, care and professionalismUnderstand product roadmap and communicate new features effectively with clients; gather client product feedback and submit to product management to shape product roadmapMust be a social business expert, with deep LinkedIn and Twitter subject matter expertiseAct as a liaison between the client success department and other departments within the organization","Bachelors degree and 4+ years sales / client relations experienceFortune 500 client management experience preferredExperience in a sales environment or managing upsell to existing clientsPrioritize and manage multiple projects simultaneously, and follow through on internal and external items in a timely mannerEnergetic and personableProfessional, can-do attitudeOrganized with a keen attention to detailStrong interpersonal skills and the ability to build and maintain trusted and long-term relationshipsA creative problem solver and innovative thinkerExceptional verbal and written communication skillsHighest discretion when working with confidential information concerning the company, management and employeesPrior experience with an emerging growth company a plus; able to adapt and thrive in a fast changing environmentExperience with #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# a plusTravel: ~20%","The experience of being a member of a high-performing, growth-stage companyDelivering value to clients and our company  this is more than just a desk jobExposure to many aspects of a startup  operations, client delivery, senior leadership, investors, etc. Candidates will work closely alongside senior leadership and many startup and growth-stage veteransCareer growth and upward movement possibleReasonable business and commuting expenses will be reimbursedCompetitive compensationFull benefits",0,1,0,Full-time,,,Information Technology and Services,,0
13239,Cosmetic counter staff,"GB, , Scunthorpe",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury beauty &amp; fragrance consultants needed!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary &amp; permanent beauty, fragrance, fashion &amp; retail staff. We are recruiting now for beauty &amp; fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care &amp; perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to marie@#URL_2cf2adf737014de8b49f0776d9c8caa1a359f0bf42fa9910bb760d5475a2f1b9#",,,0,1,0,,,,,,0
7673,WSC Trainer,"RO, B, Bucharest",Reservations,,"#URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7# is a modern online travel agency that was founded in Athens in 2005. Today, our company is one of the leading online travel agencies in Greece with presence in over 25 countries in Europe, Asia and America; offices in Athens, Bucharest and Sao Paulo.","As a WSC Trainer will be responsible for managing the learning and professional development of Welcome Service Centers workforce by conducting trainings and providing ongoing learning. He/she will be responsible to coordinate, schedule and provide all trainings needed to new hires as well as current employees. The right candidate will identify and assess training needs of WSC by consulting Operations Manager (to whom he/she will report to) and Supervisors. The Trainer will help with ongoing, long-term improvement of CSRs skills, enabling them to fulfill their potential within their organization. The candidate will be located in Bucharest office with ability to travel to HQs.The WSC Trainer is the first point of contact for new team members and must lead by example to set a professional standard for Team Members to model.  The Trainer provides learning and development opportunities for team members. Furthermore he/she is responsible to identify training and needs, proposes solutions, and implements training programs to achieve results.Responsibilities -       Create, organize and develop training procedure manuals.-       Develop and deliver specific training programs to help CSR maintain or improve job skills.-       Facilitate the execution of all training plans; as well as “on-the-job” trainings.-       Coordinate between OPS department and external partners in order to keep up to date all training material.-       Periodically evaluate training programs.-       Identify performance and training needs, providing support.-       Work closely with Training Specialist.-       Be part of other ad- hoc projects in order to ensure effectiveness of training programs in the day to day tasks. When not conducting trainings, the WSC Trainer will interact with WSC. Among his/her duties would be to:-       Provide leadership/operational presence on the floor.-       Act to provide proactive feedback and insights to Team Leaders on team members daily performance."," Required Skills -       Very good knowledge of Computer System.-       Excellent knowledge of MS Office, GDS (Amadeus, Worldspan), Inexso and Call Software.-       Excellent written and oral communication skills.-       Excellent interpersonal skills.-       Very good time management and multi tasking skills.-       Ability to coach, transfer knowledge and motivate others.-       Critical thinking and decision making skills.-       Innovative, hard-working, self-confident and flexible.-       Ability to travel to HQ for business needs. Desired Skills -       Minimum of 2-3 years experience in a similar position.-       Knowledge of at least one GDS is mandatory.-       Experience within travel industry.-       Experience in call-center environments will be considered an asset.-       Excellent level of English.","-       Attractive Remuneration Package.-       Work in an international, dynamic and fun atmosphere among young and smart people-       Huge learning experience in using best practices and cutting-edge technologies-       Challenging engineering projects in an interesting and complex travel industry.",0,1,1,,,,,,0
15774,Windows Phone App / UI Developer,"GB, LND, London",Engineering,40000-50000,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","We are looking for fantastic Windows app developers to help us evolve, improve and maintain our unique augmented reality app.Working alongside our small, dynamic engineering team, you will ensure our app continues to deliver high-quality, innovative experiences. We are going through an exciting stage of growth here at Blippar, and as our app continues to expand its user base across the world we need passionate engineers to push the boundaries of augmented reality advertising.Your primary task will be to support and develop Blippars Windows app, helping the team achieve monthly releases and deliver astonishing augmented reality experiences to millions of users.The joy of working within this team is not only having the opportunity to shape how people use a new technology, but the freedom we allow our developers; we have deadlines, but you are also actively encouraged to pursue your own ideas. Our ethos is that testing things out and innovation are key; if you have an idea, we want you to build it!","Successful candidates will be passionate about mobile, tablets, wearables, augmented reality, virtual reality and other cutting edge technologies. You will be used to working in collaborative environments with a range of stakeholders, and have experience developing apps with millions of downloads.You will, of course, be an experienced Windows app developer, and will show us a portfolio of user interface development projects and apps. Successful candidates will be:Experienced using C / C++ / Windows RT / XAMLExperianced using COMIdeally experienced using DirectX and some OpenGL ES 2Ideally experienced using AR and AR librariesAutonomous, innovative and ready to be challengedPassionate about mobile and all emerging technologies","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter &amp; Gamble, Conde Nast, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesOur office dog (London)International travel opportunitiesChance to develop groundbreaking techWork with state-of-the-art hardware and softwareAccess to new wearables including Google GlassA challenge to change the worldChance to shape a new industryCreative freedom and controlExperience with all mobile operating systemsCollaborative opportunities with huge brandsPlease apply now for more information about this role, or check our careers page for details of other opportunities to work with us.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Engineering,0
10607,Sr. Vice President - Global & Strategic Accounts,"US, OH, Mason",GSA,115000-125000,"About Us:For nearly 20 years, Touchstone has been pioneering an entirely new approach to brand promotion and products through design. We do this by blending an experienced promotional products agency, graphic design studio, and product development group with a new level of consumer participation. With a focus on Design and Lifestyle, we develop products that surround some of the most celebrated brands in the world.  We believe that our approach to Design, Innovation and Engagement are challenging the norms of the promotional products industry to help create more inspired brands. We value thinking beyond the limits, courageous people, and stronger relationships between brands and consumers. If this sounds like the culture you want to be a part of then you need to talk with us.","SVP  GLOBAL &amp; STRATEGIC ACCOUNTSPosition Title:SVP  Global &amp; Strategic AccountsLocation:Mason, OHSalary Range:$125,000 range (Salary will be commensurate with experience)Benefits:Full Benefits PackageType:Full TimeReports to:PresidentDescription:The Global &amp; Strategic Accounts group represents a distinct channel of business within Touchstone.  The Sr. Vice President of Global &amp; Strategic Accounts will be responsible for all aspects of this channel of business, including, but not limited to: Economic performance; Operational performance; Growth &amp; Development of the channel; and Related.This is an Executive level role and part of the Executive Leadership team within Touchstone.  This person will represent and live out all the traits and characteristics of a proven leader.  This individual will be able to proactively identify and implement best practices, procedures, and methodologies in order to, fluidly, keep the performance of Touchstone at the “tip of the spear”? within the brand building industry. Lastly, this person will embody the spirit, attitude, and personality focused on investing into their colleagues development. DutiesResponsible for managing account managers on a daily basis  metrics, performance, inventory management, and economic growth  &amp; performance.Responsible for the ongoing development and education of the account mangers.Responsible for account development and growth of customers.Responsible for customer engagement and managing the quarterly review process. StrategyStrategic planning of Global &amp; Strategic Accounts economic performance.Resource and personnel planning of GSA channel.Performance metric planning for all roles within GSA channel. Executive TeamServe as a proactive member of executive leadership team.Participate in key decisions pertaining to strategic initiatives, business model and operational execution.Participate in corporate policy development as a member of the senior management team.Create a management dashboard of key leading indicators for review at the weekly executive meeting.Ensure business decisions are grounded financially.  Channel PerformanceResponsible for economic performance of channel to meet and/or exceed strategic plan.Responsible for individual performance management of all Global &amp; Strategic Account team members. Growth &amp; DevelopmentRepresent and Lead Employee growth &amp; development efforts within GSA Channel.Work within GSA Channel to foster high performance and accountability amongst peers and leadership.","Requirements:10 Years Promotional Products Global Account Experience RequiredFortune 500 Account ExperienceBachelors DegreeDemonstrated leadership ability, team management, and interpersonal skills consistent with the requirements of the job.Excellent interpersonal, written, and oral communication skills.Experience in senior leadership team role, partnering with executive team staff.Experience in high growth environment.Proficiency in Excel, Word, and Powerpoint (or Keynote) Required","Salary Range:$125,000 range (Salary will be commensurate with experience)Benefits:Full Benefits Package",0,1,0,Full-time,Executive,Bachelor's Degree,Marketing and Advertising,Management,0
17293,Lead developer,"GB, LND, Fulham",Technology,,"Founded by serial entrepreneur Clive Jackson and launched in 2011, Victor is one of the worlds most trusted names in private jet charter. With only a 5% fee for online bookings, Victor offers the broadest selection of quotes for charter flights at the best prices directly from the operators. With access to over 700 contracted aircraft, Victor can get you airborne in less than 2 hours from almost any airport around the world on any aircraft type. We're a small and growing agile team. As a team we aspire to the hacker culture and we care about what we do. We want to produce simple, elegant solutions to difficult problems. We take pride in getting the job done right. We want to improve as individuals and improve the team and wider organisation around us.Our development team use modern technologies, tools and methods to produce a product that our customers love. We want our applications to be ubiquitous; any time someone is booking a jet they'll be using the Victor platform.We choose the best tools for the job and right now that's mostly node and Angular, with MongoDB and a smattering of Python.For vacancies for our other companies, #URL_8b5fe34131f015b09e2b4b01097392477242e0de74786a62a71e91e1e5dbfba7# and Global Beach, please click on links below:#URL_c31909b471fce3a2c3ea962a074a37e919edf78b8dfb90c4a3a9ace570542adf#http://global-#URL_c4958175db594f9b8c88259f8f6fa850085a15c4723021f19596b2a9752dc62f#","We're Fly Victor, and we're revolutionising the private jet market. Think Airbnb for private jets; we're creating the marketplace that connects operators of aircraft to our members who want to fly them, and allowing them to be purchased as a whole aircraft or by seat. We've just raised £5m to take our initial application to a global scale, and you might have seen us in the Guardian, Telegraph, FT or on Bloomberg recently.Who are we?We're a small and growing agile team. As a team we aspire to the hacker culture. We care about what we do. We want to produce simple, elegant solutions to difficult problems. We take pride in getting the job done right. We want to improve as individuals and improve the team and wider organisation around us.We use modern technologies, tools and methods to produce a product that our customers love. We want our applications to be ubiquitous; any time someone is booking a jet they'll be using the Victor platform.We choose the best tools for the job and right now that's mostly node and Angular, with MongoDB. We have a smattering of Python, and a .net application that's slowly being retired.","We want the people who love Javascript especially Node and Angular, who love the startup culture or want to experience it. You will understand API's , mobile development environments, service orientated architecture and a whole lot more and if you don't we can help. Ideally you will have lead a small team or acted in a lead or senior role and be looking to fully play that part in your next role. We are open on almost everything else, we believe great developers are made not born and its a passion, we have it and we want others with it to join us. ","We offer an exciting challenge and an enjoyable working environment, with opportunity to grow as we do. We'll even pay you (fairly)!",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
10651,Office Manager & Administrative Assistant,"US, CA, San Francisco",,,"Do you want to help people be more successful at work? Then consider Jhana, a feisty little startup that pursues this goal every day.Heres why our team loves working here:Our mission matters. Weak, poorly trained managers can really hurt companies and make life worse for the people on their teams. Its rewarding to help managers do better — and, by extension, the companies they work for and the people they work with. Learn more about what we do.We like working with one another. Its fun to collaborate with interesting, supportive, driven people. Learn more about our team.Were building a sustainable company — together. Were not one of those flash-in-the-pan startups. We want Jhana to grow responsibly over the long term, and the whole team has a say in how that happens. Learn more about our values.We  offer a competitive compensation package, health/dental/vision benefits, equity, and a learning budget.","About Jhana: The mission that gets us out of bed in the morning is simple but not easy: Help people be more successful at work.To achieve this goal weve assembled a high-performing team of entrepreneurs, sales and customer success champions, and deep thinkers who get really excited about ideas and learning. Together, were creating and supporting an online resource to help new managers become good managers — a result that not only benefits them, but also their teams and organizations. With over 20 delighted customers (including Facebook, Groupon, Eventbrite, #URL_ad81be50f07523d973076e5fd370e1751cac7ac4984df500e9c8a222efaa0fa4#, Modcloth and SolarCity) were well on our way to building a long-term company. To learn more check out our team and product.Our team is growing quickly and we're looking for help with a wide variety of operations and administrative tasks and projects, including:Office management - making sure the office is hummin' smoothly and everyone has what they needAdministrative tasks - helping with benefits, accounting, data reports, user lists, content management, onboarding new team membersExecutive assistance - mostly scheduling, travel support, research, odds n' endsTeam events and culture-building - plan events, activities, gifts, fun stuff, meaningful stuffYou'll report to our CEO.","Ideally 1+ years of office management, administrative or operations experience at a startup Positive, friendly, can-do attitudeHighly organized, detail-oriented and resourcefulExceptional communication skillsEnjoys day-to-day office management and administrative tasks and projectsProficient in MS OfficeMust be willing to work in San FranciscoOverall culture and mission fit  see Jhana's values here",Competitive salaryMedical/dental/vision benefitsPersonal learning budgetGenerous stock optionsSupportive colleagues dedicated to helping you succeed,0,1,1,Full-time,Associate,,E-Learning,Administrative,0
10673,Servicing Adviser / Paraplanner,"AU, VIC, Melbourne",,60000-120000,"Our vision is to be the accountant and financial advisor of choice for medical practitioners across Australia.We are a young, entreupreunerial and driver team focused on delivering the absolute best in customer experience. Tired of providing one-dimensional advice? Tired of product-sales and conflicted advice? Are you looking for a challenging career in fast growing firm? We are MEDIQ. We are a fiercely independent, holistic advice firm with a niche client profile of high net worth professionals.If this appeals to you - we look forward to catching up for a coffee to talk about opportunities to work together.","We are a company with aspirations to change the financial services space; we are at the forefront of independent holistic advice movement business, and everything that means. People are sick of getting D grade conflicted financial advice, its time that what you believe in, and your culture and people, are the brand that matters.This is pivotal position in our business which involves sincerely caring about our clients, ensuring they are serviced to our exacting standards. You will be building and maintaining strong relationships and taking our clients through a journey from the moment they engage with us right through to their annual reviews, whilst meeting all their necessary compliance needs. You will be providing support and value on a large scale. We have fantastic clients and they deserve the best.This role is about providing support to the Financial Adviser and CEO in the administration and end-to-end management of our clients wealth strategies, You will be their go-to personal for financial planning research, applications and on-going servicing. This is about ensuring our clients have their wealth protected and are able to retire comfortably. Here at MEDIQ - we are a young, fast growing business. Hence this is a ground floor opportunity to be part of something seriously special, life changing and unique. This is more like a mission than a job, and a once in ten life times opportunity rather than a career stepping stone, so if you are looking for the highest salary for 'time effort' spent then look somewhere else. However, rarely is there an opportunity to be at the ground floor of a business with plans for national expansion and the potential for success that may provide.If you are the kind of person that likes counting down the hours until you finish work, or youre just waiting around for the weekend, then DONT read any further. There are no B players in our squad and in a team of A players there is nowhere to hide.This is your chance to be part of something amazing and to put your stamp on destiny. Our team is the ultimate in professional, but fun.In this squad, your work week will make you want your weekends to go faster because you will be fulfilled, valued, and a part of something outstanding.Your friends will think you are crazy because all you do is talk about how freaking cool your new job is.You will be surrounded by passionate and excited people; your dream A team.You are not a disposable commodity; you are valuable and we will appreciate you!Being on an A team doesn't mean you know everything; in fact if you think you know everything, also DONT read any further.","Your obsession will be with managing all the aspects of our Financial Planning division. You will be a natural for ensuring everything is organised and chaos is unacceptable. You are always two steps ahead and you love being in control. You will love asking questions, researching, finding out about people and figuring out ways to give the absolute best outcomes in simple and effective ways. You have a love/hate relationship with Xplan - but secretly you know its the best thing out there. You will be beaming with experience and best practice and can't wait to show us how you have previously 'wowed' clients with the quality of your work and communication skills. But make sure that what you wish for is actually what you want because we hold each other accountable. We have high standards and you are going to be mentored and pushed to move beyond your own fears and roadblocks to be a better version of yourself. That doesn't mean we require perfection, that isn't real, you will be expected to have the courage to make mistakes, and to learn from them.","Its a crazy fulfilling mind blowing team to be a part of, however beware, when you come to Planet MEDIQ you will enter what we call The Time Vortex, where a day feels like a week because you lived a normal week in a day and achieved more than you would in two!There is no business like ours in the world, we are growing fast and have big plans, so this is your chance to invent with us as we go. To us the experience is EVERYTHING. We are going to make Australia stand up and take notice of how business should be. If you are the right person, then these last sentences were the clincher for you; what you just felt is your future in YOUR hands.To find out about us go to our business site:  #URL_1b400dde63e82c506897dd888cb632514feb751d86b497c831ee560e61bfde45#  and Google us to find out more about the awards we win and why we do what we do.We dont create pigeon holes and then stuff you in them. At our place, the saying ""its not my job"" doesnt exist. We are building something special and we do it together!Team and culture is more important to us than a piece of paper with 'whatever' written on it. Dont get us wrong, you do need skills, but we know the true value of a person lies not in that piece of paper but in their character, their integrity, and their passion for what they believe in.Ok, back to business...Your pay structure will be determined based on your skill set, qualifications and basically, what you can bring to the table. This can only be determined in consultation and negotiation with you.This is a full-time or permanent part-time position, and is based in our spacious offices on St Kilda Road, Melbourne.",0,1,0,Full-time,Associate,Bachelor's Degree,Financial Services,Finance,0
8812,Van Foreman / Driver - Class A,"US, IL, Peoria",Transportation,40000-50000,Federal has been in business for over 100 years and is an original Charter Agent for Allied Van Lines. We believe our drivers are our best asset and offer advancement opportunities.Federal is looking to hire individuals that want to develop a professional skill set and operate in an environment where excellence and safety are recognized. Our drivers average 10+ years on the job and are committed to their communities through service and volunteerism. ,"DRIVER CAREER OPPORTUNITIES Federal is now hiring Class A drivers in all of our locations (Peoria, Waukegan, Champaign, St. Louis). We offer excellent pay, benefits, newer equipment and the necessary training to help you be successful in your career! Federal has been in business for over 100 years and is an original Charter Agent for Allied Van Lines. We believe our drivers are our best asset and offer advancement opportunities. Federal is looking to hire individuals that want to develop a professional skill set and operate in an environment where excellence and safety are recognized. Our drivers average 10+ years on the job and are committed to their communities through service and volunteerism.",JOB REQUIREMENTS• Must be at least 21 years of age• Must have a valid CDL• No DUI within the last six years• No misdemeanor convictions within the last three years• No felony convictions within the last eight years• Ability to successfully pass a drug test and a DOT physical,All drivers receive Federal Companies' benefit package including:• Training• Late Model Equipment• Mobility Opportunity• Career Advancement• 401K Retirement Plan• Profit Sharing• Paid Holidays• Paid Vacations• Health Insurance Plan• Life Insurance Plan,0,1,0,Full-time,Not Applicable,Unspecified,Transportation/Trucking/Railroad,Other,0
3948,Project Manager: Engineer,"US, CA, Bakersfield",Oil & Energy,,"Process Unlimited and Refined Resources have partnered up in an effort to streamline the hiring process and provide a more efficient and effective recruitng model.  Our focus is to help develop and achieve your career goals while makeing a solid geographical, cultural and professional fiit when leveraging your career into your new and exciting professional venture!Please direct all communications to the HR Department within Refined Resources (#URL_481fc4262f0c07ace903481f1e036034d0bf128e0a91a2313344f34a26bca732#a).  #EMAIL_0b13a2cfd4718ce252c09b2353d692a73bd32552e922c5db6cad5fb7e9a2c6c3#Darren Lawson | VP of Recruiting | #EMAIL_395225df8eed70288fc67310349d63d49d5f2ca6bc14dbb5dcbf9296069ad88c# | #PHONE_70128aad0c118273b0c2198a08d528591b932924e165b6a8d1272a6f9e2763d1#","We are a full-service engineering, project management, design and safety regulatory firm providing a wide array of services to support our client's specific project needs.Essential Duties and Responsibilities:* Develop budget and schedule in alignment with the scope.* Able to understand and comprehend the major process steps involved in the project.* Adequate knowledge in project deliverables like Process Flow Diagram, PID, Area classification drawing, electrical one line drawing, etc.* Able to develop the project execution plan.* Interface with the client and develop rapport.* Request appropriate resources to execute the project work.* Manage the project personnel.* Effective communication skills - with the client and inter discipline personnel within the company.* Project tracking/monitoring - budget and schedule with the help of project control personnel.* Perform engineering calculations to support the project* Follow and implement standard project procedures per ProU Project Procedure Manual* Ability to relate project drawings with field construction.* Soft skills to manage multidiscipline technical staff and promote team spirit to execute the project successfully.* Ability to control the quality of project deliverables.","Qualifications:Managed small to mid-size engineering projects.10 to 15+ years experience as a Mechanical, Chemical, or Process Engineer required.Five+ years experience as a Project Manager/Project Lead required.Oil &amp; Gas Upstream experience involving production surface facilities &amp; treatment (May have gas process plant experience).Familiarity with process industry standards and applicable codes like ASME, API, ANSI, NACE, etc.Must possess a minimum of a Bachelor's Degree in Mechanical or other Engineering field from an accredited university. Experience Preferred:PE registration in California preferred.PMP registration a plus.","What is Offered:* Clean, safe, enjoyable environment to work in with a great company culture that values work/life balance.* Competitive base rate + Incentives + Full Benefits Package + Retirement 401K 100% matched by company.* Relocation Assistance. * Signing Bonus.* Opportunity for Advancement.* Trips, outings, rafting trips, lunches, client appreciation get-togethers, company parties, great people, great culture!",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Oil & Energy,Project Management,1
10466,Project Coordinator,"CA, ON, Burlington",,,"Founded in 1974 in Canada, and in 1985 in the U.S. as a specialty engineering and contracting company, Landmark works throughout North America, with principal offices in Dallas/Fort Worth, Toronto, and Chicago.  We are committed to growth through leveraging our core competencies in planning, engineering and construction excellence, cooperative project execution, and client service with expansion into new markets involving water, energy, and the environment.","The position of Project Coordinator will perform the technical and support functions for design/build, self-performance construction projects. The Project Coordinator will work under the direction of the project management leadership to perform tasks related to various functions of project management coordination including contract administration, procurement coordination, resource scheduling, and client communication.Key Result Areas:Accountable for the execution of project management functions as directed by the Sr. Project Manager; Perform duties within the procedures established for project management.Demonstrate progressive ability within project management competencies; Demonstrate increased proficiency in contract administration; Review scopes of work for performance for accuracy; Develop client management and relationship building skills. Learn risk identification and mitigation strategy development; Identify resolutions to contract, project, execution, and client issues for review by Project Manager; Provide information for budget development; Subcontractor coordination; Negotiation and change order facilitation. Collaborate with applicable construction operations to ensure flow of information and ability to plan for construction resources to successfully execute construction activities; Work with engineering and other technical areas soliciting support from applicable subject matter experts as needed.Demonstrate, by example, behaviors in alignment with Landmarks Mission, Vision, and Values in support of organization short and long-term objectives; Model organizational values; Set standard for behavior congruent with company ethics, morals, and expectations; Demonstrate character of integrity in day to day tasks; Contribute to and support the companys strategic plan, goals and ideas communicating the core values of the Company through leadership and example.The Statements herein are intended to describe the general nature and levels of work performed by employees, but are not a complete list of responsibilities, duties and skills required of personnel so classified. Furthermore, they do not establish a contract for employment and are subject to change at the discretion of the employer.","To perform this job successfully, an individual must be able to perform each essential duty satisfactorily. The requirements listed below are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions.Required Qualifications:Bachelors degree in Construction Science, Engineering, Business, or comparable field of study. Exposure to project management functions in a construction industry involving self-performance of construction worksEffective written and verbal communication skills. 2 to 5 years of similar experience of progressive responsibility. Training and/or exposure to contracts for construction.",,0,1,1,Full-time,Associate,Bachelor's Degree,Construction,Project Management,0
4084,Accounting Assistant,"AU, NSW, Sydney",Accounting,,,"We know your career is among the most important things in your life. Our company is currently seeking a Assistant Accountant.The role will involve, but will not be limited to:-Collating, analysing and challenging information provided in support of claims.-Undertake financial analysis for quantification of damages/losses and extrapolation of data.-Client liaison and provision of expert advice.-Communication and liaison with insurance companies and to external clients. Work times:Monday to Friday.The first you will have a trial period. Possible training.This is the perfect opportunity for a technically minded accountant eager to step into a rapidly growing organisation, and play a pivotal part in their expansion plans.Please send your resume with your contact-email to #EMAIL_00e35a10817c0d8e20fb7b138204884f53bb960cf21350f2232d5cb7cd8ff070#","The successful candidate will have highly developed administration skills, excellent attention to detail and the ability to organise and prioritise tasks effectively. Ideally you will come from a shipping or supply chain and have experience working in a fast paced environment. Strong verbal and written communication skills are a must as you will be dealing with key internal and external stakeholders. High level of computer literacy and strong knowledge and experience using MS Excel and MS Word is highly desirable.",,0,0,0,,,,,,0
7810,English Teacher Abroad (Conversational),"US, TX, Lubbock",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#We are looking for friendly people. If you do not plan to take part in a 3-5 minute interview, kindly do not waste your time applying :-)","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferredCanada/US passport holders only",See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
2795,Web & User Experience Designer,"GR, I, Maroussi",,,"Likno Software is a software development company based in Athens, Greece.Since the year 2000, Likno Software has provided the international community of web developers several desktop applications for their website design needs (AllWebMenus, Likno Web Modal Windows Builder, Likno Web Scroller Builder, etc.) and established itself as an expert in web technologies such as JavaScript, CSS, jQuery, AJAX, etc. Mission statement We aim to offer innovative software and services that stand by the real meaning of user friendliness. Staff &amp; Technology Likno Software is using cutting-edge technology and is up-to-date with all recent evolutions and developments in software technology. Our staff members carry a long experience in software development and e-business technologies, receive constant training and are always eager to apply their novel ideas to our products and services. Location/ContactsOur address is: Likno Software 52 D. Ralli Str.  Maroussi 15124 Athens GreeceFor Business enquiries you can contact our Sales Department at .For Technical Support enquiries please read here. Join our team!Interested in being part of a fast-growing, challenge-seeking, motivated and results-oriented team? Check out all available job opportunities, send us your CV, and we will gladly consider you as a potential member of our team! What does ""Likno"" stand for?""Likno"" is an ancient Greek word meaning ""Cradle"". It signifies the fresh and innovative attitude we share about software development and technology.","Are you a talented Web &amp; User Experience Designer?Would you love to be the *design guru* of our software applications and websites that serve thousands of other Web Designers like you, internationally?If yes and you are passionate about the latest web technologies, join our team! A team with a flat hierarchy, a creative environment and a nice place to work at (Maroussi, Greece).Note: We are mostly looking for a *part-time* commitment (4 hours/day), in-house (freelancers are welcomed).Apply for this job through WorkableHR/LinkedIn OR email us at #EMAIL_8fbe35062e5ce1612572cf3ba2d2b5d661c8ad2684b4c1fd74440a8d798f6fcb#.",#NAME?,#NAME?,0,1,0,,,,,,0
17444,Lead paint remover worker/Supervisor,"US, CT, ",,,"Elite Environmental Group LLC. Provides staffing services and temporary employment opportunities for a wide range of clients, from business owners in search of employees, to candidates in search of employment in the Environmental, and Construction industry.","Identify, remove, pack, transport, or dispose of hazardous materials, including asbestos, lead-based paint, waste oil, fuel, transmission fluid, radioactive materials, or contaminated soil. Specialized training and certification in hazardous materials handling or a confined entry permit are generally required. May operate earth-moving equipment or trucks.","Comply with prescribed safety procedures or federal laws regulating waste disposal methods.Remove lead from surfaces, using hand or power tools such as scrapers, vacuums, or high-pressure sprayers.Record numbers of containers stored at disposal sites, specifying amounts or types of equipment or waste disposed.Apply chemical compounds to lead-based paint, allow compounds to dry, then scrape the hazardous material into containers for removal and/or storage.Identify lead, or other hazardous materials to be removed, using monitoring devices.Operate machines or equipment to remove, package, store, or transport loads of waste materials.Organize or track the locations of hazardous items in landfills.Clean contaminated equipment or areas for re-use, using detergents or solvents, sandblasters, filter pumps, or steam cleaners.Construct scaffolding or build containment areas prior to beginning abatement or decontamination work.Process e-waste, such as computer components containing lead or mercury.Remove or limit contamination following emergencies involving hazardous substances.Sort specialized hazardous waste at landfills or disposal centers, following proper disposal procedures.",,0,1,1,Contract,,,Environmental Services,,0
4939,Marketing and Sales Assistant,"GB, LIV, ",Sales,,,"R Baker (Electrical) LtdJob: Marketing and Sales Assistant Hours: Full time (37.5 hours) Contract: Full time with a 3 month probationDescription:  The Company has a vacancy for a position in its Sales / Marketing Team, reporting to the Business Manager. You will support and manage a range of in-house sales activities and develop the sales database alongside winning new clients.This is an opportunity to work for a successful electrical manufacturing company working with many high profile clients including the MoD and international glass companies. The successful candidate will be working with like-minded professionals in a growing business. ResponsibilitiesWorking with the Business Manager to administer marketing information.Develop marketing campaigns.Manage sale activities. Arrange sales appointments for Business Manager.Assist with customer database.Produce monthly email marketing campaign.Follow up quotes by email and phone.Attend network meetings.Assist with market research and cold calling prospects.Assisting with basic enquiries.Candidates must be able to pick things up quickly, use their initiative and be good problem solvers. They will be expected to assist in other areas of the business where required. ","Key requirements This role will require you to have a good telephone manner and be happy to make cold calls.Degree or HNC level qualifications desirable.Excellent administration skills.Good spelling and grammar is a must.Have ability to plan, organise and co-ordinate activities.Highly competent with Microsoft Word, Excel and Outlook.Strong inter-personal and communication skills are essential. The ability to handle sensitive and confidential information in an appropriate manner is vital for this position.",,1,0,1,,,,,,0
13549,Experienced Banquet Servers,"US, VA, Richmond",,,,"Established staffing firm needs experienced on-call banquet servers for clients including country clubs, resorts, historic venues and caterers.Additionally, we have a banquet server training that all hires participate in and is very valuable. Pay is competitive ",,,0,0,0,,,,,,0
1410,JavaScript Developer,"DE, BE, Berlin",0,36000-50000,,"Vaultoro is a startup based in Berlin Germany. We are currently looking for a talented developer to join the team.We are a young energetic team developing an online trading platform and need an experienced node/javascript developer whose task is not only to program amazing code but also give creative solutions, ideas and input.The ideal candidate is an accomplished developer with a good understanding of front-end integration. Experience mentoring other developers and front-end coders is a distinct advantage.This is position is a full-time position in Berlin, Germany. Do not apply if you do not live here or not willing to move to Berlin.","RequirementsA minimum of 3 years of professional web application developmentExpert programming skills, including experience with Javascript, and application development for the webExpert analysis, troubleshooting, and debugging skillsExpert knowledge of computer science fundamentals, including algorithms, data structures and design patternsSolid understanding of web-related technologies (e.g. HTML / XHTML, HTTP, SOAP, REST, TCP / IP, DNS, Message Queuing)Experience working with modern databases (MongoDB)Experience working in a team environment on multiple projectsWe expectExcellent verbal and written communication skillsWilling to put long hours in when neededResponsibility for meeting and contributing to key project milestones.Dedication and enthusiasmInterest in new web technologies",A friendly work environment with young and open minded peopleSmall team willing to listen to suggestions and ideasFlexible working hoursAn amazing creative office space in Berlin (house with garden)Unlimited coffee supply,0,0,0,Full-time,Not Applicable,Bachelor's Degree,Financial Services,Engineering,0
16671,Senior Field Representative,"US, KS, Overland Park",20,,"Established in 1984, Geotechnology, Inc. is a highly respected, professional engineering and environmental services corporation. We have experience working on a broad range of projects in applied earth and environmental sciences, geotechnical engineering, construction materials testing and non-destructive testing, geophysical surveys, drilling and construction observation. Our over 150 employees call Missouri, Kansas, Illinois, and Tennessee home; we are proud to work in and support the communities in which we live with resources and capabilities comparable to much larger national firms. While we are a well-known resource in the Midwest and Mid-South regions we are able and do, provide our services nationwide.","Under the designated supervisor, performs routine to difficult field tests, observations and measurements on private and government projects. May supervise, direct or instruct other field representatives in procedures. Coordinates with various staff professionals. Conducts business development activities and prepares materials testing proposals.MAJOR DUTIES &amp; RESPONSIBILITIES:SupervisoryMay supervise field representatives and make assignments.Reviews work of subordinates for conformity to company practices and standards.Training/MentoringMust possess the traits to promote good working relationships with fellow employees, supervisors and clients.Must have ability to train and motivate subordinates.Must be alert to new procedures and methods, and be able to train new field representatives in these procedures/methods.Client RelationsMust be able to communicate with contractor or client personnel and have the ability to operate calmly under pressure.Interacts with clients field representatives to report results and conclusions.Contacts clients regarding materials testing opportunities.Tracks and pursues construction contracts that include materials testing.Prepares proposals for materials testing projects.GeneralMay act as a special inspector as required by building codes on more complex jobs.Assists with geophysical exploration.Makes field reconnaissance of sites and takes photographs of field operations as required.Performs field surveying on complex projects and assists with field engineering activities.Performs field quality control tests on plastic concrete.Performs field density testing of soil, asphalt and aggregate.Performs routine laboratory testing of soil, aggregate, asphalt, concrete and other construction materials.Observes non-destructive tests on welded and bolted connections when certification is not required.Observes pile driving, shoring and tieback, and underpinning projects.Observes placement of fill and proofrolling of subgrades requiring visual verification.Verifies foundation subgrade conditions on routine projects.Prepares written daily field observation reports and summary reports.Prepares memos for review by staff professionals.Performs other duties as requested.","MINIMUM EDUCATION: High school diploma or equivalentCERTIFICATION/LICENSING: Nuclear Safety Certified; ACI Level I and II or NICET Level I, II and III or ICC Special Inspector or KDOT Certified or equivalent.SPECIFICATION SUMMARY: Must be proficient in most types of field testing used in geotechnical engineering and construction materials testing. Ability to follow instruction and perform routine to complex field tests. Ability to pass physical exam.SPECIFIC EXPERIENCE, KNOWLEDGE, AND SKILLS: Eight plus years experience required in similar field-related activities. Must have:Proficiency in conducting relevant field tests.Ability to reduce and interpret test data, prepare testing reports and make oral presentations to staff professionals and clients.Advanced familiarity with characteristics of applicable materials.Awareness of loss prevention techniques as they apply to field endeavors.Ability to read and understand project plans and specifications.Ability to supervise representatives and work with many staff professionals and clients.Ability to develop testing procedures and/or quality control plans.KDOT Certifications",,0,0,1,Full-time,Mid-Senior level,Certification,Environmental Services,Engineering,0
7602,Sr Software Engineer,"US, CA, Mountain View",Engineering,,"RealScout is SalesForce + Zillow for the $50B residential real estate sales industry. The platform allows Realtors® to collaborate with their clients around home search. RealScout is the only website that allows home buyers to browse photos of important features for active listings.See more at #URL_703b3b552da59fc3c9c8282636927c335da79351a533b002d2ee7b1b3601a132#.Realtors® can manage their pipeline using our lightweight CRM while engaging their clients with our full-featured search technology and natural language email alerts.FundingWe are backed by prominent VCs and angel investors including DCM, Joe Lonsdale at Formation 8 and Ken DeLeon, the number one Realtor® in the US.","Looking for a fast-pace and high impact role at a growing company? RealScout is looking for Senior Engineers to help create the future of Real Estate software. We are located in Mountain View, CA, Series A Funded, and are preparing to take our company to a national scale. At RealScout we're thinking beyond CRMs and search sites to build business intelligence and predictive analysis for the Real Estate community. We believe that real estate software shouldn't be split between the homebuyer and real estate agent experience, but should be a platform where all parties are working collaboratively.We've got an awesome team working to take our product to the national level, and we're looking for Senior Engineers to help us get there. ","Built/worked on large commercial web applicationsGood working knowledge in at least one object oriented web framework - Rails, #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#, or Python preferredA firm grasp of multi-threading, database contention, and object-oriented design Experience with Big Data and NoSQL solutions like Solr, ElasticSearch and HadoopAbility to thrive in a small, fast paced startup environment",Big impact at early stage startupFull benefits  &amp; flexible vacation policyFree lunch &amp; dinnerGym membershipOffice masseuseLaundry and dry cleaning pickupHome brewed beer that's beautifully labeled and occasionally drinkable,0,1,0,Full-time,Mid-Senior level,,Investment Banking,Engineering,0
1060,Android framework Developer in CA,"US, CA, Sunnyvale",IT,,,"Expert in Android ProgrammingGood understanding of Android framework with experience of Android Framework development work Some understanding of Android internals (power mgmt, graphics) a big plusGood understanding in Java, C/C++ experience is essential",,,0,0,0,Contract,,,,Information Technology,0
227,English Teacher Abroad ,"US, CA, Claremont",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
4881,Customer Service Associate ,"US, MD, Baltimore",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Baltimore, MD. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy ",,0,1,0,Full-time,Entry level,High School or equivalent,Insurance,Customer Service,0
13537,Business Operations Analyst,"US, CA, San Francisco",Operations,,"At HoneyBook were re-imagining the events industry and building a product that is already changing the world for some of the top event planning celebrities in the nation. Were a well-funded and growing team of 20, passionate about creating the happiest customers in the world. Inevitably this involves a lot of champagne and macaroons, but its always our gorgeously designed product that generates the most smiles.","What You Will Do We're looking for someone who will define and build our analytics process for HoneyBook from the ground up, and move between teams to ensure we're capturing and using the right data in the right places. You'll inform, influence, support, and execute our business decisions and product launches. Your goal will be to collaborate with the team leaders of our product, customer experience, sales and dev teams to create a culture of data-supported decision making. From finding insights into our customer behavior, to supporting product roadmap decisions, to identifying fundamental process improvements in our sales team, your role plays a key part in defining both our strategy and our execution.You are strong in quantitative analysis, enjoy coding but also want to balance that with your interest in business and strategy. You think critically to tackle complex challenges, thrive in a fast-paced environment and are seeking a high-growth opportunity where you will have an immediate impact on day one. You are a strong communicators who is eager to learn, endlessly curious, take pride in hard work and are committed to rapidly advancing your career.","Experience doing quantitative analysis, desire to learn even more! BA/BS in Computer Science, Math, Physics, Applied Economics, Statistics or other technical field. Advanced degrees preferred.Expertise in popular analytics packages, such as Google Analytics, #URL_48c8e248f7ad35fdccda4a20a3f3f3951f2624a277ba771de21dc8cb3ad211d0#, Mixpanel, RJ Metrics, and Excel. Fluency in SQL (we use Node SQL) or other programming languages. Some development experience in at least one scripting language (PHP, Python, Perl, etc.) is a plusAbility to initiate and drive projects to completion with minimal guidanceThe ability to communicate the results of analyses in a clear and effective mannerBasic understanding of statistical analysis.Preferred experience with a statistical package such as R, MATLAB, SPSS, SAS, Stata, etc.Preferred experience with an Internet-based company.",,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Business Analyst,0
14877,Engineer x5,"EE, 37, Tallinn",Development,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"TransferWho?TransferWise is the clever new way to move money between countries. Co-founded by Skypes first employee and backed by some of planet's most experienced innovators, including Sir Richard Branson and PayPal founder Peter Thiel, we're disrupting the world of currency &amp; international money transfer. That means flipping a gazillion dollar industry on its head and taking power away from banks and the establishment.Located in one of Tallinns start-up and design hubs, were growing at an extraordinary rate and looking for exceptional talent to jump on board.Were currently 100 people strong and handling more millions in customers money every day.TransferWise is a 100% boredom-free zone. If youre looking for a stuffy financial company, the back button beckons, if you want to be part of something innovative and truly exciting, read on.So, whats the role?Were looking for a programmer rock-star, in their element building a modern financial product from the ground up. Someone to nurture an agile development process, develop cutting-edge software, solve challenging problems and, more importantly, help avoid them!Youll get a chance to write code that helps millions of people save money, and push the button on big releases. Faultless and reliable code is the name of the game as youll be writing it for a product that deals with transferring millions a day  no pressure. You have tests for it, right?You love optimisation and self-learning new algorithms and you feel right at home in a fast-growing international development team.The role is truly cross functional, with lots of diverse projects to work on and a great opportunity to shine like the star that you are.","What skills do I need?At least few years of developer experience with OO language (C++, Java, C# etc)High coding standards (TDD, Clean Code)University degree in a technical subject, preferably computer scienceEnglish as a working languageAlways a plus:Solid understanding of data structures and algorithmsStrong communication skillsExperience in performance tuning and/or frontend skills","Did someone say benefits?Apart from all-expenses-paid company days twice a year, stock options in one of Europes most hotly-tipped startups, a sexy laptop of your choice and team lunches, you wont get much in the way of extras.However, we will give you 28 days holiday a year, a fun, friendly atmosphere, plenty of opportunities to grow and the chance to be part of our little revolution. Oh, and coffee, theres plenty of coffee.#TLL",0,1,0,,,,,,0
111,Customer Services Associate - Data Entry ,"US, CT, Windsor",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking Customer Service Associate  Data Entry/ Document Indexing to sit in our Windsor CT location.  The right candidate will be an integral part of our talented team, supporting our continued growth.   Responsibilities include, but are not limited to:Review and process confidential and extremely time-sensitive applicationsIdentify objective data and enter (""key what you see"") at a high level of productivity and accuracyPerform data entry task from a paper and/or document imageUtilize system functions to perform data look-up and validationHigh volume sorting, analyzing, indexing, of insurance, legal and financial documentsMaintain high degree of quality control and validation of the completed workIdentify, classify, and sort documents electronicallyUpdate computer records on multiple local databasesLocate, retrieve, maintain, organize and assist users Retrieve and/or archive documentsEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports and logsEnsure the highest level of customer careEnsure adherence to business guidelines, safety and security proceduresSupport financial results by minimizing site waste and reworkAbility to cross-train to other functional areas","Required Qualifications:Previous data entry experienceProficient keyboarding skills required with high accuracy levels (error free) Ability to effectively work individually or a team environment. Ability to handle multiple projects simultaneouslyAbility to adapt to changing fast-growing production environmentAbility to communicate both verbally and written with customers and company personnelStrong organizational and administrative skillsAbility to meet employer's attendance policyWork experience in email and Microsoft Windows environments is requiredMay be required to lift items weighing up to 50 pounds maximum allowed by current State Law with or without accommodations or the Must be able to sit, stand, and/or walk for long periods of time with or without accommodationsHigh School Diploma or equivalent (GED) required",,0,1,0,Full-time,Entry level,High School or equivalent,Hospital & Health Care,Customer Service,0
4131,Principal Product Applications Engineer ( FCoE ),US,,,"Roland &amp; Associates is a Corporate Recruitment Organization providing solutions to Global MNCs for the past 12 years.We specialize in High end Recruitments in IT, Telecom, Embedded, Chip Design and Non-IT (Manufacturing, Engineering, Oil &amp; Gas, Infrastructure, BFSI,FMCG,Automobile,Aeronautics, Pharmaceutical, Healthcare and Life-Sciences and Business Advisory) domains.We have a strong team of more than 100 employees operating from multiple offices in Bangalore located on HAL Airport Road.Visit us also at #URL_1722ee2d0bc47c66058101f0a811deb4a0991ebf80d1cadd752ef83c41ebfd15# , #URL_1bf25f25493e97bdc8354cb65991bb196949302fd5331c01b896c04df7c80783# , #URL_387f3642127667d307b8bfb226e4fb0d52ab6c3140cc7d544b8027e21c4fc3a5#",You will interface with both customers and our client's Field Applications Engineers supporting pre-sales activity and ensuring the successful launch of the finished products. Fundamentally your role is to help secure customer design wins and assist customers in bringing their products to market rapidly and successfully. You will contribute directly to the success of the products and customers that you support,"Job Role : Principal Product Applications Engineer -  FCoEJob Type : Full time/ PermanentExperience : BS plus 12 years, MS plus 9 years or PhD plus 6 yearsJob Location : California - IrvineEducation : Bachelor's DegreeRequired skills:Should have experience or knowledge in most of the following areas:- Applications Engineering : Direct customer interaction and involvement ,Project or time management skills,Ability to work on multiple tasks concurrently.- Hardware/software/firmware bring-up : Training of customers and/or FAEs Architecture and Low Level Hardware/Software.- Strong working knowledge of MACsec/FCoE is required.- Strong working knowledge of high-speed (10Gbps+) phy and SerDes.- Experience with Ethernet system and standard is preferred.- Register level programming of complex devices Practical knowledge of C, C++, and Visual Basic is needed to modify/create simple function/script.Note: For H1B Visa Candidate, US Citizens, Green Card Holders and/or Valid EAD only.Interested professionals,please share your updated resume to #EMAIL_3acde4fc16546e0d5330b0fb787ad6ae35c6f3691e4f523833db5fa0442e0f66#",Base Salary : Best in IndustryBenefits : Full,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Semiconductors,,0
6937,English Teacher Abroad,"US, AZ, Pheonix",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
13058,"Software Architect - C# Java SOA VB TSQL UNIX SQL - Albany, NY","US, NY, Albany",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Software Architect is required having responsibility to define the overall architectural direction of the CVX solution as it relates to new software modules and enhancements to existing code. Where possible, create solutions that integrate and leverage the infrastructure currently within the organization.Job Responsibilities: Define the overall architectural direction of the CVX solution as it relates to new software modules and enhancements to existing code. Where possible, create solutions that integrate and leverage the infrastructure currently within the organization.Participate in analysis and evaluation of industry best practices and emerging technologies to determine feasibility and desirability of incorporating other capabilities within CVX.Work closely with business owners to clarify project scope, business requirements and functional specifications, including providing and evaluating alternative options where appropriate.Serve as technical lead for requirements analysis, design definition and development activities related to core architectural and software components of CVX. Work with product development team to develop applications that ensure client satisfaction with the CVX product.Define and approve application standards and specifications; provide oversight for definition of product content and ensure that developers are informed of client and IT requirements and policies.Provide guidance to staff on CVX software development and maintenance.Handle complications arising from the development of new software.Communicate conceptual ideas and design rationale to Sr. Staff and peers (both technical and non-technical) to invoke discussion and acceptance of ways to drive efficiencies within CVX.Ensure the overall integrity of the CVX software architecture.Work with internal associates to identify business challenges and deliver solutions for identified opportunities.Develop work plans for projects as assigned.Assist in training new associates both within department and within business units.Complete all required documentation to meet department standards.Serve as project leader when needed.On call availability as required.Adhere to privacy and confidential and proprietary company policies and procedures (i.e. HIPAA).Participate in any/all training and educational activities necessary to fulfill at least the minimum requirements as specified within .departmental goals. This is in addition to, the completion of any activities necessary for the maintenance of professional affiliations or organizational requirements.As required by changing business needs, complete additional responsibilities as assigned.","Eight or more years of application architecture and/or system analysis and development experience.Experience with PCs and LAN systems recommended.Software experience required: C#, Java, Visual Basic, TSQLCandidate must demonstrate experience in delivery of complex systems in a wide range of technologies and must have experience with Windows NT, UNIX, SQL, DB2, .Net, SOA, Web Services, Highly Scalable Architecture and systems.Ability to independently translate business requirements analysis into technical design specifications and development.Experience with full software lifecycle (requirements, analysis, design, construction and testing).Familiarity with application architecture components.Must be conversant in current industry architectural standards and best practices and able to drive technical/architectural vision.Insurance/Retail experience a plus.Educational Qualifications:4-year degree in Computer Science or equivalent experience.We Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible.Visit : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,0,0,0,Full-time,,,Information Technology and Services,,0
13396,Super Marketing Specialist,"CA, ON, Toronto",,,,"“What do you want to be when you grow up?” your dad asked.“Marine biologist? Astronaut? Doctor?”“No! I want to be a badass marketer when I grow up!” you exclaimed.If that sounds like you, then we have a perfect position for you! #URL_908cf5c389afa79f92921364ed72c8bf8c502a7836d201150ca6695ce5aee469# is looking for a marketing genius to join our team. You will be working closely with the CEO to brainstorm, plan, and execute marketing plans and grow our business.What you will do:1. Plan, organize, and execute marketing plans2. Competitive Analysis3. Copywriting4. Public Relation and social outreach5. Research and discover marketing venues6. Anything marketing goes and more7. Manage our social media accounts","1. You have a killer marketing instinct2. You know how to sell3. You understand human psychology4. Marketing background from university5. SWOT analysis6. PORTER 5 Forces7. Excellent English ability  written and oral8. Doer and superb organizer9. Know, understand, and love technology!10. Love to tweet/blogSince this is marketing position, please show us what you got in your cover letter. You need to be able to market yourself first before you could market anything else.P.S. we are a big fan of Don Draper (Google Mad Men)",,0,0,0,Full-time,Mid-Senior level,Unspecified,Information Technology and Services,Marketing,0
11119,"Home Health CNA-Groveland, ID","US, ID, Groveland",,,"Critical Nurse Staffing, Inc. is enrolled with the U.S Department of Labor to provide nursing care to the former defense workers now receiving benefits through the RECA and EEOICP programs.We are proud to provide services to Defense Industry Workers covered under the Federal EEOICP and RECA programs, as well as recipients of the Federal Black Lung Benefits Program.We proudly provide care for the following patient groups:Uranium Miners, Millers and TransportersNuclear Weapons Plant Employees or ContractorsFormer Coal MinersOur service offers an integrated team of personal case managers, nurses, respiratory therapists and home aides. Our services focus on addressing the full range of a clients needs, including a clients medical condition, the clients comfort, emotional well-being, independence, functionality as well as nutritional and psychosocial needs.","Critical Nurse Staffing, Inc. is seeking a CNA to join our team and provide comprehensive care to our patients in Groveland, ID. The role of the CNA in this position will be to work closely with patients and to provide basic care services.The applicant should have an outgoing personality, the ability to communicate effectively, multi- task, remain calm in stressful situations, and be able to give patients the essential social and emotional support, along with providing vital information on patient conditions to nurses.Qualification for this position include a current and valid CNA certificate.A resume must be attached in order to be considered for this position. Please submit your resume and apply for this position on our website at #URL_c8b47bbcf78a49b7998350b58cc78cd45ee2677e96a68666a0f1cdded5ccaf77#",,,0,1,0,,,,,,0
12603,Web method Developer,"IN, MH, Pune",,,"Maxnet offers Staff Augmentation Solutions for Big Data Analytics in Retail, Healthcare and Regulatory &amp; Compliance.We offer Staff Augmentation solutions for Business Intelligence, Data Analyst, Business Analyst, Quality Analyst, .NET Application Developers.We serve you with Reliability, Honesty &amp; Integrity.Our Recruiters are trained to suggest “best fits” for our client needs.Our solutions carry in-depth understanding of Client Needs with regards to Skills, Knowledge, Experience, Corporate Attitude.Our Consultant Database is strong and built with the right insights to serve quick and accurate solutions.",The Web Method position will typically oversee (Manage) and execute the needs of the various data source integrating with the database (DB). Develop the required front end among other needs. The chosen candidate will be a part of the team that will be the designing landscape for Web Methods platform as a corporate master data hub for Logistics.,"Responsibilities:1. Preparing Proposal and Configure POC (proof of concept) of Web Methods out of box functionality for business demo2. Conduct workshops to understand pain points, understand AS-IS, and collect requirements3. Facilitate workshops with business to do fit/gap analysis4. Blue print design for To-Be Process5. Convert position papers to functional design documents",,0,1,0,Full-time,Entry level,Bachelor's Degree,Retail,Information Technology,0
13453,"Home Health CNA- Yakima, WA","US, WA, Yakima",,,"Critical Nurse Staffing, Inc. is enrolled with the U.S Department of Labor to provide nursing care to the former defense workers now receiving benefits through the RECA and EEOICP programs.We are proud to provide services to Defense Industry Workers covered under the Federal EEOICP and RECA programs, as well as recipients of the Federal Black Lung Benefits Program.We proudly provide care for the following patient groups:Uranium Miners, Millers and TransportersNuclear Weapons Plant Employees or ContractorsFormer Coal MinersOur service offers an integrated team of personal case managers, nurses, respiratory therapists and home aides. Our services focus on addressing the full range of a clients needs, including a clients medical condition, the clients comfort, emotional well-being, independence, functionality as well as nutritional and psychosocial needs.","Critical Nurse Staffing, Inc. is looking for a caring and compassionate in-home health care CNA in the Yakima, Washington area that is interested in caring for elderly individuals. Qualified applicants must hold a valid CNA license and be able to provide a continuously appropriate, comprehensive and responsive plan of care for each patient.A resume must be attached in order to be considered for this position.Critical Nurse Staffing, Inc. is an equal opportunity employer, M/F/V/D and a drug free workplace.",,,0,1,0,,,,,,0
14739,Sale Representatives,"US, IL, Chicago",,,"Providing luxurious menswear such as suits, shirts, neckwear and accessories for the tailored gentleman. ","Sales Representative Job Purpose: To serve customers by selling products and meeting customer needs.Sales Representative Job Duties:Services existing customers, obtains orders, and establishes new customers by planning and organizing daily work schedule to call on existing or potential sales Submits orders by referring to price lists and product literature.Keeps management informed by submitting activity and results reports, such as daily call reports, weekly work plans, and monthly and annual territory analyses.Monitors competition by gathering current marketplace information on pricing, products, new products, delivery schedules, merchandising techniques, etc.Recommends changes in products, service, and policy by evaluating results and competitive developments.Resolves customer complaints by investigating problems; developing solutions; preparing reports; making recommendations to management.Maintains professional and technical knowledge by attending educational workshops; reviewing professional publications; establishing personal networks; participating in professional societies.Provides historical records by maintaining records on area and customer sales.Contributes to team effort by accomplishing related results as needed.",Our website is under construction. Take a moment to review the products we offer via Instagram (@alonzo_gregory). #URL_72ce1df7ac19ca874c46ac6dea942a643783ab5c589d68b84fcc6cc077ef810a# ,"GREAT COMMISSION ONLY TERMS- Total Sales Per Month (Commission Rate) - $1 to $49,999 - (12%) $50,000 to $74,999 - (14%)$75,000 to $124,999 - (16%) $125,000 or more - (18% + 3% Quarterly Bonus)",0,1,0,Full-time,Mid-Senior level,Unspecified,Retail,Sales,0
7353,Developer,"US, NY, New York",,,"BroadPeak Partners is a software development firm focused on integrating systems.  We started as a consultancy in 2006 working with large trading firms.Integration has always been a key part of our clients' needs, and a key consumer of their IT resources. Even at times with plenty of resources and budget, we found ourselves saying, ""There has to be a better way.  So that's what we did. We developed K3, a ""second generation"" enterprise integration platform and MxR, for cloud-based interface maintenance.When we think of outstanding we think of talented dedicated people with a massive appetite for learning something new and applying it.  We think of good communicators who are able to synthesize complicated ideas into action.  We are a rapidly growing company and, frankly, we don't have time for egos and internal politics.BroadPeak maintains a no-policy vacation policy.  We have a no-policy work schedule policy.  We just don't concern ourselves with what time you come or what time you go. Job success is based on accomplishment, not what time you show up every day.Frankly, this is not for everyone. But if you are like us, we'd love to hear from you.","BroadPeak Partners is looking for technology developers to work on its flagship product, K3.&nbsp; An enterprise software application design to connect enterprise systems, K3 has been specifically applied as a Trade and Price Data Router for financial firms.&nbsp;By using components to build interface plumbing and exposing maintenance functions to users via a clean GUI, K3 is adding massive value to trading firms.&nbsp; K3 was a finalist at TechCrunch Disrupt 2012 and is bringing the benefits of functional programming to large enterprises, typically C# and Java environments.K3&rsquo;s backbone is a mix of Java and Clojure along with a number of other frameworks.&nbsp; We are looking for people who know Java and especially anyone familiar with functional programming languages like Clojure and Lisp.&nbsp; If you understand financial trading, commodities in particular, that is a big plus.BroadPeak is a Software Development Company in New York City.&nbsp; We are an open, fun bunch of people who enjoy working with integrity and gear up for the challenge of building smart software solutions.&nbsp; We don&rsquo;t track vacations and hours&hellip;performance is all that matters.&nbsp; &nbsp;If you are passionate about software and like working hard to building software with cutting-edge technology, send us your resume: #EMAIL_b31917cb98a22be6a4b438735bfc8e7298fc9bf9fbaef8b0762b55eb2a78773f#",Knowledge of 2 out of 3 please:JavaClojureEnergy Trading,"Learn as much as you possibly can about building enterprise software for energy trading companies, while working in a fast growing, fun environment.Health benefits included.",0,0,1,,,,,,0
11896,Experienced PHP Developer,"GR, , Athens",,,"WDM have been in business for more than a decade, starting from a two-man operation to develop into a full-service agency doing a balancing act between concept, design and development. Our team comes from varied backgrounds and different places across Europe, and that variety enriches our output every day, making work both eventful and fun --be it databases or tablet ads, websites or mobile apps. Common threads in all of it are intellectual curiosity, love of new things, determination in front of a technical challenge  and above all the great pride we take in our work. We work in PHP and Drupal, and do a lot of custom work for clients -- where we try to keep a good balance between the big projects that pay the bills, and some smaller non-profit projects for organisations we like to support.","We are a Vienna-based software firm seeking to expand our small team in Athens with a developer with strong php and mysql skills. The ideal candidate would be tasked with:- developing and expanding communication, e-commerce and customer service systems for various clients (php, mysql) - developing and expanding our online market research and survey software (php, mysql) - developing and expanding our crm tool that incorporates newsletter, sms, charting and call center services (php, mysql) Good English is a must. We are looking for proven experience (reference projects) in php, mysql, sql, object- oriented programming plus basic knowledge of html, javascript, linux - webserver environments (optional: skills in java / jsp and/or drupal)",,"This is a flexible full time position on a freelance basis, working from home or in our office in downtown Athens, via skype or through occasional trips to our Vienna headquarters to meet with the rest of the team from across Europe. Looking to start in early July 2014, with a 6 month trial period. Salary: starting at 1500 eur, with a raise after the trial period.",0,1,0,Contract,,,Information Technology and Services,,0
5730,Ad Operations Manager ,"US, CA, El Segundo",Product Development,,"ConsumerTrack is a technology-centric internet marketing company built on a culture of excellence. We are the preferred digital advertiser for premium financial brands such as American Express, Discover, Allstate and Equifax. Through our 1600+ websites, we set the vision and the strategy for our clients to reach and connect with their target audience.At ConsumerTrack, we pride ourselves in having a team that exudes leadership, high initiative, creativity, and passion. By joining our team, you will have the opportunity to maximize your job performance and satisfaction with a company that is constantly growing.We are committed to recruit, retain, and promote employees with a diversity of backgrounds and life experiences. We believe such diversity fosters growth and innovation, ensuring we remain an industry leader.Were currently looking for energetic, driven and entrepreneurial trendsetters for all areas of the #URL_2a5cb7925ac0d5929dbe762cfc72b186318a2597921e82d05639bbb5978f8089# youre looking to advance your career and become a part of our team, we invite you to apply for our open positions.","ConsumerTrack is a technology-centric internet marketing company built on a culture of excellence. We are the preferred digital advertiser for premium financial brands such as American Express, Discover, Allstate and Equifax. Through our 1600+ websites, we set the vision and the strategy for our clients to reach and connect with their target audience.Were currently looking for an Ad Ops Manager to manage campaign delivery and identify improvements (process, training and system development) that impact our ability to recognize revenue from campaigns. The Ad Ops Manager work closely with the Product Development team in analyzing our current advertising model to help identify low hanging fruit, increase placements and explore other new revenue opportunities. This role will also collaborate with the Analytics team to provide stakeholders with real-time reporting of all campaigns. This person will report directly to the Director of Product and manage the Ad Operations team.Job function: Be the company expert in DoubleClick for Publishers, providing support and guidance on best ad serving practices and ensuring there are no roadblocks to campaign execution.Outline requirements, ad specs and QA new ad products as needed; work with various internal teams to launch new advertising campaignsHave full knowledge of all ad campaigns available on our sites, including specs, site functionality, general availability and performance of ad unitsProactively troubleshoot delivery and technical issues on both internal and external placements to ensure revenue recognition. Field and coordinate resolution of partner initiated issues / escalationsReview, test, schedule and optimize campaigns in one or several third-party ad server platforms as neededCommunicate technical issues (both verbal and written) in a manner that is easy for a non-technical audience to understandOffer full support for escalated issues where customer needs the comfort of knowing they have individuals who can assist them on a more personal levelProvide training (troubleshooting delivery issues, inventory management, understanding delivery priorities, optimization of campaigns, ad products, etc.) to other departments as neededReview and update trafficking documentation for best practices and training to be used by partners and internal resourcesDay to day team management including growth and development of direct reports","4+ years experience in digital advertising operationsExperience with DoubleClick DFP and DFA required.Experience in digital ad serving, including mobile, video, and rich media vendor products. Experience with Flash, HTML, JavaScript and rich media.Ability to analyze, modify and troubleshoot most ad typesHighly organized, detail-oriented, and able to proactively and effectively communicate informationAbility to work successfully with teams, handling multiple projects and meeting tight deadlines under pressureStrong analytical and creative problem solving skills","Competitive salary with excellent growth opportunityWe will invest in you!Excellent medical, dental and vision plansPaid vacation, holidays, and sick days401k- We contribute 3% of employees salary!Free Gym Membership for elite Spectrum ClubsMonthly complimentary catered breakfast/lunchesMonthly employee outings (ex. Bowling, Paintball, Bonfire, etc.)We are an equal opportunity employer and all qualified applicants will receive consideration for employment without regard to race, color, religion, sex, national origin, disability status, protected veteran status, or any other characteristic protected by law.",0,1,1,,,,,,0
17042,Salesforce Business Analyst,"US, NY, New York City",,,,"Job Title:    Salesforce Business AnalystLocation:    NYC, NY Duration:     6+ Months Contract Job Description: The role of Salesforce Business Analyst for the Subscription Management Program involves participating in a team of BAs delivering consumer focused requirements for registration, sales acquisition, customer relationship management, access control, billing and fulfillment across all our brands and through web, mobile, tablet and print products.This specific role will focus on the details of the CRM subsystem for the new Subscription Management Program. This includes customer service screens and workflow, and channel manager integration. Senior BA / Product Owner for CRM track of the Subscription Management Program (SMP), intended to create a state-of-the-art cloud-based e-commerce system. This role will work on SalesForce-related functionality for SMP:Design call center screens and process flow to manage customers and their subscriptions.Help with telephony- and channel-manager integration into SalesForce","A Senior BA / Product Owner should be able to:Understand and elicit business needs and project scope.Decompose business needs into Epic-level storiesDecompose epic-level to user storiesProvide examples of user stories on demandUnderstand the Product Owner's role in the Agile process: guiding the business, prioritizing work, preparing and grooming the backlog. We will certainly ask questions to test these, either from the candidate's own experience, or hypothetical examples (or both). Agile methodology is implemented in different ways everywhere it's practiced, but I would still expect intelligent thoughtful answers to these questions. BA skills are most important, but this, or related, experience would help the candidate's success in the role:SalesForce knowledge and experience, or other CRM tools.Telephony experienceCall center experience",,0,0,0,Contract,Mid-Senior level,,Information Technology and Services,Information Technology,0
6745,Entry-Level Management Position,"US, CT, Hartford",Marketing,,"NY Marketing Firm is family-owned and operated right here in New York, NY. Other companies may offer similar services, but our services are the best, and come with a personal touch. We are not your typical advertising agency. We employ a wider range of disciplines that approach the marketing challenge from many different fronts: Branding strategies, CSR , Direct Response, Promotions, Marketing Collateral, Public Relations, Internet Media, Event Marketing, Corporate Identity, and anything else that helps move the sales curve in the right direction. No longer is a marketing plan confined to radio, TV and print. The world is at our fingertip!  Most important, we approach every marketing challenge from a strategic point of view - we stay focused on the big picture, where success is always in sight. We embody the T.E.A.M atmosphere, where Together Everyone Achieves More!!! NY Marketing Firm was founded in June 2010. Since our inception, We have secured contracts with the largest telecommunications providers, cable providers, energy providers, and finance titans. Furthermore, we have expanded our operations and brand to various markets throughout the country. We are growing at a very rapid pace in a time when the economy is receding. Thanks to our phenomenal leadership and assertive marketing strategies we will be moving into new territories in the near future. ""Be a yardstick of quality. Some people aren't used to an environment where excellence is expected."" -Steve Jobs","Entry-Level Management  Train to Manager Role in the Growing Firm!We are NY Marketing Firm, headquartered in the Trump building on Wall Street, looking for competitive Individual with a ""winning mind-set"" and ""entrepreneur spirit"" to fill Entry Level Management position in our Marketing department in Hartford, Connecticut. The right individual will thrive in a fast paced professional environment and find motivation and fulfillment in the exciting challenges of a growing company.Our goal is to promote and maintain a positive, fun and professional atmosphere while developing the leadership qualities in our marketing managers. Job Requirements:·         Some college or degree preferred·         Exceptional interpersonal skills·         Student mentality and attitude·         Leadership potential·         Experience in retail, sales, advertising &amp; marketing is a PLUS·         Ability to work in a high energy environment·         Computer SkillsThis position is FULL-TIME and it involves responsibilities in:·         Executing merchandising and operational functions to company standards·         Participating in the product knowledge training alongside management·         Assisting customers with any questions they may have in regards to our clients products·         Gaining knowledge on all new clients the company acquires·         Entry level marketing and sales management·         Organization, time management, leadership and training as a manger We need goal oriented team members who have the entrepreneurial mind set to contribute to our growth.  FULL and PAID Training is provided.Growth opportunities are available for those who qualify.SUBMIT YOUR RESUME TO US FOR CONSIDERATION!",,,0,1,0,Full-time,Entry level,Unspecified,Marketing and Advertising,Marketing,0
5490,Toy Workshop Operative,"GB, , London",,,"Makielab is a thriving toys and games start up, based in London, UK. Our team invented the world's first fully customisable 3D printed toy, and we now ship those globally to fans around the world. Our first iOS game is in development and due for release in May 2014. ","Are you as comfortable working with your hands as on a computer?  Our workshop operatives assemble Makie dolls in our workshop carefully attaching tiny arms and legs, creating smiles and styling hair before sending Makies to their new homes. We are searching for someone who is creative, self-motivated and enthusiastic to work in our workshop and ship perfect dolls every time.   Key Areas of workAssembly and shipping of Makie dollsHandling support requests from customers which relate to order fulfilmentQA of incoming stockUsing our various systems to manage stock in and outKeeping the workshop and office space in good orderPossibility for developmentThere is potential to expand this role taking on e-commerce, supplier management and other associated duties.","•           Detail oriented. You pride yourself on getting it right every time.•           Excellent computer skills•           Able to multitask and prioritise workshop tasks•           Managing inventory - keeping the workshop stocked with everything Makielab needs to run day to day•           Ability to work calmly and methodically when under pressure, and as part of a teamA self-starter, you should be comfortable asking the questions you need to ask in order to get on with the job and be able to identify problem areas and propose solutions to the wider team. An interest in toys is required, an interest and knowledge of the doll world, even better!Nice to have:•           Model making, painting experience•           Familiarity with e-commerce systems","Makielab offer a competitive salary, with 25 holidays per year, and the opportunity to develop skills on the job. ",0,1,1,Full-time,,Unspecified,Retail,,0
13922,Technical Support,"US, MO, Kansas City",Business Operations,,"Located in the heart of downtown Kansas City, were redefining the future of fan engagement and innovative business solutions to impact world-class brands, teams and venues.As sports veterans, we understand the limitations of existing software solutions for our industry. Our goal is to create new dynamic solutions designed specifically for our peers.","General Description:Sporting Innovations has a great opportunity for a Technical Support role. The candidate we are seeking is an excellent communicator in both written and verbal formats, knowledgeable in software and technology, and has a strong desire to work with the client and customer to resolve their technical problems. Candidates should be capable of identifying, verifying, escalating and potentially fixing issues, and working closely with multiple groups to solve problems and communicate with the client.What Youll DoProvide troubleshooting and technical support via phone, web based tools, email, and other channels.Advise customers regarding the products proper use and address specific user issues.If unable to provide immediate resolution, determine the appropriate individuals who can resolve the issue.During problem escalations, act as a liaison between clients and Sporting Innovations.Provide visibility to the status of issues for internal stakeholders, as well as the client and their administrator.","Experience We LikeCustomer ServiceClient ServiceSoftware Development ProcessProduct DevelopmentClient InteractionKnowledge in Computer Science, Information Systems or EngineeringYour QualificationsStrong understanding of cloud based software, technology systems, engineering tools, and support processes.Strong technical and analytical skills.Solid experience in problem analysis and resolution of software problems.Proven ability to function in a self-directed environment.Must excel in a fast-paced, agile environment where critical thinking and strong problem solving skills are required for success.Innovative thinker who is positive, proactive, and readily embraces change.Ability to handle clients professionally during all interfaces.Ability to work in group environments and independently.Drive for success and growth within the organizationStrong written and verbal communication skills.Four-year college degree (computer science or engineering  based experience a plus)Strong leadership skills within diverse teamsStrong organizational and time-management skillsComfortable with cutting-edge technologyUnrelenting desire to deliver high quality productsWe are looking for success driven individuals who are excited about the opportunity to support our clients and eager to take on responsibility and learn.",,0,1,1,Full-time,,Bachelor's Degree,Information Technology and Services,Accounting/Auditing,0
5048,Weekend - Customer Service Associate,"GB, LND, London",Customer Service,,"Depop is an exciting new mobile marketplace where you can sell things by simply taking a picture, as well as follow your friends and buy unique things.Depop has already been received with great enthusiasm in our test regions and it is now beginning to expand into the global market, following funding from highly prestigious venture capitalists.This is an extremely exciting time to join a young, fast growing and very promising company based in the Shoreditch area, backed by some of the most prestigious VCs in the technology market.","About DepopDepop is an exciting new mobile marketplace where you can sell things by simply taking a picture, as well as follow your friends and buy unique things.Depop has already been received with great enthusiasm in our test regions and it is now beginning to expand into the global market, following funding from highly prestigious venture capitalists.We are looking for an enthusiastic, motivated and self-starting Customer Support Associate who can join our team at Depop during weekends (Sat-Sun).This is an extremely exciting opportunity to join a young, fast growing and very promising company based in the Shoreditch area, backed by some of the most prestigious VCs in the technology market.","As the weekend Customer Support Associate you will be the first point of contact of the company. You will be handling emails regarding order issues and general questions about the app and technical support. You will work closely with the Customer Service Supervisor and our Customer Service Manager to improve the customer service experience and thus actively improving the final product.You will also be responsible for:- Resolving inbound customer inquiries including technical and other support issues- Handling a high volume of support inquiries per day- Dealing with order, technical and general inquires about Depop- Completing other projects as assigned- Taking the initiative to improve processes and documentation where neededRequired Skills and ExperienceExcellent communication skillsA passion for helping people and improving the customer experienceProven capability of working well under pressureTrack record of problem solving and very strong analytical skill capabilityHighly Desirable Skills and ExperienceIdeally prior experience working in a high growth tech startupDirect experience in Contact Center Operations (Customer Service, Sales, or Collections)Strong communication skills, languages a plusInterest in fashion and shopping","If you want to be part of this amazing team at an exciting time of our growth, please dont hesitate to get in touch!",0,1,0,Part-time,Associate,Unspecified,Apparel & Fashion,Customer Service,0
1051,Financial Analyst,"US, MA, Cambridge",Finance,,"Pioneering advanced analytics vendor RapidMiner is redefining how business analysts use Big Data to predict the future. With an open source heritage, RapidMiner is one of todays most widely known and used predictive analytics platforms, providing powerful solutions for a wide variety of industries.","This position will interact with all facets of the business while providing key financial and operational analysis to assist in keeping the company moving forward.  Knowledge of MS Dynamics NAV is strongly desirable as this position will manage the internal reporting and forecasting management of the system.  In addition to managing NAV, this individual will assist with financial reporting, forecasting &amp; budgeting, operational analyses and other ad hoc financial analyses.  This position will report to the CFO and is a role that will give a breadth of exposure and visibility throughout the organization.  On a day-to-day basis you will:Prepare, compile, analyze and communicate information for financial reporting and analysisForecasting, budgeting and long-range planningSupport the development and maintenance of budgets, forecasts and management reporting through use of NAVGross Margin analysis and reportingCurrency impact analysis and reportingDevelop and present timely analysis for operating resultsProvide financial guidance for new project/program developmentIdentify and resolve data inconsistencies within internal reporting databases and reporting platformsManage and/or execute ad-hoc analytic requests, database queries, and report generation as neededAssist in the annual Corporate budgeting and monthly forecasting processesBuild, maintain, and report on key financial and operational metricsDaily use of financial analysis skills including an understanding of materiality, application of management accounting concepts and spreadsheet mastering skills for analysis of information.Effectively manage projects that support multiple businessesCollect, monitor and disseminate operational and financial data to the relevant teams within the organization",In return you will bring: BS Finance/Business/Accounting + 4 years experienceMinimum 3 years of experience in an FP&amp;A roleWorking knowledge of relevant areas of accounting and financeWorking knowledge of US GAAP requiredKnowledge of German accounting standards a plusExposure to analysis with multiple currenciesMS Dynamics NAV experience is a plus,RapidMiner is an Equal Opportunity Employer.We offer competitive salaries and excellent benefits.,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Finance,0
1011,WF5 9TJ Office Administrator,"GB, , Wakefield",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","This is a fantastic opportunity for someone to work alongside a busy Managing Director.You will be working in a busy office and the role will involve:-Diary management-Booking travel-Organising events-Light market research-Meeting preparation-General office duties such as filing, faxing and answering the phoneExperience in office admin is essential.Candidates must be organised and efficient. ",Admin experience,,0,1,1,Full-time,Entry level,Vocational,,Administrative,0
17245,Director of Clinical,"US, TX, ",TRS-Clinical,85000-100000,"About Jeri Davis InternationalWe are distinguished by the 80+ years combined experience of our senior level consultants and associates.  JDis hands-on experience provides an unparalleled depth and breadth of healthcare knowledge, networking capability and contacts which we bring to every assignment.  JDi stands apart due to the results achieved and the confidence clients develop working with us.JDis 20+ year success record is at your service to:Recruit top-notch executives quickly and efficientlyAccelerate revenue through our Total Solutions© Revenue Enhancement Program©Create first-rate sales teamsTransform sluggish sales and marketing efforts into to “high octane” performance-driven campaignsBrand and rebrand companies and product linesLaunch and sustain Web campaignsWhatever your needs, JDi can either provide you with services or refer you to those who can.  Call us today to plan for your next big success.","Position purpose is to oversee the clinical operation, program development and direct supervision of staff.The qualified DOC candidate will exhibit the following traits:Love new program development and learning new thingsExcellent team leader  able provide an environment of corporate stability but one receptive to operational change (team has been in place for years but gone through multiple management changes.)Building leadership and teamwork in othersProblem-solver, troubleshooterExcellent inter-personal skillsChange agentBreak down job specific “silos” of day to day/moment to moment minutiaeUnderstands commercial payerExcellent communicator","The qualified DOC candidate will satisfy following requirements:LPC, LCSW, or LMFT licensure required.A master's or doctoral degree in counseling, masters of science social work, masters of psychology or a masters in a counseling-related field from an accredited college or university required.Three years direct clinical services experience plus three years in a supervisory or management role.Experience with a 12 step program preferred","Competitive Salary, Benefits and opportunity for growth.",0,0,1,Full-time,Director,Master's Degree,Hospital & Health Care,Health Care Provider,0
3515,Branch Manager,"US, VA, Chesapeake",,,,"Westview Financial Services, located in Chesapeake, VA has a full-time BRANCH MANAGER position available immediately.  Our office provides personal loans with a one-on-one approach and we are looking for an individual to join our team and assist with managing our office. The duties for this position include but are not limited to:Manage branch operations and ensuring compliance with all business policies and proceduresDrive branch performance to successfully achieve branch profitability and business goalsGenerate new business for the companyRetain our customer base and managing our loan portfolioSell loans and insurance productsReview credit applications and make loan decisions regarding the applicants credit worthinessClose and service loansOversee the collections process and determining a course of action for delinquent accountsTrain our staff which includes ensuring they are knowledgeable regarding the loan and collections processes as well as understanding the various insurance products that are offeredThe qualifications for this position are:A College Degree, a BS/BA with coursework in Business is preferred or equivalent work experienceThree to five years of experience directly related to sales and finance is preferred or one year of previous management experienceStrong sales and customer service skills  must be sales and customer service orientedStrong verbal and written skills are requiredProficiency in Excel and Microsoft WordAbility to train, develop and motivate our staffStrong organizational skillsMust be able to multi-task and be flexible regarding your schedule and workloadA self-starter who can work with minimal supervisionMust be a solutions-oriented individualAbility to work well with othersThe hours for this position are as follows:Monday  8:30 am to 5:30 pmTuesday  10:00 am to 7:00 pmWednesday  8:30 am to 5:30 pmThursday  8:30 am to 5:30 pmFriday  8:30 am to 5:30 pmWe offer a competitive salary as well as a comprehensive benefits package including:  40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidaysPaid personal and sick leave on the first day of the month following three months of employmentHealth, dental, life and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after 6 months of employment, however, we have quarterly enrollment periods Interested candidates may submit your resume and salary requirements via email to #EMAIL_35e44c5d029cc41d0d0ed9b8346b04075110309746529a4738984792dc5ed5dc#. Faxes may be submitted to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#. Westview Financial Services is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.                                 ","The qualifications for this position are:A College Degree, a BS/BA with coursework in Business is preferred or equivalent work experienceThree to five years of experience directly related to sales and finance is preferred or one year of previous management experienceStrong sales and customer service skills  must be sales and customer service orientedStrong verbal and written skills are requiredProficiency in Excel and Microsoft WordAbility to train, develop and motivate our staffStrong organizational skillsMust be able to multi-task and be flexible regarding your schedule and workloadA self-starter who can work with minimal supervisionMust be a solutions-oriented individualAbility to work well with others","We offer a competitive salary as well as a comprehensive benefits package including:  40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidaysPaid personal and sick leave on the first day of the month following three months of employmentHealth, dental, life and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after 6 months of employment, however, we have quarterly enrollment periods",0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,Management,0
10037,Senior iOS Developer,"GR, I, Athens",appdev,,mokaal is a startup aiming to change the way Location Based Services are offered and perceived across a wide range of industries.,"We are looking to hire an experienced iOS developer to implement and maintain our location aware mobile app. You will propose and design features and functionality within our app, give valuable input to the design process and in short develop a beautiful iOS experience.  You will be working closely with our Android and Backend developers as well as our Graphics Designer/UX expert, to coordinate and build the app and its supporting services. ","At least 1 year of iOS development experience.Excellent understanding of iOS frameworks and development.Published apps on the App Store.Expert Knowledge of Cocoa, Objective-C and XcodeExpert Knowledge of Object Oriented concepts and a strong passion for software developmentGood knowledge of HTML5, CSS, JavaScript4+ years of experience as a developerSome experience with location based servicesBonus:Experience with Apple Approval &amp; Distribution Process.Experience with Location Aware SDKs.SQL knowledge and/or willingness to learn.Experience in app network communications with various methods.Personal Characteristics:Thinking outside the box.Ability to work and cooperate in a team environment.Good problem solving and communications skills.Friendly, enthusiastic and positive attitude.Self-motivated &amp; ability to effectively achieve deadlines.","Full-time salary negotiable based on experience.Apple hardware and Test devices.A relaxed, comfortable and friendly work environment.",0,1,0,Full-time,Mid-Senior level,Unspecified,Information Technology and Services,Engineering,0
17783,PART TIMERS Required For Cash Pay Jobs.,"AU, NSW, Sydney",,,,"PART TIMERS Required For Cash Pay Jobs.You can do it all from home, in your free time, at your own place.Spend 30 minutes or 1 hours a day &amp; Get biggest cash.You can work in the morning, afternoon, or at night.Perfect for everyone then start immediately.Can earn $400 to $450 extra per day.No any experience required.Zero start-up fee, Visit here:-#URL_7ebe37f71633be1b80547d6f213cb0075a63b6ced35281bfa5c067b5c685f04c#-rg.info",No any experience required.,,0,0,0,Part-time,,,,,1
9071,Adult Education Teacher,"US, MN, Twin Cities",National Programs,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","The Adult Education (AE) Teacher provides direct skill instruction in the areas of Adult Basic Education (ABE)- approved core goals: Reading, Writing, Math, and English. The AE Teacher completes student intake and performs assessments to determine appropriate classroom placement and student levels. The AE Teacher performs testing through State-approved tests (CASAS, TABE, Best +) to determine student placement and progress and is responsible for lesson planning, classroom set up, classroom management and delivery of lessons. The AE Teacher utilizes the MARCS database, monitors student progress, interprets data and applies necessary changes to increase level gains. Essential FunctionsProvides students assessments through routine intake process to ensure proper entry to program and proper classroom placementProvides instruction through American Sign Language or other communication modes. Maintains Bilingual, Bi-Cultural philosophy as a priority for classroom delivery and adapts to student needsProvides instruction of ABE approved core goals: Reading, Writing, Math, GED and other approved subjectsProvides State-approved testing (CASAS, TABE or Best+)Monitors MARCS database for student progress and takes appropriate action. Consults with Adult Education Coordinator for feedback regarding level gains and appropriate curriculum needed to implement changes.Reports daily attendance, incident reports, and monthly classroom notes to Coordinator and Director, as neededOther duties as assigned","Bachelor's degree in Education, Adult Education, Training and Development, Organization Development, or related field; or equivalent professional experienceTeaching License in State of Minnesota in Adult Education, Deaf Education, or K-12 Education (obtained or current enrollment in program)Three years of professional experience supporting adult learnersMust have access to reliable transportation to travel to and from assignments, as neededAbility to communicate effectively in American Sign LanguageMasters degree in related field (preferred)","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career page.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, or national origin, including individuals with a disability and protected veterans.",0,1,1,Part-time,Associate,Bachelor's Degree,Education Management,Education,0
15144,Senior Technologist,"US, MN, Saint Paul",,90000-140000,"Instrumental is a highly dynamic, fast-paced organization providing expert professional services to vendors and end-users of the most advanced computational and storage technologies available. We strive to provide an environment of individual freedom with a problem-solving focus. We value our employees time and efforts. Our commitment to your success is enhanced by our competitive salary and an extensive benefits package including paid time off, medical, dental and vision benefits and future growth opportunities within the company. Plus, we work to maintain the best possible environment for our employees, where people can learn and grow with the company. We strive to provide a collaborative, creative environment where each person feels encouraged to contribute to our processes, decisions, planning and culture. ","Instrumental, Inc. is seeking a Senior Technologist to be part of a small, focused, enterprise performance technology company.Candidate should have strong ability to:Research, identify, and recommend industry best practices for solving HPC and storage problems.Evaluate tools and standards to develop best in class HPC and storage strategies.Evangelize importance of analytics and performance optimization in maintaining HPC compute and storage environments.Conduct vendor analysis and proof-of-concepts for new technologies/solutions.Present training classes/seminars on technical topics to clients and their customers.Candidate must have a good understanding of large-scale enterprise computing, storage configurations, HSM systems and performance optimization to support the data intensive environments of our customers. Peak end-to-end performance in large heterogeneous environments depends on how well vendor components are tuned to a specific workload and on how seamlessly those components work together. Thats why so many government agencies and commercial enterprises turn to Instrumental. Were the experts in making the worlds fastest systems go faster. Were also a trusted advisor in evaluating and benchmarking vendor claims and in developing technology roadmaps for the future. ","The following requirements are essential for the Senior Technologist:10 or more years of IT System Infrastructure Architecture experience in HPC, parallel file systems, storage technologies and performance analysis.10 or more years of experience with systems analysis, design, coding, and testing at all levels.Proven experience creating technical documents and process diagrams.Demonstrated experience adapting to and implementing new processes and models.Experience developing and delivering training, seminars and briefing presentations.Applicants selected will be subject to a government security investigation and MUST meet U.S. Citizenship requirements.","At Instrumental, we specialize in expert performance management and consulting services to users and manufacturers of High Performance Computing (HPC) systems, data storage, and components in the commercial and government marketplaces. Through vendor independence, we are able to objectively deliver the best solution for our customer's needs. Innovation is at the core of everything we do. By generating new methods, new ideas and perspectives, we find solutions - new solutions. As such, we value our employees time and efforts. Our commitment to your success is enhanced by our competitive salary and an extensive benefits package including 401k, paid time off, medical, dental and vision benefits. Plus, we work to maintain the best possible environment for our employees, where people can learn and grow with the company. We strive to provide a flexible, collaborative, environment where each person feels encouraged to contribute to our processes, decisions, planning and culture. Apply at #URL_bfebedb9cc4dabb2064fa51632e5dd5f080cb18b2502e520d8bb7df18a0da42a#",1,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
17712,Network Marketing,"US, HI, ",,7200-1380000,,"Are you looking to make anywhere from 600-115,000$ a month? Are you looking to be paid to take vacations? Are you tired of working a 9-5 with no ability to grow in your field. Do you want to be your own boss and set your own schedule of when you work? If you answer yes to any of these than we have the perfect opportunity for you. Our company is based on 3 principles 1.Saving Money 2.Making Money 3.Managing Money. We offer financial soulutions to help you live financially free while making money showing others. We have over 5,800 national brand retailers where we recieve discounts.","An ambition to succeed, A desire to be the best at our field and not be discourage when people turn you down. If you have these qualities than you are perfect for this business","Residual Income, Travel dollars, Car Dollars, the ability to rise in pay grade rapidly as long as you put in the work you will see results",0,0,0,Other,,Unspecified,Marketing and Advertising,Marketing,1
8036,Operations & Partners Associate,"GB, LND, London",,,"Startup Institute is the #1 career accelerator, offering transformative educational experiences for career changers and recent grads that combine hard skills with cultural acumen to drastically increase the velocity and impact of startup employees THROUGHOUT THEIR CAREER. We cultivate the human capital high-growth companies need to succeed.","Operations Associate-- Intern at Startup InstituteStartup Institute is looking for undergraduates or recent grads with a demonstrated interest in sales, marketing and business development to serve as the Operations Associate for our London programme.This is 3-month paid position with the possibility of growing into a full-time role.  Why Startup Institute?Startup Institute changes peoples lives by helping them find a rewarding career in the tech startup world. We work hard to build a community of students, alumni, instructors, and partner companies around us. As an associate, youll have the chance to drop in on classes, network within our growing community, and gain a deeper understanding of the startup scene.We are- a fun, supportive team that spends more time laughing than doing anything else- a group passionate about improving peoples lives through knowledge and network- really driven by our mission to help people align their passion with profession- a company that helps growth-minded talent meet high-growth companies","As the Operations Associate, your responsibilities would include (but are not limited to):Work closely with the associate director to close 25 hiring partner companies each SI session.Ensure programme logistics run smoothly.  This includes managing and coordinating the physical spaceLead planning for the 150 person student expose at the end of the programmeActing as a liaison with all hiring partners during SI session (this includes working with hiring partners to organize any group projects they conducting with SI students)Attend events to grow the SI networkManage the production of a weekly partner newsletterGather insights from weekly student surveysAct as the first point of contact for all instructors and speakers at SIWork with the Marketing and Events Associate to plan in programme and community eventsThis opportunity might be for you if you are:People-reader -- you listen for emotion and the needs of others in order to direct his/her actions; you can detect what people want out of a situation; youre people-centeredField Marshal -- youre action-oriented; you want to see stuff actually get done; youre comfortable with making detailed decisions. The best is never good enough; youre tirelessly seeking to be better; youre self-reliant; you effectively mobilize others towards objectivesDetail Oriented -- you can keep track of numerous moving parts and arent overwhelmed by adding structure and order where none previously existed.Awareness coordinator -- youre aware of, and comfortable with authority limits; you know when to escalate issues; you communicate efficiently upstreamEnergetic -- you move quickly and run quickly with assignments or objectives; you set and are driven by deadlines; you can motivate others towards those deadlines; while things get done you worry about what might go wrongHappy -- The glass is filling; you blend humor with work; youre deeply passionate about the cause; youre everything but pretentious",,0,1,1,Contract,Associate,,Professional Training & Coaching,Business Development,0
16058,Product Marketing Manager,"GB, LND, London",Marketing,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Qubit: Cutting Edge Big Data EngineeringQubit, is a disruptive technology start-up helping more than 100 enterprise clients deliver real-time retailing through cutting-edge personalizations. We were founded in 2010 by 4 ex-Googlers that worked on the Google Analytics product among other things; we're now more than 100 people in London, New York and Paris. Forbes wrote in June that our technology stack represents the future and WIRED magazine recently named us one of Europe's hottest start ups.Qubits technology, built and evolved from scratch to capture 1st-person visitor data is a game-changer in e-commerce. We track user behaviour and build powerful applications for our clients to deliver the most futuristic and responsive personalizations in the market.Were not the only ones excited about our brand new approach to solving the problems of modern e-commerce. Weve raised $33.5 million to date in Series A and B funding, from some of the biggest VCs around.Our clients include Jimmy Choo, Superdry, DFS, Topshop, Uniqlo, ASDA, Twinings, and many more.What Youll Be DoingYoull be developing and executing compelling integrated marketing campaigns working in tight collaboration with our client and sales teams, including writing research, creating targeted email communications, running roundtables and networking events, and leading the syndication of our content across multiple channels.You will own the acquisition marketing strategy in the UK, working closely with our sales and inside sales teams. This involves producing collateral such as case studies, video case studies, fact sheets, help videos, guides, localising our core pitch, and more.Youll be supporting our product launches, producing content for our blog, our knowledge centre, our website as well as our social channels and CRM.Youll be working closely with our internal PR and comms team, making sure that we seed stories in the news as well as regular blogging on our blog.","What Youll NeedBy submitting your application you understand that Qubit will store your data in accordance with local lawsYoull have an undergraduate degree in Marketing or Business from a reputable institution.Youll have exceptional experience under your belt working in a marketing, strategy or other creative role. Youll know what it means to have taken responsibility for a large project, from planning stages through to successful execution. Youll love working with people with a diverse range of skills and backgrounds and youll never be afraid to ask stupid or tough questions in a crowded room if it means learning something new.Youll be meticulous with detail and able to organise yourself effectively while leading on several pressing tasks at once. Youll be well presented with excellent interpersonal and organisational skills.Youre not just fluent in English, youre someone who excels at writing whether its a newsletter, a blog post, or a research report.Youll have some direct experience or at least more than a passing interest in technology and digital.","As well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Entry level,Bachelor's Degree,Internet,Marketing,0
13788,Web Developer,"US, IN, bloomington",,,"We run #URL_34e817f2a402b90fa03423a629596c1d7d48cd15c859b303842003275d56829c#, a SaaS form management solution that helps businesses and professionals create online forms, and collect data without the need for technical skills, or IT.We're bootstrapped, profitable, growing rapidly, and hiring employee #10 and beyond!We embrace remote work. Our team is spread across 3 different countries. You're welcome of course to move to Bloomington, Indiana, where the majority of the team is located. It's been named one of the best cities for doing business and perhaps more importantly, is the #7 in the US for best places to bike!We run a lean operation with an impressive roster of customers, so your work will not go unnoticed. Everything you'll work on will make our customers happier and give us a better competitive edge.As so many customers depend on our service, and as our team grows, we also value process-oriented people who can help us make our product even more reliable and easier to maintain.","Were looking for talented and passionate developers to help improve and expand our web-based application, #URL_34e817f2a402b90fa03423a629596c1d7d48cd15c859b303842003275d56829c#.The ideal candidate can craft code that is robust and easy to maintain, switch between back-end and front-end development, and keep usability and user experience in mind at all times.Youll work on our LAMP stack, and occasionally on new projects with a clean slate, for which youll help pick the best technologies, architecture, and tools.Youll work autonomously or with the team depending on the project, and will get many opportunities to share, teach, and learn from your coworkers.Your work will get in front of tens of thousands of users and help make data collection easier for a lot of people, from schools enrolling students to large corporations improving their business processes.Position is full-time, in Bloomington, Indiana or remote.",,,1,1,0,Full-time,,,Information Technology and Services,Information Technology,0
11131,Customer Service Technical Specialist ,US,,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Technical Specialist will be based in our San Antonio, TX client location. The right candidate will be an integral part of our talented team, supporting our continued growth. Responsibilities:Perform copy, print and binding servicesExperience in reprographics, imaging servicesContact equipment providers for service as necessaryOrder supplies and keep track of inventoryMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentTroubleshooting printers and CopiersGood working knowledge of PCs and Windows 7Good working knowledge of all MS Office applicationsAbility to interfacing with end users in a professional manner and with a sense of urgencyExcellent communication skills both written and verbalAbility to effectively work individually and in a team environmentCompetency in performing multiple functional tasks","Qualifications:Experience performing data entry, word processing, remittance processing or related functionsExperience in scanning/imaging requiredMinimum 1 year customer service related experience requiredMust have at least 1 year experience with mail or copy processesMinimum 1 year experience maintaining and troubleshooting printers and copiersStrong computer proficiency and work experience in email and Microsoft Windows environments is requiredAbility to communicate effectively both in verbal and written formAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyAbility to adapt to change in a fast-growing production environmentStrong organizational and administrative skillsDemonstrated ability to show initiative and accept ownership of projectsAbility to use problem-solving skills in order to resolve client issuesAbility to meet employer's attendance policyAbility to lift and/or move items weighing up to 55 pounds or the maximum allowed by current State Law with or without accommodationsAbility to sit, stand, and/or walk for long periods of time with or without accommodationsHighschool Diploma or Equivalent required",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
7085,Talent Management Process Manager,"US, CA, Los Angeles",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Job Responsibilities:The TMO Manager will be a “Hands on” Manager responsible for:Designing, building and automating talent management processes, metrics, tracking, and reporting capabilities.Developing rigorous analytical models that provide structure to ambiguous, complex issues.Reviewing data and communicating insights as a basis for talent management action to be utilized with key stakeholders including c-suite executive management.Evaluating Talent data collection process to ensure efficiency and high level of data integrity.Comparing the Companys talent metrics against relevant industry benchmarks and identifying key areas for improvement.Conducting research, externally and internally to identify trends/benchmarks, implications for talent management analytics and recommend responses.Providing project and thought leadership in areas of subject matter expertise.Integrating analytics across multiple HR groups to ensure synergies in measurement and reporting.Managing annual TMO cycle.Managing highly confidential information.Overseeing and training junior analyst to ensure data accuracy and integrity.Education &amp; Experience: 5+ to 7 years of experienceManagement Experience Required - NoMinimum Education - Bachelor's DegreeWillingness to Travel - OccasionallyVisit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,Management Consulting,,0
8231,English Teacher Overseas,"GB, GLG, Glasgow",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :)Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryUK passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
1224,Emergency Department Registrar's,"AU, VIC, Melbourne",,," Who is Ramberg Associates? Ramberg Associates is a specialist medical recruitment consultancy based in, Sydney, Australia, that is fast becoming a widely respected provider of health care professionals world-wide. Rambergs team works closely with public and private hospitals to deliver the best medical practitioners across a broad range of specialities and sub-specialities. Our commitment to excellence in supplying services to clients and candidates means we are rapidly expanding in the Australian and New Zealand markets. Our success has led us to plan office bases in the UK, North America and the United Arab Emirates in the coming year. Through our broad network of clients and candidates Ramberg Associates is building its reputation on the ability to place the right person in the right place on a consistent basis in as many places in the world as possible. Medical staff recruited by Ramberg Associates Medical staff on Ramberg Associates exclusive database range from resident house offices, senior consultant physicians and medical directors to allied health professionals and nurses. We recruit across all specialities and sub specialties. These include: AnaesthesiaAccident &amp; EmergencyCardiologyCardiothoracicENTGeneral SurgeryGeriatricsHaematologyObstetrician and GynaecologyOrthopaedicsOncologyPaediatrics/neonatologyPsychiatryNeurologyRadiologyRenalUrologyGPsRamberg medical staff have broad international experience with training and certification gained in the United Kingdom, United States, Ireland, South Africa, the Dominions, Canada, as well as Australia and New Zealand. These staff are rigorously screened and reference checked to ensure their qualifications are of the highest calibre. The Ramberg Associates team believed its a privilege to benefit the communities where our medical staff work, therefore we take the time to discuss with them their goals, aspirations and needs so they are placed in positions that bring the best outcome for themselves, their families and communities that employ them. Our services include: Sourcing appropriate medical staff for permanent and locum positionsThoroughly screening and reference checking candidatesEnsuring the integrity of our medical staffs intentions to commit to positions they acceptGuiding and coordinating medical council registrationAssisting with immigrationRetained search and selectionAssisting in guiding medical staff in their relocation","Our client (TCH) is an acute care teaching hospital of approximately 600 beds, and a tertiary referral centre that provides a broad range of specialist services to the people of the ACT and South East NSW.It is the largest public hospital in the region, supporting a population of almost 540,000, with strong links to community-based services that provide continuity of care for patients. The hospital is seeking ED Registrars for accredited training roles to start in 2014. Our Client is seeking a Senior Medical Officer/Senior Registrar for the ED dept.It is a 146 bed (level 1) tertiary teaching Hospital. The Hospital was built by Ramsay Health Care in a contractual arrangement with the Victorian Government. The Base Hospital provides public hospital services on behalf of the Victorian Government to the Community of Mildura and the Sunraysia District.The hospital provides a range of Acute Services including Emergency, Maternity, Intensive Care, General Medicine and Surgery, Medical Imaging, Pathology, Dialysis, Mental Health (Inpatient and community services) and a range of Ambulatory ServicesThe location is North West Victoria on the Murray River approximately 600 kms from Melbourne and 380 kms from Adelaide.The population of the town and surrounding district (Sunraysia) is approximately 80,000. You will have at least 4 years experience in an Emergency Medicine dept.We are seeking a suitable Emergency Medicine Registrars x7 for a Large Regional Public Hospital in the second largest city in WA.ED StaffingThe department has a range of doctors including an intern, residents, registrars, senior medical officers and staff specialists. We have recently become a teaching center for medical students from the Rural Clinical School.There is always someone of registrar grade or higher on duty and a Consultant on call.The workThe majority of the work up of admitted patients is expected to be performed in ED. Resuscitation, invasive lines, thrombolysis, reduction of dislocations and some fractures, sedation of children for simple procedures etc may all be performed under the supervision of senior ED staff.ED has admitting rights to the hospital and manages short stay patients under our own care. Most overdoses, concussions, simple asthmas, spontaneous pneumothoraces etc that can be managed in a day or so can be admitted under ED.ED is developing an increasing role in the management of the 8 bed High Dependency Unit adjacent to the ED resuscitation area. There is approval for an upgrade to Intensive Care and the ED staff are likely to be involved in the planning and staffing.RostersAll ED medical staff are rostered for 8 shifts per fortnight. Shift length is 10 hours. There is no routine overtime.Situated in the picturesque south-west corner of Australia, the South West region is known for its extensive natural beauty and enviable climate. The South West boasts rugged coastlines, world-class surfing, caves and wineries. •  The South West encompasses an area of 24,000 square kilometres, around 1% of the landmass of Western Australia     extending from Yarloop in the north, Augusta in the south and east to Walpole. •  The region has a population of around 250,000, which accounts for around 7% of the States population, as well as         attracting a very high number of tourists throughout the year. •  The regions activities include agriculture and horticulture; timber and forest products; mineral extraction, processing and manufacturing; retailing; tourism; construction; other manufacturing; service industries; and fishing and aquaculture.  •  Air travel is widely available throughout the region, including commercial flights between Perth and Bunbury, and Perth and Busselton, with chartered air travel available throughout the region.Requirements:Current APRHA registration or eligible for competent authority pathway.We are seeking a ED registrar to commence asap for a 12 months fixed term role.The Hospital:The Emergency dept is friendly, well-organised, departments are the front door to the Hospitals and are well equipped to cope with trauma, cardiac and medical emergencies and minor surgery.  Rostering is such that junior staff have ready access to a Principal House Officer (PHO) on the same shift and the Principal House Officer has access to advice from a Senior Medical Officer on that shift.  PHOs have ready access to advice from the specialist Consultant on call and Specialist Principal House Officers and Registrars.  The Emergency Department roster is very popular.  Although it rotates over 24 hours 7 days a week, it is structured so that staff have ample opportunity to have days off to allow them to enjoy some free time. The staff in the Emergency Department find the roster, the good support that is available, and the opportunities for advice and education make the Emergency team a very rewarding once clinically.  The Emergency Departments hold accreditation with:§  ACEM (Australian college of Emergency Medicine)§  RACGP (Royal Australasian College of General Practitioners)§  ACRRM (Australian College of Rural &amp; Remote Medicine)Located on the coastal side of Brisbane this hospital is an acute, general hospital, providing a wide-range of secondary level services.  It is a 144-bed facility, and is the main acute hospital in the Bayside Health Service District .The Location:Shire is distinguished by rolling hills, choice farms and dairies, businesses and beaches.  It is one of the fastest growing areas in Queensland with a current population of 99,000.   Caboolture is approximately 43km from the heart of Brisbane and is situated halfway between Brisbane and Nambour on the Sunshine Coast with the golden beaches of the Sunshine Coast approximately 30 minutes drive away.  It is serviced by a dual carriageway arterial road.  Electric trains also provide a speedy, air-conditioned commuter service into Brisbane City.With over 10 years experience in the medical recruitment business, Ramberg Associates will ensure that your application process is dealt with in a timely manner, adhering to the highest ethical and professional standards.Call us on  if you are interested in any of these positions+61 2 80363182  for more details,or apply to #EMAIL_3b7c2f21696ed05b97cfa4bf5014dedd2188794a2e807b6943cad1a6b810cf92# ",In order to be eligible for this role you must have general registration with AHPRA and be registered with ACEM for training.,Unrivalled income potential .Practise medicine in the most diverse and stunning regions on earth.Full support with relocation and the complete registration process.,0,1,0,Full-time,Mid-Senior level,,Medical Practice,,0
10494,Application Developer,"US, OH, Cleveland",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)#URL_94f805e93a6fa859cd8540e9767e187e295d764a384221d77f8880142b6416b7#-#URL_dbdb917780b325918ec611d0f47d6a0dff5ee871b96bc71180616d0d0570925b#Application Developer:QualificationsShould be graduated in Computer science, Computer engineering or related engineering fields (E.g B.Sc, B.E or MCA, M.Tech in Computers / Electronics etc.,)Role &amp; ResponsibilitiesParticipate in project planning sessions with project managers, business analysts and team members to analyze business requirements and outline the proposed IT solutionCreate technical design recommendations for developing and integrating programs per written business / functional specifications; incorporate security requirements and provide input to information/data flow, and understand and comply with Project Life Cycle Methodology and IT Control policies/Architectural standards in all planning steps.Utilize multiple programming languages and software technologies to ensure applications are suitably fit for use and admissible for maintenance/upgradesEssential Skills &amp; ExperienceTechnical RequirementsMust have 2 to 4 years of overall ETL data warehousing experienceMust have 2 - 4 years of hands on strong Informatica development experience where the candidate has worked in building or enhancements of data warehouse andor data martsMust 2 - 4 years hands on experience on Teradata database, SQL and BTEQ scriptsMust have experience with Teradata utilities (TPT, MLoad, Fload) using InformaticaMust have experience with UNIX shell scriptingHands on experience with Informatica ETLNon-Technical RequirementsExcellent oral and written communication skills and the ability to clearly articulate to all project members and stakeholdersMust be a team player who works well with technical and non-technical resource.",,,0,0,0,Full-time,,,Computer Software,,0
12425,Media Advertising Sales Representative (3-5 years sales exp. a must),"US, SC, Charleston",,0-0,,"The Graham Marketing Group / RSVP Publications  Charleston, SCCHARLESTON AREA CANDIDATES ONLY3+ yrs Outside Sales experience. Prefer Media Sales (Radio/TV, Direct Mail, Y-Pages, Internet)Prospecting a must. Commission-based so pay is based on what you SELL and COLLECT.Requires a computer, car and phone. We provide all the sales materials, training and systems.Visit #URL_7b8139e82ad0dfca44c22fd5bdc927855d0506880a1886039650a810aa4cea0d# FIRST, than call Michael Graham, Owner, for a phone review at #PHONE_cc4a4dac86a080ce91ddea24089a99f3a39a9e1f39c0ba0e0e7e057eb3428d5f#.We want someone who likes to meet strangers...build relationships...and can take care of some current clients...but one who will concentrate on developing new business to help continue the growth of The Graham Marketing Group/RSVP in 2014-2015 and beyond.*Here's what you need to do:1) SEND us your resume...and cover or some brief thoughts about taking on this role..2) GO to the websites and discover our story. 3) CALL us at #PHONE_cc4a4dac86a080ce91ddea24089a99f3a39a9e1f39c0ba0e0e7e057eb3428d5f# to SELL us on why you want this position!","Charleston Area Candidates Only3+ yrs Outside Sales experience. Prefer Media Sales (Radio/TV, Direct Mail, Y-Pages, Internet)",Commission Based Sales Position,0,0,0,Full-time,Associate,Bachelor's Degree,Marketing and Advertising,,0
8106,Sales Support Medewerker (3 dagen per week),"NL, NH, Amsterdam",Sales,,"About the CompanyWe are ticketscript - the European market leaders in digital self-ticketing. Whether its massive dance events, festivals, gigs, outdoor cinemas, fairs, theater shows, exhibitions, comedy nights, or award ceremonies - we do them all! We believe in empowering our customers. Their success is our success. So far over 50,000 events have worked with us - and this is only the beginning. We have offices in London, Amsterdam, Antwerp, Berlin and Barcelona and are rapidly expanding across Europe.","Over ticketscriptWij zijn ticketscript. Europees marktleider in self-service ticketing software. Dankzij onze ticketing software hebben evenement organisatoren de touwtjes zelf weer in handen. Dat zorgt voor volle zalen, tenten, clubs en tribunes. Ticketscript is ambitieus, vooruitstrevend en professioneel. We proberen onze oplossingen dan ook constant verder te verbeteren. Vanuit onze kantoren in Amsterdam, Antwerpen, Barcelona, Berlijn en Londen hebben we tot nu toe meer dan 50.000 evenementen ondersteund met onze self-service ticketing oplossingen. Organisaties die ticketscript gebruiken voor hun evenementen zijn o.a. Awakenings, Dance Valley, Affordable Art Fair, Eredivisie basketball Leiden, Voltt en de Verzamelaarsjaarbeurs. De rolWe zijn op zoek naar een enthousiaste Sales Support Medewerker die onderdeel wil zijn van het Sales team van een Europese marktleider in self-service ticketing oplossingen. Ons hoofdkantoor zit in Amsterdam en je zult direct rapporteren aan de Head of Sales van Nederland &amp; België. We zijn opzoek naar een kandidaat die goed kan functioneren in een team maar ook zelfstandig commerciële successen wil boeken.Wat zijn je verantwoordelijkheden?- Sales contacten kwalificeren- Potentiële afzetmarkten onderzoeken- Markt en concurrentie gegevens verzamelen- Presentaties voorzien van case studies- Rapporteren over sales prospects- Je werkt nauw samen met andere leden van het Sales team",Naar wie zijn we op zoek?Een enthousiaste en energieke kandidaat. Je hebt een passie voor evenementen en bent in staat om te werken in een concurrerende omgeving. Affiniteit met online is een pré. - Je hebt HBO werk- en denkniveau- Je bent communicatief en organisatorisch sterk- Je hebt een uitstekende beheersing van de Nederlandse taal in woord en geschrift- Je kunt zelfstandig werken en durft initiatief te nemen,Wat wij bieden- Een marktconform salaris- DoorgroeimogelijkhedenAantal uur24LocatieAmsterdamContactInteresse? Stuur je CV + motivatie naar #EMAIL_5ab1502b1b88985f2c9181dea80960eee27ee89b316fb2ba0a0c418ec8b46438# ,0,1,0,Part-time,,Bachelor's Degree,Events Services,Sales,0
13044,"Clinical Optometrist, North West London","GB, , North West London",,,"Newmedica is a dynamic, innovative UK healthcare company that works in partnership with the NHS to deliver specialist clinical eye care services safely and effectively. Newmedica is growing rapidly and operates services in a number of locations around the UK. Newmedica clinics operate in both fixed and mobile settings, in the community and on NHS Trust sites.","General Ophthalmology and GlaucomaFull Time and Part Time Applicants ConsideredNewmedica is a dynamic, innovative UK healthcare company delivering a network of high quality NHS ophthalmology services across the UK. We work with the NHS to deliver specialist clinical eye care services safely and effectively to our patients, both in fixed-site and in mobile clinics.Our services span outpatients and surgery, adults and paediatrics. Our General ophthalmology services are delivered by a team consisting of a Consultant, clinical optometrists, and technicians. Our award winning glaucoma service is optometrist-led, supported by technicians and with Consultant input provided virtually.Due to recent growth, we are looking for clinically-minded optometrists to join our team to deliver both general ophthalmology and glaucoma monitoring services.If you enjoy working with a small team to deliver high quality patient care smoothly and efficiently, we would be delighted to hear from you. Clinical experience is preferred, however training will be provided. We will consider applicants who wish to work on either a permanent or a locum basis. Salaries are competitive, and are commensurate with skills and experience.","Personal:Enjoys the routine and rhythm of a process driven environment.Able to balance working independently with appropriate escalation and delegation.Committed to deliver consistently high standards of specialist optometric diagnostic tests using complex equipment.Applies analytical and logical thinking to verify expected outcomes.Seeks continuous professional development.Self motivated to provide an excellent level of communication and individual care for patients.Comfortable making a significant contribution, including teaching and training, in a small multi-disciplinary team. Technical:MCOptom specialist optometry degree, or BSc(hons) in Ophthalmic Optics or Optometry or equivalent qualification essential.Additional postgraduate specialist or equivalent experience required.Current registration with General Optical Council essential.5 years or more hospital eye service experience expected.Knowledge of clinical practice and demonstrable knowledge of developments in Optometry required.Experience of and commitment to medical audit.Comfortable with Microsoft Office products and using computerised systems for data input/output and review.",,0,1,1,Other,Not Applicable,Bachelor's Degree,Hospital & Health Care,Other,0
17700,Home Based Payroll Data Entry Clerk Position - Earn $100-$200 Daily ,"GB, ABD, ",,,,"We are a full-service marketing and staffing firm, serving companies ranging from Fortune 100 to new start-up organizations. We work with job seekers in an equally broad range, from light industrial temporary workers to executive level candidates.Are you looking for a Work from Home Opportunity where you can earn up to $2500 and more per week? Our Online Service Representative position would be perfect for you!- Set your own hours - Make money every time you decide to work - Work remotely from home - Get paid weekly - If you have a computer with internet, this is for you","RequirementsAll you need is access to the Internet and you can participate. Computer with Internet access, valid email address, good typing skills   ",This is an entry level position and we offer full online training. You do NOT need any special skills to get started.Earn as much as you can doing data entry.  Complete Training provided before you start working and its easy to start!  ________________________________________Dont let this opportunity pass you by.POSITIONS ARE STILL AVAILABLE - GET STARTED RIGHT NOW,0,0,1,,,,,,1
929,R&D Engineer,"GB, LND, ",,,"Weve bitten off one of the greatest online challenges  using social data to improve customer experience  and turned it into a few apps that start driving revenue right out of the box.If youre determined like that, we should talk.We want developers who like turning thorny technical puzzles into startlingly simple solutions.And we want salespeople who wake up in the morning with a drive to improve websites results.Intent HQs audience intelligence technology is in high demand, and were constantly extending the capabilities (again, increasing demand). So we need smart people more or less constantly.","At Intent HQ were tackling some seriously difficult problems, right at the cutting edge of deep consumer analysis. We model user interests and apply this insight to solve challenging consumer problems at scale. Want to draw insights from 20 million detailed social network profiles? In realtime? We do.To help us innovate faster, were building a new R&amp;D group. This team is responsible for researching, designing and prototyping algorithms in the machine learning and NLP space. We have an engineering team responsible for the overall platform, who you will work closely with to bring prototypes to production.","You should either have excellent recent academic qualifications (a masters or PHD preferred) in computer science with a focus on machine learning, nlp, or a related area OR several years experience working on machine learning problems, at scale, in the real world.This is a varied role. You will be involved with everything from data prep, modelling and analysis, through to prototyping and design and ultimately production quality code.Skills We Look Out For:We expect you to have a solid grounding in computer science. This may have been achieved academically, or be self taught. Either way, you should have:Good programming skills, preferably in Java (the team also uses Python and Scala extensively, and R when necessary)Good grasp of statistics, probability theory and preferably some linear algebraAn understanding of the intuition behind linear and logistic regression, max margin classifiers and support vector machines and when to apply them. You should also understand what regularisation and feature scaling areUnderstanding of algorithmic complexity, and the ability to write optimised low level algorithmsGreat verbal and written communication skillsSkills You May Already Have:If you don't have these don't worry, but you should be prepared to learn them.Processing of large datasets at scale using Hadoop, Pig and SparkGraph algorithms including clustering, subgraph selection and social network analysisLow level algorithm implementation and optimisation in C and CUDANLP including named entity detection/linking/disambigation and sentiment analysisFamiliarity with a rapid mathematical prototyping or data analysis language like R, Matlab/Octave, or Python (iPython, SciPy, NumPy, SciKit, Theano)",BENEFITSSalary commensurate with experience26 paid holiday daysCompany supported pension schemeShare option scheme / equity planChildcare voucher scheme,1,0,1,Full-time,Not Applicable,Unspecified,Computer Software,Engineering,0
13823,Regional Sales Manager,"CA, SK, Regina",Department,,"Yara Canada is part of the global company Yara International ASAdelivering solutions for sustainable agriculture and the environment. Ourfertilizers and crop nutrition programs help produce the food required for thegrowing world population. Our industrial products and solutions reduceemissions, improve air quality and support safe and efficient operations.Founded in Norway in 1905, Yara has a worldwide presence with offices in morethan 51 countries and sales to 150 countries.","Yara Canada is part of the global company Yara International ASAdelivering solutions for sustainable agriculture and the environment. Ourfertilizers and crop nutrition programs help produce the food required for thegrowing world population. Our industrial products and solutions reduceemissions, improve air quality and support safe and efficient operations.Founded in Norway in 1905, Yara has a worldwide presence with offices in morethan 51 countries and sales to 150 countries.Applications will be accepted online at #URL_5f3bd29e46e2565cae28a170e3ea14f5ff08de1c76467cafdb24427b13bfe81b# on or before October 20, 2014This positon location is TBD (Prairie Provinces) Candidate will work from home office","Customer relationship managementDevelop key account plansSegment market to match products to customersMarket and sell commodities and specialty fertilizer productsOptimize returns on all product salesUnderstand competitor products and how to market against themCommunicate market intelligence to Director Sales and Marketing Northern RegionEnter into sales contracts and communicate the sales contract conditions to both the customer and Yaras customer service department.Ensure account receivables are kept current.Work within Northern Region team to advance the strategic directionEducational RequirementAgriculture DegreeAdditional Requirements:Fluent in English, good in French or other language skills would be an additional assetUnderstanding of western Canadian production agricultureExcellent communication skillsAbility to work in a team but also independently to meet sales objectivesCreativity and entrepreneurial spiritStrong negotiation skillsHigh integrity and credibilityWillingness for extensive travel ",,1,0,0,Full-time,Mid-Senior level,Bachelor's Degree,,Marketing,0
16211,Process Engineer,"US, CA, Los Angeles",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Process Engineer :Job Description :-Roles and responsibilities-Preparation of all APQP documents such as PFMEA, Control Plan, PFD, Work instruction, SOP etc.Conduct Time study, Line balancing, capacity planning etc.Carry out process design for new &amp; existing process.Designing of different types of Jig/Tools/fixture for Automation &amp; as required in process using 3D Modelling.Education-B.Tech/B.E.Category-Jobs in Manufacturing / Packaging / Printing / Industrial JobsMinimum experience-Two yearsVisit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,,,0
5110,Client Care Worker,"US, NY, Bronx",CASH,,"Volunteers of America is a faith-based human services organization. We are dedicated to helping individuals and families in need create positive and lasting change through social service programs that support and empower them to live safe, healthy and productive lives.","Within program and agency procedures, functioning as a key member of the service delivery team, the client care worker meets the needs of the program clients and promotes client participation in services leading to successful graduation to independent living. Client care workers perform a wide variety of tasks including overseeing program operations, protecting client rights, promoting client and co-worker safety, transporting clients as needed and ensuring client adherence to rules and program activities.Supervise client participation in daily program activities, monitor observance of        clients rights and client adherence to program rules and routines.  Escort clients to       appointments, manage bed assignments, collect census data, distribute client linens       and supplies.2.   Maintain knowledge of service models. Communicate with case management staff and perform assigned tasks related to model service delivery.3.   Make scheduled rounds of assigned areas to safeguard clients and property and promote appropriate behavior. Provide emergency response including crisis management, first aid, CPR and fire response as needed.4.   Report any instances of violations of client rights, incidents involving clients non-      compliance of rules and regulations and any criminal activity promptly to the       supervisor. Complete Incident Reports as outlined by the Program Manual and Funder guidelines.5.   Drive agency vehicles to transport clients, staff and materials, if assigned.6.   Perform related tasks as needed.","The position requires an associates degree and one year of relevant experience with a similar population or a high school degree or GED with at least two years of relevant experience.  If assigned driving duties, the incumbent must have a valid drivers license and a two-year driving record with no violations.",Comprehensive benefit package.,0,0,0,,,,,,0
8670,Assistant Editor ,,Editorial,,"We're Food52, and we've created a groundbreaking and award-winning cooking site. We support, connect, and celebrate home cooks, and give them everything they need in one place.We have a top editorial, business, and engineering team. We're focused on using technology to find new and better ways to connect people around their specific food interests, and to offer them superb, highly curated information about food and cooking. We attract the most talented home cooks and contributors in the country; we also publish well-known professionals like Mario Batali, Gwyneth Paltrow, and Danny Meyer. And we have partnerships with Whole Foods Market and Random House.Food52 has been named the best food website by the James Beard Foundation and IACP, and has been featured in the New York Times, NPR, Pando Daily, TechCrunch, and on the Today Show.We're located in Chelsea, in New York City.","Food52, a fast-growing, James Beard Award-winning online food community and crowd-sourced and curated recipe hub, is currently looking for an assistant editor to work on a team of editors, executives, and developers in its New York City headquarters. This will involve plenty of writing and editing, good but not necessarily healthy food, unruly behind-the-scenes website functions, a deepening relationship with your laptop, and coffee. Responsibilities:Working in a small, fast-moving editorial team to produce clever, useful online food content (sometimes on the fly, sometimes days or weeks ahead)Conceiving and writing original blog posts, like recipe-based articles, technique how-tos, and features on goings-on in the greater online food community, and contributing new feature and coverage ideas regularly Working with contributing writers to produce and edit a portion of our growing roster of daily columns, having a hand in how we thoughtfully dovetail our product and editorial coverageHelping out at weekly photo and video shoots and managing biweekly, on-site contests Working closely with other teams to maintain our editorial voice across all platforms Helping to produce and edit Food52's cookbooks and apps","Writing and editing experience in the food sphere and experience with CMS a major plusExpansive knowledge of the online food community and food blogs Demonstrated ability to gracefully write, sleep, and breathe in the Food52 editorial voice and aestheticLoves food, appreciates the importance of home cooking and cooking with the seasonsMeticulous editor, perfectionist, obsessive attention to detail, maddened by typos and broken links, delighted by finding and fixing themCheerful under pressureExcellent communication skillsA+ multi-tasker and juggler of responsibilities big and smallInterested in and engaged with social media like Twitter, Facebook, and PinterestLoves problem-solving and collaborating to drive Food52 forwardThinks big picture but pitches in on the nitty gritty of running a small companyComfortable with the realities of working for a startup: being on call on evenings and weekends, and working long hoursHas a good sense of humor (i.e. think we're funny)",,0,1,0,Full-time,,,,,0
7845,Software Engineer - Video and Imaging,"NZ, N, Auckland",,,"Frustrated with the status quo?Like to re-imagine whats possible?Want to build awesome products?Then do something about it!Teknique is moving into a new phase of company growth - and we're looking for top notch people who want to be involved in something awesome!What we doWe are a visionary business specializing in the design and development of miniaturized wireless video camera systems used in Action Sports, Driver Safety, and Security. Our award winning products are licensed to brand name clients worldwide.  Learn about us on LinkedIn, Twitter, and Facebook and keep up with latest video news and innovation.Our vision is the future, and at Teknique we create it - with sustainable, continually evolving, world-class products. Innovation, insight and ideas are critical to our success; Applicants will possess these attributes and have a strong desire to create what “the world hasn't seen yet”.Our workplaceWe offer a creative team working environment in a modern office in Auckland, New Zealand, with bush views, free snacks and coffee machine, latest Macbook Pro's, office 3D printer, not to mention lots of miniature video cameras that you can play with, whether you're into snowboarding, motor racing, dirt bikes, or just want to strap a camera  to your cat and find out where he goes every day.","Frustrated with the status quo?Like to re-imagine whats possible?Want to build awesome products?Teknique is moving into a new phase of company growth - and we're looking for top notch people who want to be involved in something awesome!To give you some background, Teknique is a visionary business specializing in the design and development of miniaturized wireless video camera systems used in Action Sports, Driver Safety, and Security. Our Award winning (CES Best in Show, Red Dot Design, ...) products are licensed to brand name clients worldwide.Video content is exploding, by 2017, it is projected that 73% of the worlds IP traffic will be video.  There is a growing worldwide demand for high quality products that capture, process, and share video.Come and be part of it!This is what you'll be doing at Teknique...Using C and to a lesser extent C++ to improve and build new features for wireless miniature camera systemsLearning and developing new concepts, algorithms, and processes for image processing and vision type applicationsWorking on Linux and OSX, with some embedded developmentExperiencing a growing NZ R&amp;D company, building new products that you will want to own and use yourself!Getting to collaborate with a fantastic team of passionate peopleLearning some iOS and Android App development skills, as well as interfacing with web and cloud systemsWorking in an Agile development environment","You will...Be extremely competent in C and potentially C++Have Linux experience (preferably embedded) and to a lesser extent OSXHave some understanding and interest in Electronics and HardwareBe comfortable using every day product design equipmentHave exceptional problem solving skillsHave a sense of humour - no matter how quirky! ;)Be a team player who is confident to speak up with ideas and issuesHave an interest in the video capture and content industryHave a degree or qualification in Computer Science, Electronic Engineering, or similarHave 3-5 years professional experienceIt would be cool if you also had...An understanding of the image signal processing (ISP) pipeline for CMOS sensorsExperience in areas of 3A, image enhancement, noise reduction, computer vision, etcWillingness to travel abroad to assist bringing products into production and collaborate within a global teamExperience using MATLAB for developmentiOS and Android App development experienceMulti lingual Experience working in an Agile environmentAn interest in User Interaction - yes, the end users having fun with your products!","Well, apart from paying you in the currency you desire (gold, bitcoins, or just cash!) you will be part of an awesome team of innovators, creators, and do-ers that enjoy building new products  the world hasn't seen yet.We encourage continual upskill and learning through supporting our employees at conferences and training events.And, we offer a creative team working environment in our modern office in Auckland, New Zealand, with bush views, free snacks and coffee machine, latest Macbook Pro's, office 3D printer, not to mention lots of miniature video cameras that you can play with, whether you're into snowboarding, motor racing, dirt bikes, or just want to strap a camera  to your cat and find out where he goes every day.",0,1,1,,,,,,0
11722,Lead UI/UX Designer,"US, CA, Manhattan Beach",,,"The Boston Consulting Group (#URL_45423e1e16703aa4d8ee444d3ad69bb51525f1dad0b3115713cf80dc496299e2#) has launched a new digital business, BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) - a digital innovation, product development, and commercialization firm.  This first-of-its-kind firm will bring unique capabilities to create and grow disruptive digital products and platforms.  BCG Digital Ventures goes beyond providing consulting, design, and technology services to form strategic venture teams with its clients in order to rapidly develop, launch and grow transformational digital products, platforms and businesses.The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy.  BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises.BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries.  Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a world-class professional services organization.","THE COMPANY: BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#)The Boston Consulting Group (#URL_45423e1e16703aa4d8ee444d3ad69bb51525f1dad0b3115713cf80dc496299e2#) has launched a new digital business, BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) - a digital innovation, product development, and commercialization firm.  This first-of-its-kind firm will bring unique capabilities to create and grow disruptive digital products and platforms.  BCG Digital Ventures goes beyond providing consulting, design, and technology services to form strategic venture teams with its clients in order to rapidly develop, launch and grow transformational digital products, platforms and businesses. The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy.  BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises.BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries.  Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a world-class professional services organization.THE OPPORTUNITY: LEAD UI/UX DESIGNER, DEVELOPER, ARCHITECTBCG is looking for an exemplary Lead UI/UX professional that is passionate about designing product user interfaces to help drive the rapid growth of BCG Digital Ventures.  This UI/UX professional will help BCG clients imagine, bring-to-life and launch digital businesses and solutions.  To do this, BCG is looking to hire a world-class business/strategy, product design, and technology professional with deep knowledge and experience in digital businesses and solutions  from mobile applications and ecommerce, to new and emerging digital solutions yet to be realized. As a lead member of the UI/UX team, you would guide a team to execute projects from concept to completion, leveraging expertise in investigative research, ideation, concept development, wire-framing, digital rapid prototyping, technology vendor management and communication with client product creation organizations and/or client technology enablement departments.Specifically…Leverage world-class user-experience and graphic-design methodologies to help re-imagine new human-centered user experiences, products and services through collaborative problem solving with a multidisciplinary team.Lead and motivate a team, encouraging collaboration and helping members achieve their goalsServe as key contributor and/or lead on primary research, trend analysis, conceptual ideation, digital prototypes and UI/UX specifications.Contribute to BCGs thought leadership in UI/UX/transmedia design approaches and strategic innovation best practices.Navigate multidisciplinary input and constructive feedback to ensure digital products balance compelling visual design and a great consumer experience.Create design solutions that address primary user as well as business goals.Lead end-to-end design of large-scale projects that focus on a user-centered design approach.Refine, maintain and enforce the best-in-class design guidelines and strategies.Adeptly handle changing priorities throughout a product life cycle.Partner with the technology team to ensure BCG leverages the scale offered by their platform without compromising on core experiential principles.Work strategically with and present your design solutions to a wide variety of teams and departments.","REQUIREMENTS/COMPETENCIES/PERSONAL ATTRIBUTESEDUCATION: Undergraduate degree in Graphic or transmedia Design; Industrial Design, Web Design, Human Factors, HCI, visual arts or related; Masters or advanced degree highly preferred.EXPERIENCE: 7-10+ years of experience designing and documenting user experiences.Experience leading a team of designers and executing against strict deadlines2-5+ years of experience in graphic, UX/UI and/or transmedia design.Deep knowledge of design visual theory (i.e. typography, color theory, composition, iconography, etc.)Have an online / digital portfolio that demonstrates strong graphic design and compelling user experiences across a diverse range of projects.Demonstrated knowledge of information architecture, interaction flow, user interface and visual design.Expert skill level in 2-D Analog Visual Communication, Adobe Creative Suite (Photoshop, Illustrator, In-Design, Fireworks), Balsamiq and Omnigraffle.A solid understanding of web and mobile design principles and best use of current web and mobile technologies and scalable dynamic user interfaces.Knowledge of open-source transmedia toolkits (e.g. Arduinos, sensors, effectors, etc.) and an agility to prototype interaction experiments is a plus.Possess a passion for digital products and services.Mastery with organizing information, developing impactful experience concepts, designing advanced layouts and interactions for all things digital.Demonstrated success at project execution and delivery, and ongoing project communicationStrong written, verbal and interpersonal communication skills.Self-motivated, takes initiative, possesses creative problem-solving skills and willingness to offer suggestions for improvement; able to build effective relationships to leverage resourcesProven diligence, attention to detail and technical intuition.Service-oriented, flexible, positive team player, capable of interacting and problem solving with a multidisciplinary team.An organized and composed professional, able to handle competing priorities and work effectively in a challenging fast paced environment.Exercises judgment in managing confidential/sensitive information; trustworthy, ethical and possesses the highest levels of character and values.Must be able to thrive in a fast-paced, intellectually intense, service-oriented environment and to interpret rules and guidelines flexibly to enhance the business and in keeping with BCGs values and cultureProven Experience working successfully within a complex matrix structured organization is essentialIt is necessary to have the ability to understand and manage complex reporting relationships and incorporate multiple labor laws and cultures.",WHY BCG DIGITAL VENTURES?Why BCG?Is BCG for me?Career GrowthWorking at BCGMeet BCGersFAQ“Top 5 Fortunes Best Companies to Work for”96% of the staff is proud to be part of BCG92% of alumni recommend BCG as place to workBCG works with 2/3 of Fortune 500 Companies,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Management Consulting,Design,0
2058,Alliance Manager,"GR, I, Athens",Product,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","The Alliance Manager, who will have proven profound knowledge in the mobile application space, will be responsible to open a new vertical for Upstream, leveraging on Upstream's existing technology and on the relationships we have built with mobile operators across the world. The Alliance Manager will be responsible for establishing and managing productive and profitable business relationships with new partners in the mobile ecosystem or interested to penetrate the mobile ecosystem.            Key AccountabilitiesInitiating contact with application &amp; content developers / providers.Act as the connecting link between Upstream - content providers  mobile operators and manage this 3 party relationshipNegotiation the business terms and responsible for closing the commercial agreementsOn top of the latest developments in the mobile applications / mobile content space.Have a profound understanding of the market space for mobile apps, both the major players but also the challengers who provide a cheaper alternative to the big brandsObserve trends and opportunities that arise in one market and transfer these best practices in other markets in which Upstream is activeUnderstand the mobile operators business and key driversEnsure the sales processes and methodologies used are effective in helping to grow the business and propose corrective actions when necessary.Ensure the profitability of the alliance, through handling of all issues, in collaboration with Upstreams relevant departmentsManage the complete P&amp;L, business and marketing plans for the productAbility to produce business development performance reports to share with decision makers from both parties involved","Knowledge, Skills and ExperienceAt least 3 years direct sales experience (preferably within the VAS or Telecommunications sector) of which at least 2 years in the smartphone applications industry or mobile content industry.Solid understanding of the mobile app business ecosystemUnderstanding of business and proven track record of managing major accountsAbility to identify and engage with C level contacts within key customersAbility to map out mobile operators organisation structureOrganised and structured thinking for planning and execution purposesLeadership and gravitas to effectively conduct business activitiesSalesmanship and effective communicationsTime management (ability to work alone and develop own daily/weekly agenda)Personal CharacteristicsUnparalleled attention to detailA self-starter with drive, self-confidence and resourcefulnessVision, positive attitude and ability to think outside of the boxLateral thinkerAnalytically minded and able to engage with and utilise others to deliver results","Includes attractive competitive base salary and benefits, working closely with a highly motivated team in a dynamic and fast paced environment that provides the opportunity for rapid career development. ",0,1,1,Full-time,Mid-Senior level,,Telecommunications,,0
3866,Software Engineer - Java Server Applications,"US, FL, Tampa",Digital Pathology,,Innovative technology for digital pathology and cancer diagnostics,"We are looking for a Russian-speaking Java Developer with experience in building high-performing, scalable, enterprise-grade Java web applications.You will be part of a dynamic talented international software team that works on mission-critical applications for global Cancer Imaging Diagnostics. You will be responsible for Java/Java EE application development while providing expertise in the full software development lifecycle, from concept and design to testing.Key Responsibilities:Develop core server components using Java languageDevelop  user web interfaces using Vaadin development frameworkDesign and develop high-volume, low-latency applications for mission-critical imaging diagnostics systems, delivering high-availability and performance.Work with Russian-based development team on product knowledge transferWrite well designed, testable, efficient code.Ensure designs are in compliance with specifications.Prepare and produce releases of software components.Support continuous improvement by investigating alternatives and technologies and presenting these for architectural review.","Profficient Russian LanguageGeneralHands on experience in designing and developing applications using Java EE platformsHands on experience in designing and developing applications using Java EE platformsObject Oriented analysis and design using common design patterns.Excellent knowledge of Java and JEE internals (Classloading, Memory Management, Transaction management etc)Experience in developing web applications using at least one popular web framework (JSF, Wicket, GWT, Vaadin)Experience with test-driven developmentProgramming Skills Java EE | Java SE + Apache Tomcat - 5Vaadin + GWT - 3Image Analysis - 2C | C++ | Delphi - 2HTML + JavaScript - 3SQL -2Software Development Tools:IntelliJ IDEA, GitHub, Maven, Youtrack - 2Required Experience: 5+ years of developing server-based enterprise web applications using  Java programming language.Beneficial Experience:Server-based Java application development;  Software Applications for Pathology, Digital Pathology; Biomedical Imaging, In-vitro Diagnostic, US Software Startup experience.Education:Bachelor Computer Science, Computer Engineering, Applied Mathematics or related.Acceptance Process:ResumeSamples of written code (reviewed by Chief Architect)Interviews ",,0,1,0,,,,,,0
13611,Head of Sales,"GB, , London",,,"At LendInvest fundamentally changing the way people think about their savings, investments and how you get a mortgage. We're the new generation of finance, which is far less stuffy as we are building a new way to do things - and having some fun along the way. If you want to be part of building the future of finance, then we'd love to hear from you. LendInvest has been prominently recognised as a leader for its growth and innovation. We are based in a prime central London building, with a very cool work environment.LendInvest is a direct employer and we therefore do not work with any recruitment agencies. Recruiters, please do not spam us, we are not interested in hearing from you.For a look at what we're creating, you can see more on our site at: #URL_a472ef05be663cdc9df7eb234d26330394196f039430435745cd6695790fd82e#","We are looking for an experienced business development manager, that has experience leading a salesteam, to join our rapidly expanding bridging finance business.The specific role is to lead the LendInvest Deal Team, and assist that team with originating volume of loan applications.The successful candidate will be responsible for running the sales team for LendInvest, and for recruiting additional team members. We aim to be one of the leading alternative lenders in the UK in the next few years, and we need talented people to join our team.","The successful candidates will have:relevant market experience (bridging finance not essential, but mortgage market experience is required);a solid understanding of the UK bridging finance industry;the ability to lead a team, and to drive our brand forward within the mortgage intermediary market;commercial nouse and the ability to successfully compete for - and win - transactions/dealflow;existing relationships in the mortgage broker and intermediary market;The position is permanent and based out of LendInvest's West End London office.We pay the best in the market. The salary and bonus will be very competitive and commensurate with the relevant candidate's experience and performance.STRICTLY NO RECRUITERS!!!!Desired skills &amp; experience:good written and oral communication skills;the ability to develop strong working relationships with borrowers and intermediaries within the marketplace;the ability to use initiative, and work to a tight deadline when required.","All business related expenses will be reimbursed;Opportunity to attend expo/conferences/seminars to extend your networks;Fully stocked fridge and pantry with light breakfast available every day, fresh fruit and more food, beverages &amp; snacks than you could ever eat;Weekly team lunches;Subsidised gym membership (with pool);",0,1,0,Full-time,Mid-Senior level,,Financial Services,Sales,0
4968,Military Benefits Advocate,"US, IL, ",,30000-100000,"Anthony Warren is a Marketing and Advertising consultant.  After completing one enlistment as a U.S. Marine at Quantico, VA, where he provided administrative support to the Lawyer for the Commandant of the Marine Corps, he has hired and trained over 1000 sales people managers and CEO's to increase their effectiveness and monetize thier efforts.  His early skill as a door to door salesman has evolved from concert promoter, Real estate investor, Fundraiser and now with the internet to a supply and demand tour guide. His zest for finding information and his ability to sell ideas has generated affiliations and clients from small business to Fortune 200.  Brainstorm and network with this evangelical marketing Pro.","AWC WANTS YOU:We are looking for a talented and competitive Inside Telecommute Benefits Representative that thrives in a fact paced  ""not for profit"" cycle environment.  The successful candidate will play a fundamental role in achieving our ambitious customer and client acquisition and revenue growth objectives. You must be comfortable managing people or making dozens of calls per day, working with channel and military partners, generating interest, qualifying prospects, closing sales and promoting our benefits, Training workshops, seminars and various affiliate products as we raise money for charity.Compensation:  $1000 to $4000 a month (Based on ability and performance)ResponsibilitiesSource new sales opportunities through inbound lead follow-up and outbound cold calls and emailsUnderstand customer needs and requirementsRoute qualified opportunities to the appropriate sales executives for further development and closureClose sales and achieve quarterly quotasResearch accounts, identify key players and generate interestMaintain and expand your database of prospects within your assigned territoryTeam with channel partners to build pipeline and close dealsPerform effective online demos to prospects","Requirements2+ years of inside sales experienceTrack record of over-achieving quotaStrong phone presence and experience dialing dozens of calls per dayProficient with corporate productivity and web presentation toolsExperience working with #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# or similar CRMExcellent verbal and written communications skillsStrong listening and presentation skillsAbility to multi-task, prioritize, and manage time effectivelyBA/BS degree or equivalent",DisablityHealthBusiness Start upFranchise,1,1,1,Contract,Associate,Certification,Military,Project Management,1
3211,CR0 4XH Customer Service Apprenticeships Under NAS 16-24 Year Olds Only,"GB, CRY, CR0 4XY",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Customer Service. During the first 12 months you will work towards a Level 2 Customer Service NVQ and then be kept on in a permanent position.You will be working for one of the UK's largest vehicle rescue organisations and the role will involve:-Being a vital team member-Making outbound calls to customers on behalf of their insurer-Asking questions to acquire all the relevant information-Inputting all of the information onto the sheet/data inputting-Ordering partsIdeal candidates will be happy to pick up the phone.If you are confident with a positive attitude please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Customer Service,0
5629,Applications Administrator,"GR, I, Athens",,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","The application administrator is responsible for implementing the campaign structure of international mobile marketing campaigns utilizing the companys proprietary platform. He/she works closely with the campaign managers to set up and monitor each campaign, configuring and optimizing both the structure and the content and reviewing the performance of the campaign. This is mostly a technical position, but interest in marketing and game design is preferred. There are often many campaigns running simultaneously, so the application administrator has to be able to work under pressure and on many projects at once. The position will be based in Athens.Key AccountabilitiesConfiguring Upstreams proprietary platform for each campaign with campaign structure and contentMeeting with the all relevant teams to discuss client requirements of the campaignAssisting the campaign manager to design and implement the campaign by providing clear insights on the platforms capabilitiesAssisting with campaigns from the design phase to completion, fine-tuning and optimizing the campaigns modulesMonitoring campaign performanceContributing to the infrastructure upgrade efforts providing proposals/feedback on automation mechanisms, tools and proceduresWorking on several campaigns at once, under pressure and tight deadlines  while being flexible when required "," Knowledge, Skills and ExperienceVery good educational background, preferably in the fields of information technology, statistics, computer science, or related field1-2 years relevant work experienceExcellent command of English and Greek languageAdvanced knowledge of MS Excel and experience with .csv filesExperience working with online content management toolsBasic SQL knowledgeKnowledge of basic programming concepts Personal CharacteristicsQuick learnerCharacterized by productivity, creativity and organization skillsAttention to detail and strong sense of responsibilityAbility to work effectively with many different work groups (Technology, Creative, Account management, etc.)","Salary &amp; BenefitsWe offer a competitive base salary and benefits, directly dependent on the candidates qualifications and skills. By joining Upstream you will be part of a young, creative and dynamic company undergoing rapid growth and transformation into a global market leader.  ",0,1,1,Full-time,Entry level,Bachelor's Degree,Telecommunications,,0
10519,Controls Engineer,"US, MN, Minneapolis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Qualifications Required:Bachelors degree in Electrical Engineering is required.7 years of experience is required. Customer machine build or systems integrator experience is preferred.Knowledge of NEC, NFPA, ANSI standards, UL/CE specifications, customer specifications, technical and maintenance manuals, and procedure instructions.Ability to read, interpret and develop electrical and fluid schematics, electrical drawings, basic mechanical drawings is required.Excellent verbal and written communication skills are required.Knowledge and experience in one or more CNC controls manufacturers is preferred (Siemens 840D; Fanuc 31i / 32i, Haas). Siemens 840D experience is preferred.Knowledge and experience in one or more industrial controls manufacturers is required (Allen-BradleyExperience with PROFIBUS, Ethernet and/or other communication networks a plus.Ability to interpret instructions furnished in written, oral, diagram, or schedule form.Knowledge of Visual Basic and/or C++ is a plus. Proficient in the use of all Microsoft Office products.Excellent time management skills are required.Travel to customer plant locations to participate in machine installation and startups. Must be an aggressive, pro-active team player who is not afraid to dig for information and function autonomously.Job Responsibilities:Engineer custom controls drawings (Electrical, Pneumatic, Hydraulic, Lubrication). Develop PLC and HMI programs (AB, Siemens, Fanuc and others).Develop CNC machine programs and HMI screens (Fanuc, Siemens, Haas and others).Support commissioning during the build, start-up, installation, and runoff phases of projects.Design and program ladder logic to integrate a CNC machine with various other peripheral equipment, including gauging, material handling, robotics, etc.Develop/write operating and control manuals. Support and provide customer training. Maintain effective communication with other project staff, customers, other departments, and outside suppliersParticipate in project teams, providing input in SOWs, Timelines and Action Items lists. Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,,,0
3635,Customer Success Manager,"US, CA, San Jose",,,"SLI Systems is a SaaS company revolutionizing the way online retailers do business. SLI works closely with online retailers—like Sports Authority, and 7 for All Mankind—to improve the search experience for their customers. By monitoring consumer behavior and search terms, SLI is able to direct shoppers to products theyd be interested in—making searches quicker and more efficient. SLI Systems is dedicated to revolutionizing e-commerce and helping its clients grow their user bases, numbers, scope, and clientele.","A highly successful eCommerce software vendor is seeking a Customer Success Manager (CSM) who will be both implementing new customers, and supporting existing customers. You will be responsible for providing best practice guidance regarding eCommerce and product merchandising, helping our customers achieve measurable success with our products. This is done through direct communication, via the phone and email. This position acts as the primary point of contact between SLI Systems and the client base, and as such the candidate should be professional, articulate and energetic. You will be handling all requests and issues and should also have excellent time management skills.ResponsibilitiesEnsure the timely and successful deployment of our software to our customersClosely monitor success metrics and conduct regular reviews with customers to discuss opportunities for improvementDisseminate product best practices and industry specific advice regarding eCommerce and product merchandisingRespond to client request regarding SLI productsInterface with engineering to resolve customer support issues/questionsEscalate critical customer issues; provide summary of the overall health of the account and use of our servicesServe as an advocate for your customers in driving the improvement and evolution of our products and servicesEstablish and build strong customer relationships over time that allow for continuity, and ongoing representationTrain customers on the use of SLI productsProvide input to Product Managers on new services/products/features requested by clients","Bachelors Degree and a minimum of 2 year work experience in a technical client services/relationship roleOutstanding verbal, written, presentation and interpersonal communication skillsExperience providing pre-sales assistanceSolid time management and prioritization skills. Ability to handle multiple tasks and initiatives at the same time.Sufficient business acumen and exceptional customer service approachExperience working with multiple departments and senior management to implement company objectivesUnderstanding of XML, HTML and JAVAMust be able to present technical concepts to non-technical usersProven ability to work independently, without administrative supportAttention to detail, highly organized, with an absolute focus on quality of workPositive, self-starter attitude with desire to exceed client expectations and ability to work extended hours when requiredKnowledge of eCommerceKnowledge of Product merchandisingFamiliarity with Search Engine Optimization","What do current employees say?  ­   “People truly care and support each other professionally and personally. Transparency and trust are high throughout the teams, leadership and globally across offices. The company is also very focused on feedback from customers and look to them to help improve the products and service.”­   “Despite the recent growth everyone still finds time to sit down together.”­   ”The people are smart, experienced, and self-made. There are tons of fun company-wide and office-wide events, gatherings, games, etc that happen every month, which gives employees more to look forward to and helps build a community within us. The VPs are on top of their game, and are always willing to assist anyone and everyone, not just within their department. Also, the size of the company allows for each voice to be heard and for changes/ideas to be implemented more quickly.“",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Customer Service,0
364,Director of Marketing - DocDoc,"SG, 01, Singapore",Marketing,,"Jungle Ventures is the leading Singapore based, entrepreneur backed, venture capital firm, that funds and actively supports start-ups in scaling across Asia Pacific. We pride ourselves on leading investments with conviction.We invest in global start-ups that are solving problems relevant to Asia Pacific markets. We currently have investments in US, Singapore, India, Australia, Thailand, Malaysia, and the Philippines. As one of the most active investors in the region we have made 27 investments to date, including Travelmob which was acquired by Homeaway last year.Jungle are the only truly active investors in the region which means working with us doesnt mean an investment and occasional board meeting. Our team of Venture Partners, Advisors and Operating Partners roll-up their sleeves and work side by side with you to solve the little problems and scale across countries.","DocDoc are searching for a creative, talented and enthusiastic professional in Digital Marketing. You will lead, build, and execute marketing strategies to continue our path to creating a dominant, regional leader in healthcare.You will be responsible for and provide the direction to develop online marketing campaigns, defining the DocDoc online appearance and attractiveness. ResponsibilitiesManage and report DocDocs various digital marketing channels. These include Google SEM, Google Display Network, Re-marketing, Yahoo / Bing SEM, Newsletter, Referrals, Social (Facebook, LinkedIn, Google+, Youtube etc).Manage and report DocDoc Concierge hotline and Live Chat support.Identify the least expensive but most powerful and profitable keywords for DocDoc website.Prepare weekly marketing dashboard and make recommendation.Track and improve company's organic search results.Manage individual(s) in charge of doctor profile pages, SEO content for blogs and websites, and landing page creation.Implement on-site and off-site SEO initiatives such as internal and external link building, landing page creation, keyword density, etc.Evaluate traffic, landing page quality, content, design, doctor profiles and social pages.Consult with independent web designers for banner ad creation and landing page design.Provide digital marketing support to DocDocs Medical Tourism campaign.Strategize and implement patient retention schemes and management of customer feedback.Manage companys intellectual property which include various business accounts and domainsKey Performance IndicatorsMaximize Number of Patient Bookings.Minimize Cost of Patient Acquisition.Increase SEM, Organic, Display channel Conversion Rate.Increase Web, Mobile Page, Mobile Application Conversion Rate.Increase Concierge Hotline and Live Chat support Conversion Rate.Increase Patient Retention Rate.Decrease Booking Turnaround time.About DocDocDocDoc was founded to transform the healthcare experience enabling improved accessibility and promoting informed patients within Asia Pacific's difficult to navigate healthcare markets.We provide a free service that enables patients to find a nearby doctor or dentist, to credibly research and understand their clinical interests and specialties, assess their academic credentials and achievements, and instantly book an appointment via any internet-connected device.DocDoc is founded by veteran professionals from both the Healthcare and Technology industries and funded by some of the most successful tech entrepreneurs such as 500 Startups (leading Silicon Valley venture capital firm led by Dave McClure, formerly with PayPal and Facebook), and Michael Brehm (Founder of Rebate Networks, Business Angel of DailyDeal which was acquired by Google, brands4friends acquired by eBay, and scoreloop acquired by RIM).Other notable investors include Jungle Ventures, William Hawkins, (former CEO of Medtronic), Koh Boon Hwee (former Chairman of DBS bank, Singtel, and Singapore Airlines), Yoh Chi Lu (Founder and Chairman of Biosensors International) and Hyder Ahmed (CEO of Broadpeak Investments).","Expert in Google Adwords.Healthcare industry experience is preferred but not required.Extensive knowledge of Google Analytics, Search Engine Optimization, Funnel Optimization (A/B, multivariate testing etc), Microsoft Excel and Management ReportsExperience in Call Center Management in preferred but not required.",Competive Cash + Real Equity for the right person.We want this person to feel like they own the company and will ensure they have enough equity to make this happen. ,0,1,1,Full-time,Director,Bachelor's Degree,"Health, Wellness and Fitness",Marketing,0
12189,Department store hostesses,"GB, , Birmingham",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Are you passionate about cosmetics and fragrances? Are you target driven and great at sales? Are you a fun, vibrant &amp; exciting person with either modelling or promotion experience? If yes we want to hear from you! Girls &amp; Guys!We are recruiting for a leading luxury department store in Birmingham with the work being for the months of November and December.If you think you fit the bill please email your CV plus head shot or full length photo to #EMAIL_bedc659500da7f5550f2f23c9e71efa09767318b80459b0fdf9af163d2fe10ce# ",Modelling/promo experienceSales experienceAvailable to work November and DecemberFun &amp; Exciting!,,0,1,0,,,,,,0
10770,General Clerk I ,"US, VA, Sterling",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking a General Clerk I. The right candidate will be an integral part of our talented team, supporting our continued growth. This position will be located in our Sterling, VA location.Responsibilities include, but are not limited to:Open mail manually or by use of high speed openers or auto-assist extraction equipmentIdentify, classify and sort documentsPrepare mail and documentsAssemble document batches, verifying document and/or page volumesLift large boxes of paper for storage or production use. Lift large boxes upto 70lbs ( Receiving mail and packages on loading dock)Handle time-sensitive materialsHandle confidential materialsProcess cash, or checks in accordance with security and operating policies and procedures.Perform duties and special requests as assigned by team leader and managerEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careEnsure adherence to business guidelines, safety &amp; security proceduresSupport financial results by minimizing site waste and rework","Required Qualifications:Minimum of 1 year customer service related experience preferred1 year of experience performing tasks supporting document scanning and imaging (or related applications, such as microfilming or high volume reprographics)Keyboarding skills requiredHigh School Diploma or equivalent (GED) requiredAbility to communicate effectively both in verbal and written formAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyAbility to adapt to change in a fast-growing production environmentStrong organizational and administrative skillsDemonstrated ability to show initiative and accept ownership of projectsAbility to use problem-solving skills in order to resolve client issuesBasic mathematical knowledgeAbility to meet employer's attendance policyWork experience in email and Microsoft Windows environments is requiredMay be required to lift items weighing up to 50 poundsMay be required to stand for long periods of time",,0,1,0,Full-time,Entry level,High School or equivalent,Government Relations,Customer Service,0
6507,Graduate Marketing Executive,"GB, GLG, Glasgow",Marketing,,"Aquira help businesses sell more. Lots more. We do this through a range of tailored direct marketing services, high quality data solutions, lead generation and end-to-end outsourced sales. We work with a wide range of big brand name companies across all sectors, delivering profitable customers every time. In fact, things are going so well that we've opened a state-of-the-art site. It's called our Sales Lab. And it's in Glasgow city centre. We are looking to recruit talented and passionate individuals in the following areas: Sales Lab based roles including Outbound Sales Advisor and Team Manager, Dialler Management, MI / Data Analysts, Professional opportunities including HR, Finance and Administration At Aquira, were looking for great people. Good isnt good enough. Call us on #PHONE_351bffd1fb9279f982d96079a2090e49e56454b165d938e5fe464b7ea96a18ba# to find out more.","Are you a recent Marketing Graduate looking for a great opportunity to kick-start your career?As a result of growth, Aquira are looking to recruit a graduate marketing executive to support the Head of Marketing deliver the marketing strategy.This is an excellent opportunity to join a fast growing and successful organisation. KEY RESPONSIBILITIESLiaise, build contacts and manage relationships with a range of stakeholders, such as colleagues, clients, suppliers and industry peers.Contribute to the marketing plan to drive forward company objectives.Identify promotion opportunities to raise Aquiras profile as an outsourcing partner and an employer.Manage the production of marketing materials including copywriting, proof-reading and liaising with designers.Arrange for the effective distribution of marketing materials.Maintain, build and update contact databases.Evaluate marketing campaigns.Monitor competitor activity.Manage company brand collateral to ensure consistent messaging and brand protection.Manage the industry awards programme, working with internal departments to co-ordinate entries and attendees.Maintain the corporate website.Manage and execute the companys internal communication requirements. Support the communications and branding requirements of other departments.Organise and manage conferences, exhibitions, hospitality and other events as required.Support in any other ad-hoc marketing activities as and when required.EDUCATIONMarketing Degree (Essential)SKILLSEssentialDemonstrable project management skills.Excellent organisational and time management skills.Creative flair.Ability to work in a fast-paced environment and deliver results on time, within tight deadlines.Excellent written and oral communication skills with the ability to produce clear and concise copy for a variety of audiences.Ability to work well with others at all levels of the business and externally.High levels of self-motivation.Excellent IT skills in Word, Excel and PowerPoint.DesirableAn understanding of data management/contact centres and outsourcing.Experience of design and content management software.",,,0,1,0,Full-time,Entry level,Bachelor's Degree,Marketing and Advertising,Marketing,0
13893,Senior SharePoint Developer ,"SE, , Stockholm",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Senior SharePoint Developer to offer his/her services as an expert who will be based in Stockholm. The work will be carried out either in the companys premises or on site at customer premises. In the context of the first assignment, the successful candidate will be integrated in the Development Team of the company that will closely cooperate with a major clients IT team on site.Your tasks:Design, implement, test, and maintain solutions for new and existing web applications for the client;Research, documentation and implementation of requirements and specifications, contribution in planning and architecting solutions;Draft documentation to ensure project and technical standards are maintained;Perform data migration tasks, create deployment packages, guidelines and contribute in deployments and in testing/production environments;Analysis of  test results, bug fixing, isolating areas for improvement, and debugging;Identification of the cause of problems and development of solutions;Store up to date project sources and documentation in the Team Foundation Server;Provision of 3rd Line Support.","Your skills:University degree with minimum 4 year experience in IT or non University degree with minimum 6 years in application development using C# in SharePoint (2007/2010/2013) and .NET (3.5/4.0);Experience with Content, Document Management systems and SharePoint workflows development;Proven knowledge of #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# and Visual Studio Team Foundation 2008;Proven experience of front-end development using AJAX and jQuery;Experience with SharePoint 2010 Managed Metadata Services and data migration projects;Proven experience in profiling and debugging applications in non-development environments;Experience with relational databases, T-SQL, SQL 2005/2008, MS SQL server 2008R2/2012, XML,  client side scripting using JavaScript and AJAX;Proven experience in Windows Communication Foundation (WCF), and implementation of SOAP and web services will be considered an asset;Very good command of English, both oral and written.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (SDS/10/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer competitive remuneration (either on contract basis or remuneration  with full benefits package), based on qualifications and experience.All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our website (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Associate,Bachelor's Degree,Computer Networking,Information Technology,0
2779,"Chief Executive Officer, CEO, hospital","US, , West Virginia",Hospital Adm.,,,"Chief Executive Officer needed for general acute care hospital in Southern West Virginia location.  Candidates must have strong experience in hospital setting with strong for profit experience is required.CEO will care facility. - Working with system management to develop and implement policies and procedures, short- and long-range goals, objectives and plans. - Providing leadership to hospital managers, directors and officers that will enroll support, create ownership of goals, and encourage active participate in decisions that impact the hospital  - Ensuring the hospital meets necessary regulatory and compliance approvals and quality accreditations in conjunction with the hospital's Chief Nursing Officer .Caniddates must have a Masters degree, 10+ years in hospital admiistration, 5+ years exp. as CEO in 100 bed hospital setting.Client offers excellent compensation, benefits, relo. and many other perks.email:  Adela NashSouthern Medical Recruiters, email:  #EMAIL_f3e7442051faa9bb59388a9c2b2cfad08e18277926773e0d9478d83ee9357872##PHONE_0eea079448db2c645743a3e0cbb9f96dd215eedf7325b83297e85e078a7a968e# ","Caniddates must have a Masters degree, 10+ years in hospital admiistration, 5+ years exp. as CEO in 100 bed hospital setting.Client offers excellent compensation, benefits, relo. and many other perks.email:  Adela NashSouthern Medical Recruiters, email:  #EMAIL_f3e7442051faa9bb59388a9c2b2cfad08e18277926773e0d9478d83ee9357872##PHONE_0eea079448db2c645743a3e0cbb9f96dd215eedf7325b83297e85e078a7a968e##URL_b4d9f506b5f83306588ededa3c6f3d6e3ccc59e02b53e2fadf411aec5d9d17d2#","Medical/Health Insurance, relocation, and many additional perks, retirement, etc.",0,0,1,Full-time,Executive,Master's Degree,Hospital & Health Care,Administrative,0
15022,Digital Fashion Copywriter,"GB, LND, London",,,"Launched in 2010, Lyst is an innovative way to shop for fashion online thats used by millions every month. Lyst partners with the worlds top fashion brands and stores - from Topshop, Balenciaga, Alexander Wang and Burberry to Saks, Net-A-Porter, Lane Crawford and Barneys - to provide people with a personalised way to discover the fashion they love. Lyst has raised over $20million from a range of all-star investors including Accel Partners (Facebook, Spotify), Balderton (Yoox, MySQL), DFJ (Skype, Hotmail) and the teams behind Michael Kors, Oscar de la Renta and Tory Burch.","The OpportunityLyst is looking for a motivated and creative fashion copywriter, who will be an integral part of a highly productive team working to drive high levels of search engine visibility for #URL_a69f183972704c332c5f6e0921682f4aaa83530290fed27369bca8d33def9937#.We believe incredible SEO boils down to three core streams of work: Trust, Relevance and Authority, and working under the Content Manager and Head of Organic Acquisition, youll be tasked with developing and scaling our content, covering high quality, user focused copy.The ideal candidate will have worked in the online fashion/luxury industry, have a passion for premium fashion and be able to deliver exceptional copy to tight deadlines. This is an ideal position for an experienced copywriter or SEO copywriter, looking to step change their experience in a creative and fast moving team.Key ResponsibilitiesWriting exceptional, customer focused SEO content across a large number of pages.Proactively seeking out new areas of the website which require content, and then working to write and upload this content to the website.Working closely with (and owning the relationships with) internal teams and selected external suppliers to scale our content productionProofreading, editing and coaching any additional editorial support you appoint.Youll own areas of content, ensuring that were always centering ourselves for organic success.Youll also support the wider marketing and Organic Acquisition team with content and creative approaches to using content to increase our organic performance.Strong team working, communication and project skills are essential.Our CultureDeeply data driven with clear focus on delivering results and moving the needle.Strong team spirit and respect for each other - its the number one reason people love working at Lyst.Were all about collaboration - no one puts themselves or their group ahead of the company and team.Everyone in the team is empowered with lots of autonomy - theres no room for micromanagers here.Ambitious and fast-paced - were changing the way a whole industry works - its not meant to be easy!","Ability to demonstrate great organisational and project management skills, with an immense degree of focus.Strong team working and communication skills.Have good commercial sense and be able to spot &amp; exploit opportunities in a pro-active manner.You have entrepreneurial DNA and thrive in autonomous, unstructured, high-energy environments.Preferred Background:Youll ideally have experience working with digital teams (combining brand marketing, communications and SEO), either at an agency or an in-house role.Youll be able to demonstrate case studies where youve developed high quality content on a large scaleStrong understanding of and passion for the fashion ecommerce landscape and wider fashion industry.",,0,1,0,Full-time,Mid-Senior level,,Apparel & Fashion,,0
14522,Business Development Manager,"AU, QLD, Brisbane",,55000-65000,"Anittel is an ASX listed company providing IT &amp; telecommunications services and solutions, with 17 offices throughout Australia. The company has experienced rapid growth over recent years; and last year was the winner of both the Deloitte Technology Fast 50 award &amp; CRN's Faster 50 award.","About AnittelAnittel is currently seeking an experienced Business Development Manager to join our expanding Sales team as we continue to grow as one of Australia's leading IT&amp;T providers. The role will be based in Brisbane, and is open to applicants currently living in Australia.About the RoleThe Business Development Manager (BDM) will be primarily responsible for hunting new business, targeting corporate, government and education clients within Queensland. The successful applicant will be responsible for building and maintaining relationships with key decision makers. With a strategic approach, the successful person will focus on winning new business, and farming new opportunities within their existing client base, selling Hardware, Software, Managed IT, and Professional Services.Reporting directly to the Regional Manager, this role will be responsible for meeting financial and non-financial targets, building a strong pipeline, and contributing towards the growth of the Brisbane business unit. In return, we are offering a generous, uncapped commission scheme, realistic targets, and a fun, relaxed working environment. Previous hands-on Technical experience is preferred, and a strong knowledge of Managed Services, Data networks, Desktop/Server technology and IT infrastructure.Relevant sales experience within the IT Infrastructure and Managed Services sectors, knowledge, and experience in the local market will be highly regarded. Ideally, the successful applicant will have experience in working with key vendors, management, and pre-sales, in identifying the best solutions for clients. We are looking for someone who is highly driven and self-motivated, hungry for success.","Excellent written and verbal communication skillsPrior Business-to-Business sales experience (experience within IT Managed Services highly regarded)A strong knowledge of Managed Services, Data networks, Desktop/Server and IT infrastructureAbility to develop a solid understanding of our clients business needsITIL v3 or Project Management certifications / experience highly regardedExperience liaising with key stakeholders, vendors, management, and pre-salesHighly drivenSelf-motivated",,0,1,1,Full-time,Associate,Unspecified,Information Technology and Services,Sales,0
3805,Customer Service Associate ,"US, CA, Thousand Oaks",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Thousand Oaks, CA. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Hospital & Health Care,Customer Service,0
13193,Channel Marketing Manager,"US, CA, San Francisco",Marketing,,"Vend is looking for some awesome new talent to come join us. You'll be working in an awesome team doing awesome things, and generally being awesome.Learn about us on our blog, or meet the team on Twitter, Facebook, LinkedInAwesome SpaceOur brand new Auckland office space is located on Nuffield Street in Newmarket, surrounded by our customers and the industry we love. It is huge, open, and shiny new with great meeting room spaces, casual working environments and plenty of space to host awesome events. We don't do cubicles, just plenty of space, whiteboards and meetings rooms. We also have a great cafe/retail space with our very own Front of House Manager taking care of our visitors and fellow Venders. Like Auckland our Melbourne office is surrounded by retail. It's a great wee space equipped with tiny houses and some awesome cardboard cutout animals.Our Toronto office is located right in the heart of downtown retail district. Like Melbourne and Auckland there's a great vibe with great coffee, boutique stores and some of the greatest restaurants in the city. Our SoMa office in San Francisco is located in the middle of the SF tech scene, just a (Biz) stone's throw from Twitter.The EnvironmentWe want you to be at the top of your game. You can wear whatever clothing you like, start work late in the morning, take breaks whenever you want and generally work the way you want to work. Who we're looking forVend is looking for people to push the boundaries. We are a hard working professional team with a wicked sense of humour, and we are looking for people who thrive in a collaborative open environment. We want passionate, hard working, talented individuals that want to lead in their field.","Opportunities like this dont come by very often. And were not just saying that either! If you dont know already, Vend was founded in New Zealand in 2010 and is an award winning, venture backed, cloud-based point of sale platform for retail.  Were chucking out crusty old cash registers and replacing them with iPads, touch screens and beautiful software.  Were shaking things up and pushing out innovations, creating huge demand for our SaaS solution all over the world. We love small business retail and the technologies that empower local retailers to compete with the big chains and restore delight to the neighborhood shopping experience.  Accordingly, we immerse ourselves in these worlds in our New Zealand headquarters and in all of our international offices, including Melbourne, Toronto, San Francisco, Berlin &amp; now, London.Were looking for someone entrepreneurial, and creative who can work closely with the VP of Channel Sales to identify and develop marketing opportunities to recruit new partners, and provide resources, materials, and expertise to enable existing partners so they are able to promote Vend and drive new business.To rock in this role you will be responsible for; Content and design of partner resources on the corporate website, includingvend experts directoryadd-ons directoryhardware directorycustom developer directoryContent on partner websites where Vend is featured.Working with channel team on partner recruitment event opportunities (sponsorships, speaking engagements, roadshows)Working with online marketing team in San Francisco and Auckland on inbound partner marketing and promotions, including advertising, content, and resultsProviding materials for partners to promote Vend and find new business (online badges, copy, imagery, backlinks, printed materials etc)Ensuring consistency of brand assets across partner websites (logos, copy, landing pages) and provide online resources to help partners market themselves and Vend (blog articles, tips, video content)Defining and deliver a strategy and budget for sponsored partner events to recruit new Vend customersWorking with the product and support team to deliver a timely calendar of announcements of new add-on partnersIdentifying vertical marketing opportunities with new and existing add-ons, develop a content and inbound strategy to reach new customers through add-on co-marketing and events","Between 3 - 5 years experience in a channel marketing role with similar responsibilities to this roleA strong understanding of the retail industry, SaaS and transformative technologies like VendExperience managing events, from research to execution, achieving an ROI on budgetBuild wonderful relationships with other Venders who will be working with you on design, content, events, sponsorships, sales, business development and product related “stuff”.Ability to manage complex projects and multi-task with excellent organization skills.Comfort with a fast-paced environment and changing requirements.Ability to flourish with minimal guidance, be proactive, and handle uncertainty and ambiguity.Step outside the box regularly (in fact lets ditch the box altogether).A sense of humor. Seriously. ","What can you expect from us?We have an open culture where we openly share our results and where your input is truly valued.Ensure that your work is making the lives of retailers and Vend experts easier.We work hard but also have a lot of fun while were at it!Work-life balance. We know you have a life outside of work and at Vend you can have a life inside work too.Utilise all your talents. Not just the ones written in your job description.We welcome all of you at work. We dont want you to leave your personality at the door.If youre interested in joining one of New Zealands (okay, the world's) most exciting companies, Best Workplaces and obviously the most fun and hard working environments around, wed love to hear from you.Get in touch, apply now, hit that button, get it done and come have a chat with us. We cant wait to hear from you!",0,1,1,Full-time,Mid-Senior level,,Computer Software,Marketing,0
8010,Makeup Artist,"US, MN, Mankato",,,,"Expanding Makeup Artistry Company is seeking artistic and creative individuals with a passion for beauty and makeup design. Join our team of on-location Makeup Artists for weddings, special events, photo shoots, media/film, and working all over Minnesota.Job ResponsibilitiesPerform makeup applications satisfactorily according to a clients requestDisplay a high level of skin care and cosmetic product knowledgeCoordinate the makeup application service schedule for group events and assign service times to each individual receiving a serviceBuild and maintain company relationships with clients through effective and consistent communicationRepresent company image with punctuality, professional appearance, positive attitude, and poise.May be required to follow up with clients on services satisfaction and feedbackAssist Lead Makeup ArtistUpdate and maintain client information records if neededMaintain proper sanitary practices","RequirementsEducation: High School Diploma or equivalentStrong written and verbal communication skillsAbility to prioritize and strategizeExcellent time management and organizational skills with the ability to work on a tight timelineAbility to adapt quickly and react positively to client requests and changes.Passionate, creative, artistic and drivenCoachable and trainable with a willingness to learnHave a valid drivers license, reliable transportation and willing to travelMust be available at least 2 Saturdays a monthHave a professional kit containing Company approved products and toolsContinue to develop new techniquesTake advantage of educational opportunities offeredBe open to feedback from clients and company managementHaving a background in the beauty industry as well as experience working as a Makeup Artist is preferred, however not required. We do provide training.Past experience in customer service, retail, sales, and marketing is helpful.","This job offers you the opportunity to gain experience, strengthen your sills, expand your knowledge base within the beauty industry, and to build and/or expand your artistic portfolio of you work. You will meet new people with whom you will be able to share your creativity and talent. You will have the flexibility to work around your personal schedule and/or a regular work schedule in order to thrive in your passion for makeup. Individuals are paid based on level of experience. The service rate ranges from $25/hr - $100/hr. There are additional bonus opportunities and travel pay. Details will be provided during the interview process.",0,0,1,Contract,,High School or equivalent,Cosmetics,Art/Creative,0
14048,Customer Service Associate - Part Time ,"US, WA, Redmond",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Redmond, WA. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities include, but are not limited to: Maintaining highest levels of customer service while demonstrating a friendly and cooperative attitude.Checking in visitors and registering their vehicle.Corresponding via email using Microsoft Outlook.Providing assistance with meetings which may include organizing and setting up meeting room(s), etc. utilizing Microsoft Outlook.Effectively addressing and resolving client and customer concerns and/or complaints.Data entry &amp; word processing using Microsoft Word.Developing a familiarity with the building occupants for whom you are assisting.Keeping statistical data on services provided.Answering internal and external calls and transferring to appropriate parties. Other duties and responsibilities include:Demonstrating flexibility in satisfying customer demands in a high volume, production environmentConsistently adhering to business practice guidelines and policiesTaking direction from supervisor or site managerParticipating in cross-trainingMaintaining all logs and reporting documentation with attention to detailAdhering to all safety procedures","Required qualifications:Minimum of 6 months customer service or office/clerical related work experienceExcellent verbal and written communication skillsWorking knowledge of using Microsoft Outlook requiredWorking knowledge using Microsoft Windows requiredKeyboarding and windows environment PC skillsAbility to effectively work individually or within a team in a fast paced environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyWillingness to cross-train for other job functions Ability to perform the following duties with or without an accommodation:Lift up to 40 lbs. occasionallySit, stand, and/or walk for long periods of timeTravel (e.g. walking, taking a shuttle, etc.) from building to building on the client's campusBend, reach, squat, and perform the necessary receptionist job functionsMust have the availability to attend two to three employee meetings per year from 5:30pm to 7:00pmMust have the availability to attend 6-8 weeks of full time training Monday-Friday, 8am-5pm Must have the availability to attend 2 weeks of full time training Monday-Friday 8am-5pm with the option of 6 additional weeks of full time training for a total of 8 weeks.",,0,1,0,Part-time,Entry level,High School or equivalent,Information Technology and Services,Customer Service,0
14696,Sales & Marketing Operations,"US, IN, Fishers",Sales,50000-80000,"Bluebridge was created by a team of people who are passionate about organizations and the ways that effective technology makes them stronger and more well connected. Technology moves quickly. Businesses tend to move more slowly, either because of their place in organizational charts or because they often face modest budgets. bluebridge empowers these organizations with straightforward, affordable tools that help connect them with their customers and prospects.Bluebridge is built on a revolutionary platform that allows an organization regardless of size, to create a mobile app.By meeting consumers where they are—and with gadgets that they carry in their pockets and bags every day—bluebridge provides an engaging direct marketing channel that connects individuals while encouraging them to interact with your brand in a fresh, new way.","About BluebridgeBluebridge is a leading software as a service (SaaS) company that provides mobile tools to help organizations engage and communicate with their audience and communities.We work with organizations to help them connect their content with people's pockets through compelling, full-featured mobile apps, as well as a suite of services including mobile strategy, push notifications, mobile analytics, unlimited training and support and more. We serve as the mobile engagement tool for the organizations we serve. We love helping our customers create awesome, polished apps so they can better communicate.Bluebridge is based in Fishers, IN where we have a rapidly growing team of 25+ - we've tripled in size the last 18 months! With awards from Techpoint MIRA Awards (2013 winner), 2014 Indiana Companies to Watch and several others, to press from the Washington Post, Associated Press and others, Bluebridge is a dynamic and fast-growing company with big plans and an even bigger market opportunity.The RoleAre you a data-driven numbers person who isnt scared by Salesforce, process, and solving hard problems? Do you love applying strategy to metrics? Do you see client acquisition as a science?This could be the job for you if you enjoy:Working with #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# CRMSolving and identifying problems and taking initiative to solve those problemsCrunching and thinking about numbers to give insight into improving processesBeing detailed, analytical, quantitative, tactical and strategic.Combining sales and marketing strategy, processes, metrics, and technology, with the aim of making Bluebridges sales and marketing departments data-driven, high-velocity, and highly efficient teamsWorking directly with CEO and Head of Sales to craft strategy, implement, measure and optimizeYour typical week includes:SalesTechnology &amp; Systems#URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# - Own #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# data and process, #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# watchdog of compliance and data accuracy. Own integrity of #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# CRM data.Lead list uploader and quality assurance checkerProblem solve support issues for Salesforce and InsideSales and serve as the  point of contact with those vendors.Editing and owning page Layouts (Leads, Opportunities, Accounts, Contacts, Campaigns &amp; Inside Sales)Metrics &amp; ReportingCreating and overseeing reports on prospecting results (dials, meetings booked, meetings conducted, won deals)Meticulously tracking why we win and lose dealsOverview Sales metrics reports: monthly, quarterly, &amp; annuallyProcessesQuality assurance and standardsDevelop, formalize and enforce marketing to sales handoffProject manage sales sprints and backlogCreate processes, rules, and expectations for sales to use the CRM consistently and in complianceTrain new BDMs/BDRs on #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# and our processSizing markets, understanding lead saturation in market &amp; database, scheduling leads and project managing list building effortsPreparing Leads through Domino Lists via Inside SalesMarketingMarketing Automation via Salesforce and Hubspot IntegrationMarketing metrics creation, tracking, measuring and reporting: monthly, quarterly, annuallyCreate processes, rules, and expectations for marketing to use the CRM consistently and in complianceProject manage outbound campaigns and/or marketing backlogEvent list uploaderProblem solve support issues for HubSpot and other marketing software systems - own point of contact with those vendors (ie ensuring the data is pulling correctly)",2 years of deep CRM experience2 years #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# experienceExperience creating sales and marketing metric and reporting systemsAbility to forecast based on data,"// BlueBridge PerksFull health benefits, dental/vision availableFull (unlimited) coffee, tea, espresso bar and free snacks!401k planVacation and Paid-Time-Off (PTO)Company Phone Plan (AT&amp;T)Technology stipendQuarterly bonuses based on performanceFlexible work arrangements based on performanceGrowth &amp; leadership opportunitiesCulture of an award-winning, high growth mobile tech company (Indiana Companies to Watch 2014, back-to-back Techpoint MIRA award nominations, winner in 2013)Quarterly team social outings and monthly all-staff meetingsIncluded gym membership - full, on-site gym: free weights, cardio machines, locker room with full showersWork environment: fulfilling, dynamic, innovative, fast-paced, and fun atmosphere",0,1,0,Full-time,Associate,Bachelor's Degree,Internet,Sales,0
15666,Logistics Coordinator,"US, NE, Hastings",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","Ag Processing Inc, a cooperative, has an opening for an additional Logistics Coordinator at our Hastings, NE facility. This individual will work with the existing logistics coordinator and the merchandising staff on rail transportation issues for the soybean processing plant and soybean oil refinery. Duties include but are not limited to: railroad operations activities, rail billing, car ordering, forward looking equipment projections, communication, demurrage, and cost control measures.","Qualifications include an Associates Degree and/or 5 years experience in a business-related field. Rail transportation experience is preferred. Strong communication skills are a must. The abilities to multi-task, be detail oriented, and a problem solver are also requirements of this position. Some weekend hours are required. Selected candidate must pass a drug screen and physical.","We value our employees and our benefits reflect that. Ag Processing Inc offers an impressive salary &amp; benefits package that includes eligibility for AGPs medical, dental &amp; vision insurance on the first day of employment. AGP is fortunate to be able to offer a pension as well as a 401k option to our employees. For immediate consideration, please complete an application and attach your resume at #URL_9071575e8ba665a09f25b9ad0a044fe975dcac59a40531889db56632afe7d500#.  An application can also be picked up at AGP, 2801 East 7th Street, Hastings, NE 68901.",0,1,0,Full-time,Associate,Associate Degree,Logistics and Supply Chain,Supply Chain,0
15026,Customer Support Specialist,"US, MA, Boston",Operations,12000-18000,"Workable is a venture-backed startup making cloud-based recruitment software for fast-growing companies around the world. We're looking for people who want to change the way companies and people meet each other.Life at WorkableAt Workable we are creating an environment that has all the excitement and intellectual stimulation of a startup, minus the fads and pretension. We don't work 80-hour weeks, but we do work in an efficient and disciplined manner. We don't have ninjas and rock stars, we have people who are outstanding at what they do. We don't think it's old fashioned to have a sensible business model and we enjoy working with smart people.&gt; learn more about Workable and our employee benefits","For some companies, customer service is a necessary cost, a mundane but unavoidable cog in the machine.Workable is not one of those companies.For us, outstanding customer service is a competitive advantage: By actively supporting trial customers from day one and delighting them with the speed, courtesy and diligence of our support, we win them over. By tirelessly supporting them throughout their subscription, resolving questions, or helping them adopt our more advanced features, we make them stick around long-term. By surprising them with personable and friendly service unlike anything they have experienced we turn them into evangelists of our software who rave about us on twitter and recommend us to their friends.As a junior account manager, you will be the human face of Workable. You will need to: advise small businesses on the best way to organise their recruitmentdemonstrate how to use our software to get the results that matter to themrespond to user questions or issues with friendly and pragmatic advicesupport users when they run into troubleYou will become the person they rely on to get an important job done well. You won't be just someone that talks to customers for us so we don't have to. Our product management team will rely on your first-hand understanding of users to design features that make Workable the best recruiting software in the world. Your ability to help customers succeed with their recruitment will have a direct and visible impact to Workable's bottom line. You will be the reason we get comments like these in our email every day:""I have to say I have been so impressed with how responsive, pleasant and professional everyone with the company has been. Kudos to you and your team!""In other words, you'll be doing one of the most important jobs at Workable. You will be treated as such, and equally, you'll have to live up to very high expectations.","We're looking for people with a positive attitude and desire to help customers above all else.You must be:Tech-savvy, meaning that you are comfortable using modern office productivity tools, you are an efficient user of spreadsheet software and you can understand/learn our product well enough to be able to explain and sell it to others.Pleasant and patient communicator. We pride ourselves on our exceptionally friendly customer support, we communicate with respect and timeliness and we guide our users with patience and dedication.Self-motivated, having a drive to carve out a career with a fast-growing tech startupYou must have:A college or university degree0 to 3 years of professional experienceBonus points if you qualify for one or more of the following:Technical education or experienceExperience in customer support, account management or quality assuranceExperience in the software (ideally SaaS/cloud) or technology industryExperience in writing (blog, articles, copywriting)","Our goal is to create a company where employees enjoy benefits that make them more productive and contribute directly to the development of their professional skills. We want to be able to attract the best of the best, and make sure they keep getting better. On top of an exciting, vibrant and intellectually challenging workplace and a competitive salary, we are offering:Private health insurance planFree meals, snacks and beveragesApple gear, and access to the best productivity and training toolsExpense budget for trainingFlexible working hoursWorkable is most decidedly an equal opportunity employer. We want applicants of diverse background and hire without regard to race, color, gender, religion, national origin, ancestry, citizenship, disability, age, sexual orientation, or any other characteristic protected by law.",0,1,0,Full-time,Not Applicable,Bachelor's Degree,Computer Software,Customer Service,0
14772,Drupal Developer,"CA, NS, Halifax",,,"At VERB, were not interested in being all things to all people. Our team of 50+ professionals specialize in digital marketing, with a particular expertise in tourism and hospitality-based business. We work with some of the biggest leaders in the industry, and we're VERY good at what we do. (Just ask our clients: Royal Caribbean International, Pebble Beach Resorts, The PGA, Nassau Paradise Island...the list goes on.) So if youre a unique talent, a restless innovator, or are simply looking to work in an environment where you can help shape our future—and yours—you are just the right person to join our team.Learn more about us online: #URL_d4e05403ffb413e13385c920c4acd7ba14168a56adf650ae743670db8ef39fa0#","VERB is looking out for highly experienced Drupal developers to come join our busy team, ideally also with WordPress and other CMS experience. This is a great opportunity to work in a fantastic office with a great team of 50+ people who really love what they do; creating awesome work for an expanding list of global travel and hospitality clients. ","YOU SHOULD HAVEA minimum of three to five years' experience in a high quality LAMP web development environmentExtensive knowledge of Drupal 6, 7 and insights into what is to come with Drupal 8.Knowledge of Drupal core, including its Database, Field and Form APIs.Experience working with version control systems.Experience creating custom components/modules/plug-ins to integrate with CMS-based websitesExperience creating cross-browser compatible and standards compliant HTML, CSS and JavaScriptStrong problem solving skills and a broad knowledge of web development techniques and principlesKnowledge of current Web trends and standards.Understanding of basic Linux/Unix commandsFamiliarity with Web infrastructure technologies like SSL, DNS, ApacheThe ability to work across multiple projects without compromising qualityThe ability to perform usability and functionality testing to ensure favorable user experience and stable system operationEagerness to participate in team status meetings and brainstorming sessionsThe ability to communicate project and task status and any issues to internal and external stakeholdersIT WOULD BE NICE IF YOU HAD Experience with more than one CMS such as WordPress, MovableType, etcExperience with custom integration of Omniture SiteCatalyst or Google AnalyticsExperience working with AkamaiExperience building iOS applications using Objective C or other mobile applications for other platforms. ABOUT YOUYou are honest, innovative, hardworking and want to surround yourself with likeminded people. You dont want to grow complacent working in an environment where creativity and the ability to grow your skills are being suppressed. You are thirsty for knowledge, you like to have fun, want to build “cool stuff” and want to work with a global portfolio of clients where your work will actually be seen. ",,0,1,1,Full-time,Mid-Senior level,,Information Technology and Services,,0
12881,English Teacher Abroad ,"US, GA, Carrollton",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
129,Precision Ag Intern Spring 2015 $2000 Per Month,"US, IA, Harlan or Ames",,,"HTS Ag has been working with producers to prove the profitability of precision technology since 1995. HTS Ags goal is to partner with producers to implement the latest technology to improve efficiency, reduce cost, improve yields, increase margins and improve environmental impact. Utilizing the latest technology HTS Ag can create a complete, customized solution for any size farming operation. As a trusted advisor they help customers maximize their return on investment in technology. HTS Ag also emphasizes the importance of processing the vast amount of data available to growers and transforms that data into valuable information they can use to make sound agronomic and business decisions. Check out HTS Ag at #URL_1bf6049239fc129c019b605b46a48b171e63c4151f283380f7fcb7fa1f512d58#.","At HTS Ag, we attribute our success to our remarkable staff.  We promote career growth, ongoing learning and professional development for all of our employees.  We also offer a level of dedication to our employees that is second-to-none.If youd like a unique interning opportunity with a growing and dynamic precision ag company, then check out: Precision Ag Technician Intern:  Spring 2015 RESPONSIBILITIES:*To perform precision ag installations during the busy season as needed, as well as troubleshoot, and support cabling and receivers used by HTS Ag.  Grain management installation assistance will also be a part of the job function as needed.*Attend trade shows with full time staff and assist in talking to potential customers about solutions we provide.*Focus on company activities of all products and services that HTS Ag markets throughout its entire geographic area that are being sold products in the precision ag focus. ","REQUIRED SKILLS: *Requires a High School Diploma and 2+ years agricultural related experience, with precision farming preferred.*Requires working knowledge of agriculture, as well as strong mechanical and/or electronics background.*Must be able to identify and correct problems through troubleshooting.*Must have the ability to understand the needs of customers through interaction and converting those needs into potential sales opportunities presented to the precision ag team.  This entails an individual demonstrating a goal oriented attitude focused on providing customer service and the skills to back up those desires. *Must be able to do routine math calculations, take measurements, and troubleshoot hardware.*Must be able to work with a variety of personalities both inside and outside of the operation. PREFERRED SKILLS:*Enrollment in a Bachelors degree program in Precision Ag from an accredited college or universityPHYSICAL REQUIREMENTS:Carrying a cell phone required. Ability bend, crouch, crawl Ability to lift up to 75 lbs.Ability to climb ladders and work above groundAbility to work in all weather conditionsAbility to use phone and phone headset Ability to type using a keyboard and mouse Ability to speak the English language in a clear and crisp manner.",This position is not benefits eligible.,0,1,1,Temporary,Internship,Some College Coursework Completed,Farming,,0
14316,Mobile Developer,"JP, 13, Tokyo",Engineer,,"We are an digital product agency specialising in web and mobile development. Established in 2006, we have offices in Singapore, Seoul, and San Francisco and have designed and developed web and mobile applications for clients all over the world in the media, technology, and financial services verticals.","Favorite Medium is a digital product consultancy specialising in web and mobile development. Established in 2006, we have offices in Singapore, Seoul, and San Francisco and have designed and developed web and mobile applications for clients all over the world in the media, technology, and financial services verticals.We are looking for an experienced mobile engineer to join our team. This position will focus on designing and crafting mobile applications targeting both Android and iOS. An ideal candidate will have 4+ years of work experience and deep knowledge of at least one mainstream mobile platform. ","Deep Android and/or iOS SDK experienceJava, C, Objective-C, C++Keen eye and attention to detailAbility to effectively communicate in both spoken and written EnglishNice to have:Active in the open source community Server-side development experience in JavaScript, Ruby, or PythonGood at DOTA2",,1,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Engineering,0
6632,Campus Director,"US, , ",,,"FreeTextbooks is a startup revolutionizing the college experience. We're just starting with textbooks. We know textbooks are about as sexy as Mr. Rogers (R.I.P.), but we're punching into a huge industry ripe for radical change.Students spend $14 billion every year on textbooks, and we're creating an on-campus experience that eats into that. We take frequent trips to campus &amp; throw some fun parties. We currently have more than 300 student reps on 30 campuses, and, after doubling in size since last year, we're shooting to triple by the end of 2015.As we build, fail, build more, and work on something great, we need people who arent afraid to innovate, create, and put something out into the world that matters.","FreeTextbooks equips student influencers with software to replace their bookstore, saving students hundreds a semester &amp; earning free textbooks.What does that mean? It means we built a web &amp; mobile app that lets your friends buy, rent or sell books from you, not the bookstore, while you make a pretty stout commission.Our Campus Director role is for students interested in learning what it takes to build (&amp; grow) a business. Well work alongside you to develop a campus strategy, build a team across different social circles, and execute. Youll learn more about entrepreneurship, sales, &amp; marketing with us than sitting in a classroom. And, youll wear many different hats  team management, customer service, advertising, even the supply chain. Just wait until you share that in a job interview. They might just hire you on the spot. Recruit a team of campus reps to run buybacks and sales on campus.Create/maintain an overall campus marketing strategy.Initiate social/marketing events to build buzz.Organize team meetings &amp; manage your reps throughout the year.Communicate with your FreeTextbooks lead.Oversee shipping of textbooks/materials. ","One school year; January  May, August  December.10-15 hours/week during peak, first &amp; last week of class.Recruit &amp; prep your team leading up to peak seasons.1-5 hours of work monthly during semester.Quarterly performance reviews. ",Monthly stipend.Commission on entire campus.Signing bonus for assembling team.Snapshot of performance as a résumé supplement.Access to our business network upon graduation. ,0,1,0,,,,,,0
896,Service/Sales Representatives for Inbound Call Center,US,Sales,,,"Since our incorporation in back in 2007, our mission has been to become the United States' prime Local Search Marketing provider.We are looking to hire reliable and dedicated sales openers!Our Opening team takes inbound calls and follows a specific script to open deals for our Closers!We have several offices in Orange County but currently hiring in Anaheim &amp; Irvine... Give us a call to schedule an interview!",We want to interview you if...You are a positive and outgoing individual with the drive to succeed!Can work Monday through Friday &amp; enjoy getting paid on a weekly basis!You are always on time!,"Medical, Dental and Vision BenefitsWeekly PayMonthly Bonuses &amp; Residuals",0,0,0,Full-time,Entry level,High School or equivalent,Marketing and Advertising,Sales,0
17290,QA Engineer,"IL, , ",,,"Xplenty is all about making complex stuff look easy and enabling sophisticated Big Data technologies to the masses. Working at Xplenty, youll be surrounded by like-minded, technology oriented people, who are striving to excel and get to the next level of their career. At Xplenty you will actually have the chance to make a difference in an industry that is exploding.Use your talents to your benefit, enjoy waking up for work every day. We are a startup company that lets you shine! ","As a QA engineer, you will be responsible for leading the quality assurance of our platform in every conceivable way.Were looking for a person with at least 3 years relevant experience in the field.","You have to love QA and feel a compulsion to test everything - from the mundane to the extraordinaryExcellent communications skillsIn-depth understanding of QA processes in general and those in an Agile setting in particularProficiency in acceptance, regression, and usability testingProficiency in LinuxExperience with databasesAutomated testing experienceSolid understanding of large scale systems testing and operationsAnd, youll get some bonus points on:1-2 years in a testing/QA leadership position (team lead, QA lead etc.)Strong background in Business Intelligence and data analysisPrevious experience testing large scale softwareBeing familiar with Big Data technologies such as Hadoop and its eco-system.Being familiar with cloud IaaS such as AWSBeing familiar with Heroku / CloudFoundry or other PaaSWhen applying, please include:A description of your skills, passions and work style","About Xplenty:Xplenty is all about making complex stuff look easy and enabling sophisticated Big Data technologies to the masses.Working at Xplenty, youll be surrounded by like-minded, technology oriented people, who are striving to excel and get to the next level of their career.We are a startup company that lets you shine! At Xplenty you will actually have the chance to make a difference in an industry that is about to explode.",0,0,1,Full-time,,,,,0
7742,Accountant,"US, TX, Temple",Administration,,"At McLane Intel, we believe that everyone should love where they work! We understand that our ongoing success is attributed to our remarkable staff. We are a company that promotes career growth, ongoing learning, and professional development for all of our employees.We have a wide range of positions throughout the company and accept and support a broad array of applicants—from recent graduates to seasoned professionals. If you are willing to follow our company values, enjoy learning new skills, and plan to succeed in your career—we want you to apply.Here are a few things we offer that others often dont:Autonomy  We offer a management team that treats their employees with respect and values their input, along with a team of skilled technicians that put their teammates above themselves.Mastery &amp; Growth  We promote from within and work each one of our technicians to help them get certifications and rise in the tiers experience.Purpose  We have a mission and a culture that every employee is strongly invested in working towards because we hand-picked people that love what they do. Its the difference between people in a row boat rowing as they see fit, and people rowing the same direction.Benefits  Our benefits are some of the best around. We have awesome health insurance and a great PTO policy. We have an Awesome Teammate Bonus, where employees nominate other employees weekly. Each full-time employee has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.A bit more about what we do:McLane Intelligent Solutions (MIS) is fast-growing and dynamic managed services provider located in Bell county in Central Texas. We provide a broad range of outsourced IT including design, installation, support, maintenance and consulting services to the SMB market. We have a rising need for smart, talented, hard-working, and capable team members who love challenges, want to know they are making a difference every day, and want to join a fast-paced, demanding and fun team of professionals.","Are you looking for an opportunity to grow within a great company? Are you looking for a career, not just a job? Then look no further. We want you on our team! This is your opportunity to work with other people who love to work together and are excited about new challenges.McLane Intelligent Solutions (MIS) is a fast-growing and dynamic managed services provider located in Central Texas. We provide a broad range of outsourced IT services including design, installation, support, maintenance and consulting to the Small/Medium Business market. We have a rising need for smart, talented, hard-working, and capable team members.What we offer:Benefits - We have awesome health insurance and a great PTO policy. We provide a weekly Awesome Teammate Bonus, where employees nominate other employees for exceptional work. Each full-time employee also has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.Growth - We work with each employee to help them become trained in their field and continually improve their skillset. We also promote from within because we believe in building our employees to be our future leaders.Extraordinary People and Culture - We pride ourselves on having great communication within our company. Each employee meets with their supervisor regularly to discuss their individual development and we have a company-wide weekly meeting to go over our companys progress.Job Responsibilities:Prepare all invoices through an automated process based on the billing cycleMaintain customer agreements monthlyPost journal entries in the accounting softwareManage the accounts payable and accounts receivable weekly processesReconciliation of customer and vendor accountsProcess corporate expense account monthlyReceive customer paymentsTrack assets and depreciation","1-2 years experience in financial administration or similar discipline.Proficient with Microsoft Word, Excel, and AccessExperience with QuickBooks is requiredExperience with QuickBooks Enterprise is preferred but not required.Ability to travel occasionally the use of your own automobile.","Paid VacationAmazing Health, Dental, Vision, and prescription insurance Short-term and long-term disability benefits 401K Flexible Spending Accounts Company provided Life Insurance",0,1,1,Full-time,Associate,Associate Degree,Information Technology and Services,Accounting/Auditing,0
1489,Online Advertising & Data Input Operations Assistant,"HK, , ",,,"At Hayes-Corp, we create the fun stuff.  With a focus on software development for mobile platforms, we are constantly looking for talented people that can think outside the box logically and creatively.  Whether you define yourself as a coder, a marketer, or a number cruncher, we give you the resources to excel at what you do best. If you dont see a position you like, but think you have what it takes - just send your resume to #EMAIL_eaa37b5bf6cd5f435db52ea17edfd1f61c685afdf336f31ca36d3514a139e79e#.",Responsibilities:- Assist Advertising Campaign Manager with various Ad Hoc duties- Input large volumes of data - Maintain timely deadlines- Overtime may be required,"REQUIREMENTS4+ years experience of any combination of start-up, finance, operations, HR, Advertising Agency2+ years in Admin/Support Role3+ years of experience with computer applications such as Outlook, Excel, PowerPoint, and WordExtremely organized, punctual and meticulousEnergetic, independent, pro-active, self-starterMust be extremely quick learner (you train yourself). Attention to detail is a must. The right candidate will be flexible and well organized.BA/BS degree, preferably in Accounting or Business Administration;Fluent in English and Chinese (Including Mandarin)Background in North America is a plusNew Business Graduates welcome",,0,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
1018,Predictive Analytics Consultant,"US, MA, Cambridge",Professional Services,,"Pioneering advanced analytics vendor RapidMiner is redefining how business analysts use Big Data to predict the future. With an open source heritage, RapidMiner is one of todays most widely known and used predictive analytics platforms, providing powerful solutions for a wide variety of industries.","Pioneering advanced analytics vendor RapidMiner is redefining how business analysts use Big Data to predict the future. With an open source heritage, RapidMiner is one of todays most widely known and used predictive analytics platforms, providing powerful solutions for a wide variety of industries.Responsibilities:Perform requirement analysesDevelop descriptive and predictive models for optimizing customer processesDesign and implement data visualization and interactive dashboardsDeliver trainingDevelop documentationProvide support","Critical Competencies for Success:MS in computer science, statistics, or a related fieldExpertise in machine learning, data mining, and statistical methodology, and demonstrated experience using these techniques to solve real problemsWorking knowledge of SQL and databasesWorking knowledge of Excel, Powerpoint and WordProven ability to independently deliver end-to-end analyses by asking the right questions, extracting data, building predictive models, and making results actionable to non-expertsWorking knowledge of HTML, Javascript, XML, and XSLT is a plusPractical knowledge of tools for ETL and reporting as well as for analytics, preferably RapidMiner, or others such as SAS, SPSS, or Weka is a plusWe additionally expect:Desire to acquire new skills, commitment, and team spiritAbility to simultaneously translate between technology and businessSense of urgencyProblem-solvingCustomer focusSolution-oriented, autonomous, and precise working skillsExcellent communication skills, both verbal and writtenWillingness to go the extra mile with a strong work ethic; self-directed and resourcefulCross cultural experience: You have experience relating to customers from a variety of cultural backgroundsPrior client facing consulting work is a plus",RapidMiner is an Equal Opportunity Employer.We offer competitive salaries and excellent benefits.,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Consulting,0
13087,"Director of ASIC Engineering, SSD's","US, MA, Boston",,,"Human capital is usually the biggest asset and expense for a company. Making the right hire  the first time  is critical to an organizations ability to succeed in a competitive market. At Talence Group, we take the time to understand our clients and their mission, and match the right talent to this mission.For candidates, we want to make sure that the opportunties we present are a perfect fit for you.  Our goal is to match your core competencies, passion and career focus with great positions that our client companies offer.","What our client needs…An outstanding engineering Leader that can drive the front end engineering development of all SSD controller ASICs for a leading provider of SSDs.  Included in this are both enterprise and client solutions. Your familiarity will developing ARM based SoCs using an incremental IP methodology and having taped out several successful SoCs will make you an excellent candidate. If this fits your background and you are a passionate and proven leader please contact me immediately to be considered for this compelling career opportunity.What you will do… Develop, lead and mentor and outstanding existing team of talented engineers. Acquire new top talent in the industry to double the size of the team within the next 6  12 months. Foster a culture of relentless desire to execute flawlessly on time and within budget. Effectively manage a very large budget and leverage significant engineering and corporate administrative resources. Develop strong partnerships with the business groups and customers to meet time sensitive demands and deliver excellent    results. Develop and foster relationships with strategic planning and architecture teams to successfully define winning products and    deliver them on a platform cadence. Participate in and drive architectural tradeoffs and quickly guide the team through the front end of product definition. Run the RTL Front End Design team focused on planning, architecture, and implementation of the ASIC. Enable the team to support multiple products / multiple ASICS at the same time using an incremental, reusable IP methodology.","  Excellent proven leadership and people management skills  Experience managing a large budget and significant people resources.  Able to manage cross-functionally and build strong relationships throughout the organization  Familiar with host interface technologies like PCI-e, NVMe, SATA and SAS protocols, as well as DDR and ONFI interfaces  Substantial management and leadership background directing ASIC engineering teams in different locations and        geographies.   In depth understanding of SoC design from concept to production  Fluent in Hardware description languages (Verilog) and verification tools  BS/MS degree with 15-20 years of design and/or technical management  Site visit and travel whenever necessary (~10-15%)", Stock Exemplary Benefits,0,1,0,Full-time,Director,Bachelor's Degree,Computer Hardware,Engineering,0
14240,Java Developer,"US, CA, San Mateo",,,,"Minimum 7 years experience in Java Development.Should be an Expert in Spring.Must be well-versed with Web Services, JMS.Experience with code optimization, debugging, and unit testing",,,0,0,0,Contract,,,Information Technology and Services,,0
5663,Technical Support Representative,"US, OH, Westerville",,,"Working for Status Solutions means that you are working to make a difference in the world. We are a small technology company that prides ourselves on our unique software/hardware integrations and use of existing infrastructures to change the way our customers think about life safety and security. With solutions delivered to over 800 customer sites, our technologies have changed thousands of lives of the course of the past 14 years. Our employees take great pride in what we do every day, as we work together toward the goal of true situational awareness for everyone.We work hard so that we can play hard. We offer one of the most competitive benefits packages available in the market today,full medical and dental insurance benefits free-of-charge to our employees, a quarterly bonus plan to reward employees for their positive impacts to the company, and company sponsored events like Ohio State University football, Columbus Blue Jacket hockey games, and a year-end holiday party.","A Customer Support Representative at Status Solutions is the first point of contact for our customers, addressing technical questions and concerns about our custom-built software solutions. This role will work alongside a full-time Customer Support Team in our Westerville, OH office.Candidates must possess a technical background and/or a degree in a related technology field.","TasksMonitor the Network Operations Center, call customer sites with errorsRespond to customer's technical questionsTroubleshoot customer errorsResolve escalated tier-2 and on-site support incidentsRemote training and assistance on softwareTrain customers and partners as software solutions and needs evolveDocumentation for internal/external sourcesToolsCisco WebEx, Altigen Max Communicator/AgentFamiliarity with remote access tools and CRM softwareInternal error reporting software for troubleshootingScheduling RequirementsFlexibility to work weekends and/or nights12-hour alternating shifts out of our Westerville, OH officeQualificationsPhone or Helpdesk Support experience requiredCoding experience in SQL/MySQL, PHP, CSS, C+/C#, HTML, Java, and/or PythonTelephony and network hardware-software integrationsFamiliarity with complex networks and information technology systemsGreat relationship skills; patience and desire to help and assistDesire to learn and grow quickly with the company and technologyGreat communication skills in person and on the telephoneTwo- or four-year technical degree OR prior technical support experience is required","Starting salary based on experience and education levelRoom for advancement in a growing companyMedical, dental, and life insurance benefits includedPaid time off and holiday pay includedParticipation in the quarterly company bonus pool",0,1,1,Full-time,Not Applicable,Unspecified,Computer Software,Customer Service,0
13307,Front End Developer,"GR, I, Athens",DEV,,"7Indigo New Media is an advertising agency specialized in digital marketing. We are young professionals who love excellence and stay excited by anything new in the marketing field. We provide to our clients a super pack of marketing strategies, combining design, development, client service, running and monitoring of our campaigns, with each of these elements focused on measurable results!","7Indigo New Media is an advertising agency specialized in digital marketing. We are young professionals who love excellence and stay excited by anything new in the marketing field. We provide to our clients a super pack of marketing strategies, combining design, development, client service, running and monitoring of our projects, with each of these elements focused on measurable results!As a Front End Developer you will be participating in idea generation sessions at the beginning, and you will have the opportunity to realize your thoughts and see them running as a part of marketing campaigns. You will be communicating on daily basis with designers and back end developers, who will support your everyday work life and make it much more interesting and exciting! Key Responsibilities:Front end web developmentProgramming of CMS TemplatesTemplate creation for Drupal websites and custom applicationsUpdating and maintenance  Cooperation with designers and back end developers ","Expert use of HTML5, CSS 3 and JavascriptExpert use of jQuery Framework and jQueryUIExpertise  in building responsive web applicationsExcellent debugging and optimization skillsUnderstanding of PHP ConceptsExperience in using GIT version controlSoft Skills: team spirit, interpersonal and communication skills Optional:• Relevant degree (Computer Science), will be considered a plus• Experience in using GIT version control• 0  2 years development experience.• Proven commercial software development experience  Web and mobile• Experience in fully asynchronous web applications",TrainingYouthful and modern environmentCareer development opportunitiesCooperation with very important Greek and Multinational companies,0,1,0,Full-time,Associate,,Marketing and Advertising,Information Technology,0
11846,Frontend Web Developer,"GR, J, Patra",,10000-18000,"codebender is an online platform for makers, hobbyists and engineers. In other words, an online IDE and community for Arduino users. We simplify development and collaboration for people building interactive devices, art installations and innovative gadgets. On top that, our office sits inside a hackerspace (P-Space, the first one in Greece!), so we get to play with (and build!) awesome machines and gadgets like 3d printers, quadcopters, and laser cutters each day.Were looking for passionate people, who like working with like-minded people in a fun environment. Do you enjoy solving hard problems? Learning new stuff? Going where no man has gone before? Do you embrace open source ideals and like working in small, agile teams? This is your chance to work next to builders, people who get shit done, and tread uncharted waters.Let's build the future together!","We are looking for a web developer who writes clean, testable code and can iterate fast. We want someone who will improve codebender's front-facing part, using HTML/CSS/Javascript (&amp;jQuery), possibly some PHP &amp; Symfony2 on the backend. You will be developing high-standard code, which will be used every day by thousands of people around the world.You will be working on the frontend of #URL_c2215c8517c8a206d56d269e437a1a9e0c0098f968d3ae0f1b9c08f2dd6fa36f#, an online IDE for Arduino and other maker-friendly embedded devices, which helps people program and design cool hardware stuff faster, easier, and more productively.Join us and be a part of a creative team which is set to change the way embedded software and hardware is developed, advance the maker movement and help create more hackers/inventors/artists/crazy_scientists.","Good knowledge of HTML, CSS, Javascript (including jQuery)Experience with Twitter BootstrapBasic knowledge of TDDBasic knowledge of PHP or other web-scripting languages (Python, Ruby)Know the tools of the trade (Git &amp; Github, IRC)Significant bonus points will be given to applicants who:Know Symfony 2 or other MVC frameworks (Django, Rails, #URL_a58bd7bd48420a1f4774598bc5f1451bdcc79baee91a357c1d69e8aede501d73#)Are Makers (Know about Arduino, build things like quadcopters, 3d printers, or potato launchers in their spare time)Are willing to relocate to Patras in order to work in the same space as the rest of the teamHave 3+ years of experience in software developmentHave experience in PHP or other web backend language.Have UX knowledge/experience","As an employee of codebender, you are entitled to our vested ""employee stock option pool"". Employees who stay with us for a while are given a pre-agreed percentage of equity in the company. We're all sweating to build the future after all.",0,1,1,Full-time,Associate,Unspecified,Computer Software,Engineering,0
4933,Cold Calling - Part-Time,"US, OH, Columbus",,,,"Ron Christopher Co., Inc. (RCC) is a Mergers and Acquistions firm that helps buyers and sellers of independent insurance agencies.  We are currently seeking a part-time invididual to make cold calls to insurance agency owners.  You can work from  your own home office or from our office in the New Albany/Gahanna area of Columbus.  To start, RCC is seeking to have the candidate work 20 hours per week. ","Primary requirements sought in a candidate include:-Current or recent experience cold calling in a business-to-business environment.  At least 2-3 years is needed.-Ability to work on their own with minimal supervision.-Professional and courteous phone etiquette.-Attention to detail.-Top notch grammar and writing skills.-High reliability and punctuality.-Ability to travel for training and sales meetings in the New Albany/Gahanna area (Northeast Columbus, Ohio).Preferential treatment will be considered if you have any of the following:-Recent experience cold calling small business owners or corporate executives (think CEO, VP, CFO's etc.)-Experience in the insurance or financial industries","This position offers $15 per hour plus a 10% bonus on related sales.  Likewise, candidates who excel at the position may be considered for a higher-level position within RCC. ",1,0,1,Part-time,Associate,High School or equivalent,Insurance,Sales,0
13767,ETL Developer ( Informatica ),"US, IN, Indianapolis",,,,"ETL Developer (Informatica)Indianapolis, IN6 Months Qualifications:Position Functions or Responsibilities:  Under general supervision we are seeking to hire an Sr. ETL Developer with Informatica experience. Design/Develop detail ETL specifications based on business requirements. Develop Unit Test Plans and Test Data. Execute test plans and document results and discrepancies. Assist in the effort necessary in following all ETL standards and architecture. Assist in the development of system and integration test plans. Update and maintain metadata. Determine and analyze the data. Writing and optimizing SQL code and stored procedures. Strong conceptual, communications and technical skills. Ability to translate business needs into technical solutions. Good understanding of data warehousing concepts.  Minimum Required Skills: (Potential candidate must meet the minimum requirements.)- Must have 3  5 yrs experience working as a ETL Developer - 4yrs Informatica - 2yrs Teradata - 2yrs SQL Server Development - 2yrs Develop UNIX shell scripts - 3yrs Translating detailed design into functional system designs - 3yrs System Design - 3yrs Relational Database Concepts - 2yrs Performance tuning for Informatica and TeradataAdditional Comments - Nice to have qualifications: ",,,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Engineering,0
1755,Horror Film Festival Assistant Director,"CA, BC, ",,,,"Second in charge, working directly under the Festival Director.  Will help to oversee and coordinate all aspects of the festival. Will hire and manage10 staff. Position is part time, with the time commitment depending on the position, the current needs and the schedule","Film Festival experience.  Knowledge of the film business, social marketing.   Attention to detail.  Great organization skills; great interpersonal skills  able to work well with others.  Self-starter, able to meet deadlines and accomplish goals.  Good understanding of digital filmmaking technology helpful.",6% percent of all sales,0,0,1,,,,,,0
853,Wealth Managers,"RU, MOW, Moskva",,,,"We are looking for individuals to make an impact immediately within the locations specified and further afield, as additional new offices are planned.Talented, determined, driven and ethically focused deliverers.A client acquisition and ongoing management role.","Financial service experience required, within a face to face advisory role.", For the right candidate the rewards are exceptional.,0,0,1,,,,,,0
1360,Senior iOS Developer,"US, TX, Dallas",,,,"ABOUT our beloved company, BOTTLE ROCKET:Bottle Rocket is dedicated to creating the highest quality iPhone, iPad and Android applications and brand-critical mobile solutions on the planet. We believe exceptionally innovative technology not only enhances the way we do things—it redefines the way we live our lives. To us, iOS and Android are more than sophisticated mobile operating systems—they are game-changing platforms uniting information, instant access and unlimited range to create entertainment and enterprise solutions.We are a rapidly growing mobile strategy, design and development company at the forefront of the app revolution. We literally started the morning after Steve Jobs keynote announcing the iPhone SDK and months before the Apple App Store was even launched. In that time we have grown to a team of over 180 smart, passionate, hard-working Rocketeers and released over 150 high-profile, premium brand-critical mobile experiences for companies like History Channel, American Express, Discovery, ESPN, LEGO, Food Network, WWE, PBS, National Geographic, Coke, NBC Universal, NPR, Chick-fil-A and Starwood Hotels &amp; Resorts, as well extremely popular games such as Rockpocalypse and Annoying Orange: Kitchen Carnage. Our apps have been Emmy nominated, won multiple Tabby, Webby and Media Vanguard Awards, are regularly featured in the press and have been awarded more Apple Hall of Fame Awards than any other company. Our work is used by tens of millions of delighted users every day to connect with the information, brands and entertainment they love. But this is just the tip of the iceberg. Now, lets talk ABOUT what its like to have a career at BOTTLE ROCKET:We will never stop pushing the envelope of design, architecture and the capabilities of the platforms we embrace. Our future promises continued growth of both our capacity and capabilities in all areas of our business from strategy, creative, engineering, quality assurance, project management, account management and administration and across our core custom design and development divisions (if you can dream it, we can build it) as well our specialty divisions focusing on game development, TV Everywhere, enterprise, commerce, publishing and more. If you choose to get to know Bottle Rocket better, youll learn that we are a values-driven business where things like “embrace the impossible”, “take your craft personally” and “serve the user” really mean something. If you visit our studio the first thing youll feel is passion. The next is energy and excitement. Youll see Rocketeers debating design issues at whiteboards on nearly every wall, focusing on tough problems in complex code, leading client meetings with the worlds top brands, conducting user testing to find areas to improve our work and creating project plans to release the next award-winning app. Youll see a 100% Mac laptop environment (either 15” MacBook Pro Retina or 13” Mac Air), electric height-adjustable desks on wheels, seriously robust Wi-Fi, hundreds of test devices available for checkout, bean bags, booths and 27” Apple Cinema Displays on every desk.With all of this comes another big challenge, embracing the impossible. Bottle Rocket consistently does what other companies cant. But this is only possible because of our passion. We know and love the iPhone, iPad and Android unlike anyone else. Were those people who stood in line for the newest model. We value aesthetics, UI and UEX. We build awesome applications that serve the user and not only exceed standards, but set new ones. We sweat the details.And now we are part of WPP, the world's largest advertising and marketing services company made up of 150 different companies around the world with more than 165,000 employees over 3,000 offices in 110 countries including giants of industry like Ogilvy &amp; Mather, Young &amp; Rubicam, JWT, Grey, Wunderman and AKQA. The partnership is amazing and provides the horsepower for Bottle Rocket to expand its impact not only in the industry, but also across the globe.So, what do you think? Sound like just the thing youve been looking for? If so, dont hesitate, apply now…","ABOUT this position:As part of our growth plan, it's essential that every developer we bring on board clearly understands the challenges involved and the expectations placed upon them. Some of these include:Develop cutting edge iOS projects writing high quality codeHave a solid professional development background with an understanding of Software Architecture and DesignWork independently with minimal guidance and supervision on highly complex, technically advanced iOS projectsProvide technical guidance, leadership, and oversight on assigned projectsHelp grow the technical knowledge and skills of other iOS developersFlexibility to operate in a fast-growing, entrepreneurial environmentWork hard and have a lot of fun at the same timeIf you are interested in more than just a 9-to-5 job, are excited by working on a team of committed individuals, and want to make a difference in a young organization, then this is the place for you!This position is not about years of experience, industry background, or academics. It is about building great products, building great teams, and being excited about going to work every day!We offer competitive salaries and have a full range of benefits including medical, dental and vision. We are a successful business and one of the most experienced app developers in the market.Responsibilities:Develop new iPhone/iPad appsLead iOS development teamManage your own timeManage your own part of projectsArchitect solutionsRequirements:Minimum 1 year's experience developing iPhone/iPad apps for a company or have an app you can show us5+ years overall software development experienceExpert knowledge of Objective-C and Cocoa TouchMinimum 1 year's experience as a technical team lead or software architectStrong OOP skillsMust be able to demonstrate existing iPhone/iPad app experienceWork on-site (Dallas, Texas)Bonus Points:Have apps posted to App StoreLong-time Mac userExperience with asynchronous networking ","Learn more about this job and other technical, creative, quality assurance and project management at #URL_cb4cac7ac96fa24e34988cbc23082f8f2c4803ee73e1296b85d779c07a33c9e8#DON'T LIVE IN DALLAS? THIS JOB IS ALSO OPEN TO PEOPLE INTERESTED IN RELOCATING TO THE DALLAS AREA. PLEASE INQUIRE ABOUT RELOCATION COST ASSISTANCE WHEN APPLYING FOR THIS POSITION.",0,0,0,Full-time,Mid-Senior level,Unspecified,Information Technology and Services,Information Technology,0
9232,Sales - General Manager (Sydney),"AU, NSW, Sydney",Growth,,"90 Seconds, the worlds Cloud Video Production Service.90 Seconds is the worlds Cloud Video Production Service enabling brands and agencies to get high quality online video content shot and produced anywhere in the world. 90 Seconds makes video production fast, affordable, and all managed seamlessly in the cloud from purchase to publish. http://90#URL_fbe6559afac620a3cd2c22281f7b8d0eef56a73e3d9a311e2f1ca13d081dd630#90 Seconds removes the hassle, cost, risk and speed issues of working with regular video production companies by managing every aspect of video projects in a beautiful online experience. With a growing global network of over 2,000 rated video professionals in over 50 countries managed by dedicated production success teams in 5 countries, 90 Seconds provides a 100% success guarantee.90 Seconds has produced almost 4,000 videos in over 30 Countries for over 500 Global brands including some of the worlds largest including Paypal, LOreal, Sony and Barclays and has offices in Auckland, London, Sydney, Tokyo and Singapore.http://90#URL_fbe6559afac620a3cd2c22281f7b8d0eef56a73e3d9a311e2f1ca13d081dd630# | http://90#URL_e2ad0bde3f09a0913a486abdbb1e6ac373bb3310f64b1fbcf550049bcba4a17b# | http://90#URL_8c5dd1806f97ab90876d9daebeb430f682dbc87e2f01549b47e96c7bff2ea17e# ","General Manager Drive rapid revenue growth in Australia through increases in current accounts and securing new clients.Develop and implement a formal sales and account management strategy.Create pipeline visibility and predictable revenue forecasts.Lead and build a team covering pre-sales, sales, account management, and project delivery.Serve as member of Australian executive team.Responsibilities:Business Development: direct to corporate brands, via digital and traditional agencies, channel partnersBrand Evangelist: Attend events, interview attendees, speakers, sponsors and VIP's Account Management: Research and build new and existing accounts Sales Management: Manage, track, and report on all sales activities and results using CRM across a small sales team Cloud Platform: Learn and maintain in-depth knowledge of 90 Seconds cloud platform ","About 90 Seconds90 Seconds are a global cloud video production service.  Rapidly accelerating demand for video content at all levels of the marketSmart cloud based sales and delivery platform and a growing team of crowd sourced video professionalsStaff, Freelancers &amp; Clients love 90 Seconds:Cloud video production platform - creating world class videos fast and efficiently from purchase to publishExperience - 4,000 videos produced for 600+ clientsGlobal - 1400+ freelancers globally shooting in over 30 countries with offices in London, Tokyo, Sydney &amp; AucklandRetention: 92% customer retention rateKey Experience and BackgroundYou may have been selling TVC's and online video content at an agency to large and global businessesYou may have been selling SEO, Social Media, Content Marketing based solutions at an agency to large and global businessesYou enjoy technology, startups and have experience launching in a new marketYou have leadership and talent development skills ",Remuneration &amp; BenefitsBase Salary: $100k++ dependent on experienceCommission: Percentage of profit per project and opportunities for stock options and additional remuneration based KPI'sReporting to:Co-Founder / Australia Director APPLICATIONS DUE by 9pm on Friday 16 May 2014 - Apply for this role via the following link together with a cover letter and your CV - http://90#URL_5137a44191746014a150544fa7fe62539eb79cbf7fd2c02655bfe924d39f97e0#,0,1,1,Full-time,Not Applicable,Unspecified,Media Production,Sales,0
8217,Senior Digital Producer,"US, CA, San Francisco Bay Area",,,"Kettle is an independent digital agency based in New York City and the Bay Area. Were committed to making digital do more — for both people and brands — because we believe the digital world offers more than meets the eye. Every online experience has the potential to change a life, a brand, or even change the world. And we carry this philosophy into each and every product we build.","Kettle is hiring a Senior Digital Producer! Job Location: San Francisco Bay Area, CAKettle is a passionate, fearless, multi-disciplinary team focused on delivering great products, redefining best-practices, and providing new opportunities for our clients and own brands.  As our team is continuously growing; we are looking for a Digital Producer with a proven record of success. You will have the opportunity to collaborate with a group of talented designers, developers and strategists on a variety of projects for leading brands.The Digital Producer is a leader who is driven, enthusiastic and is an assertive problem solver. You manage cross-functional teams through a project's lifecycle (from client brief through delivery of final product). You are comfortable owning: internal and client communication, briefs, scope, timeline, budget and quality assurance. As someone who gets the big picture, you are proactive and always ahead of the game, while having a passion for the smallest details. You have a solid knowledge of the design and development processes and an understanding of web technologies and third party platforms. You are able to understand, create and communicate business and functional requirements.Based in the heart of Soho, we work in an open, collaborative environment creating award-winning products and experiences.  Our clients are leading brands and digital innovators, including American Express, Sesame Street, McGraw-Hill, the New Museum, Penguin, and many more.  Check out our website for a selection of our work.Minimum Requirements:5+ years of client-facing project management experience at a digital agencyStrong knowledge of design and development processesUnderstanding of business and functional requirementsExperience managing clientsAbility to manage multiple projects in parallelExperience managing and mentoring",,,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,,,0
1839,Sr. Brand Manager,"US, FL, West Palm Beach",,90000-120000,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#","Title: Sr. Brand ManagerLocation: West Palm Beach, FL  Can be offered remote with ultimate goal of relocating to South FloridaReports to: Sr. VP of MarketingCompensation: Base Salary + Bonus Eligibility Summary: The Sr. Brand Manager will be responsible for the P&amp;L management and all marketing activities behind one of the key company brands including new product development. The Sr. Brand Manager will report to and work with the Sr. VP of Marketing to develop and execute short and long-term brand strategies, marketing programs, and sales volume/spending projections for annual and long-range business/marketing plans. Responsibilities:Develop, lead, and execute business and strategic plans to meet future needs and expectations for the brandMonitor market dynamics to develop and/or change strategic and tactical marketing plansDevelop and execute key growth initiatives including creative strategies, consumer communications and promotional programsWork with internal and external resources to develop a digital communication platform to maximize business resultsManage the coordination and execution for all necessary marketing, packaging, and creative materialDevelop and manage a range of product development initiatives at various stages of the development cycleLead the commercialization and in-market execution of product launchesWork with the sales management to plan and execute retails programsOversee the development of current and/or future forecast for brand and updates throughout the yearManage brand budget to support financial objectivesAnalyze the business and competitive landscape on a continuous basis and provide periodic reporting and recommendations to senior managementProvide weekly project updates on brand initiativesReview marketing programs to ensure ROI and brand integrity is maintainedAssist sales team with development of sales meeting materials and presentations ","Requirements:Bachelors degree in marketing, business or related fieldMinimum 5 year experience in marketing/brand management; preferably in consumer goods; Confectionary experience is plusStrong project management skills with ability to multi-task and prioritizeStrong understanding of social/digital mediaAbility to think creatively and problem-solveAbility to work in an entrepreneurial, fast-paced environmentHighly organized and detailed orientedPossess strong analytical abilityKnowledge of costing and P&amp;LMust be self-driven to achieve goals; high energy level is essentialAbility to work across several department disciplinesMust possess strong written and verbal communication skillsMust be highly proficient with Microsoft Office (Word, Excel, PowerPoint, etc.)",Full Benefits Offered,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Consumer Goods,Sales,0
7702,BizDev and sales,"NZ, N, Wellington",sales,,,Business development and sales for a high growth video startup. ,Business development and partnership creation experience. Direct and online sales experience. ,"You will get founders equity in the company, and a founders pay package!",0,0,1,,,,,,0
16688, Android Developer,"IN, WB, Kolkata",Technical,,"We at TechIndyeah are a fun filled startup. We celebrate our work and we enjoy it at the fullest. While maintaining work ambiance, we also make sure that we keep our environment open to ideas to nurture our in-house talent. We are a fresh and new team with pragmatic approach to technology. We adapt ourselves to the future, invest in technologies and we are here to transform and build a better India. So life is fiercely energetic at TechIndyeah with a team brimming with knowledge. We are all in progressive state. So from newbies to experts, we have them all at TechIndyeah. We foster growth and transform our people into seasoned professionals who can combat stiff competition. Each day is a new sunshine for us and gives us new opportunities for us to grow as we learn. We know that our life here is all about tackling risks and fighting new obstacles on our way.                    YES, WE ARE PAR EXCELLENCE &amp; COOLWe are  Tech-ing India!!™  and We are Loving IT…","We are looking for an Android Developer who possesses a passion for pushing mobile technologies to the limits and will work with our team of talented engineers to design and build the next generation of our mobile applications.ResponsibilitiesDesign and build advanced applications for the Android platformCollaborate with cross-functional teams to define, design, and ship new features.Work with outside data sources and API'sUnit-test code for robustness, including edge cases, usability, and general reliability.Work on bug fixing and improving application performance.Continuously discover, evaluate, and implement new technologies to maximize development efficiency.","RequirementsBS/MS degree in Computer Science, Engineering or a related subject1.6+ years of software development experience1+ years of Android developmentHave published at least one original Android appExperience with Android SDKExperience working with remote data via REST and JSONExperience with third-party libraries and APIsWorking knowledge of the general mobile landscape, architectures, trends, and emerging technologiesSolid understanding of the full mobile development life cycle.",,0,1,1,Full-time,Mid-Senior level,Master's Degree,Information Technology and Services,Information Technology,0
17656,"Controls Engineer - Troy, MI","US, MI, Troy",Controls Engineer,,"Systems Technology International, Inc. (STI), a full service innovative professional services corporationserving in virtually every industry. STI offers professional services in the Technical/Engineering, IT (Information Technology), Creative and marketing, Business Analyst, Accounting and office support areas.","Title: Controls EngineerDuration: Long term contract / PermanentLocation: Troy, MIJOB DESCRIPTION:Controls Engineer Position-PLC programming and debug experience in a manufacturing environment with some automotive experience.Should have minimum of 4 years PLC experience or more.Some Allen Bradly, Control Logix experience required.Will be working in Process Controls environment.Overtime and benefits package.Long Term Contract with option to hire.Some travel required.",PLC programming and debug experience in a manufacturing environment with some automotive experience.,Best Benefits in the industry.,0,1,1,Full-time,Mid-Senior level,Associate Degree,Automotive,Engineering,1
13014,Independent Travel Agent - payment on commission,"GR, , ",Argo Platinum,,,"You will be selling high end travel services to your own clientele within the Argo Platinum department. You will be responsible for sourcing and managing your clients, while having access to our operations department. ","Knowledgeable and highly qualified advisers in the luxury travel industryProven track record of selling luxury packagesOwn clientele for incoming or outgoing, FIT or corporateAbility to work closely and interact professionally with clients, supervisors and co-workersOutstanding communication and organizational skills, as well as initiative, creativity, ability to work independently and excellent problem-solving skills",Access to office spaceFull support from our operations department and access to accounting departmentAccess to our suppliers and contract ratesTraining in selling luxury travel services  ,0,0,0,Contract,Mid-Senior level,Unspecified,"Leisure, Travel & Tourism",Sales,0
9170,Product Manager - Netlog,,,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"FunctionTo support our further growth, were looking for a dedicated, bright and enthusiastic Product Manager who will be responsible for:Drive the product initiatives from inception through conceptual and technical development;    Manage the product life cycle of features used by more than 50 mio users worldwide;    Shapes or champions new directions or new products;    Gathers and analyzes data from all sources, analyzes and understands competitors and their solutions;    Be an expert with respect to the competition and the social networking-world.Skills and ExperienceYou have, preferably, an education in product development;    You have a higher (4+ years) or University education (Master of Science degree);    You have a minimum of two years experience as product manager or product marketing manager in a gaming-related environment;    You have proven affinity with the social web;    You have a proven ability to manage multiple products simultaneously.Personal ProfileYou respect deadlines, and you are able to set the right priorities;    You are a team player and you enjoy working in various and dynamic teams;    You know how to influence cross-functional teams without formal authority;    You can cope with pressure and tight deadlines;    You have no ""9 to 5"" mentality.",,,0,1,1,,,,,,0
14918,"Systems Administrator, Team Lead","US, CA, San Francisco",,,,"Varsity is seeking a highly motivated IT professional for the position of Systems Administrator, Team Lead. In this role you will have the opportunity to provide administration and support services for end users and IT systems. Some of your responsibilities will include providing support to Windows Active Directory, account management for cloud services, and basic troubleshooting for networks, backups, and Virtualization technologies. If you are someone looking to expand your technical knowledge and seek the opportunity to work collaboratively with a team, this position will provide a wide range of experiences and  growth potential.Varsity is a managed IT service provider serving non-profits and education institutions throughout the San Francisco Bay Area. The clients we serve provide health services, offer new approaches to education, and help children in developing countries. Client needs vary widely and are constantly changing, from handling content replication across International WAN links to delivering blended learning services to middle school students. Our clients are also funding policies to support the California community and protect pristine land resources. IT can be a challenging job, but at Varsity youll work collectively to do good every day.Job Duties:Supervise a team of 2-3 systems administrators and desktop support staffConduct weekly meetings supporting each team member on goals, tasks, and mentoring them professionallyBe a single point of escalation for tier 3 issuesMeet weekly with other Team Leads to work on goalsAttend team and department meetings to improve performance metrics and develop new ideas for improving serviceReview alerts and notifications for potential issuesMonitor, research, and resolve backup issuesWork with desktop support staff to resolve escalated user and client technical issuesIdentify complex technical issues and escalate to Team Lead for action plan and resolutionTroubleshoot network connectivity issuesResolve performance and technical issues related to WAN and Internet servicesProvide Move/Add/Change/Delete administration functions for Windows Active DirectoryResolve user issues related to accessing or using Cloud based services like Google Apps and Office 365Review monitoring services for performance issues on systems and networkConfigure Cisco IP phones for new usersConfigure NATs and basic ACLs on Cisco and Fortinet firewallsComplete user mailbox setup and changes for Exchange 2010/2013Implement procedures for the install and configuration of monitoring and alerting applicationsUpdate internal and external DNS as requiredCreate monthly systems performance reports and submit for review to Team LeadProvide basic configuration of Layer 2 and Layer 3 networking requestsTroubleshoot Wireless LAN connectivityCollaborate with other teams to resolve technical problems and update or develop new policies and proceduresWork with team members to streamline processes for service delivery","Understanding and experience working with Microsoft Active Directory servicesUnderstanding of Group Policies required, experience a plusBasic knowledge of networking theoriesClear understanding of TCP/IP protocol including IP addressingExperience configuring Cisco switches a plusExperience with Exchange 2010/2013 user administrationExperience supporting Fortinet and Cisco firewalls a plusExperience accessing virtual machines via VMware client or VCenterExperience troubleshooting Backup ExecUnderstanding of storage systems and RAID technologiesExperience supporting users in Citrix XenApp a plusExperience with AppAssure and StorageCraft a plusUnderstanding of public cloud services requiredExperience administrating users and services for Google Apps or Office 365 preferredUnderstanding of VPN and SSL certificates a plus Experience configuring and supporting Virtual Desktop technologies (Microsoft Remote Desktop Services, Citrix XenApp/XenDesktop, Citrix ) a plusBackup solutions (Disk to Disk and Disk to Disk to Offsite using various software)IT certifications such as: Microsoft MCP, MCSA, or MCSE, Citrix CCEA or CCIA, SonicWall CSSA, Cisco CCNA, or VMware VCP (preferred)Other Requirements:You will need a valid CA Drivers LicenseYou will need authorization to work in the USABachelors degree (preferred)Experience in a customer facing roleAt least 3-4 years of experience in a similar role","Varsity offers a quality benefits package that includes medical, dental and vision coverage, life insurance, short and long term disability, 401(k) plan, and an array of work/life benefit options.",0,1,1,Full-time,Mid-Senior level,,Information Technology and Services,Information Technology,0
11201,.Net Software Developer - Integration,"US, NJ, Fair Haven",,,"ForeFront (Fair Haven, NJ) is a fast-growing business &amp; technology consulting firm. Our focus is integrating cloud apps with Enterprise systems. Partnering with top technology providers such as IBM, gives us a unique advantage in the cloud computing market. Most recently, IBM awarded us their prestigious “WebSphere Solution Provider of the Year” award. From corporate business strategy, process re-engineering, system integration to solution delivery across industries. All of our clients have come to recognize ForeFronts 'know-how' excellence. Located just miles from the beach life of Sea Bright, NJ, and the shops, restaurants, and nightlife in Red Bank, NJ  ForeFront is in the ideal location for those who want to work on cutting edge technology projects without the commute to NYC or paying a premium to live there. ","COMPANY OVERVIEWForeFront (Fair Haven, NJ) is a fast-growing business &amp; technology consulting firm. Our focus is integrating cloud apps with Enterprise systems. Partnering with top technology providers such as IBM, gives us a unique advantage in the cloud computing market. Most recently, IBM awarded us their prestigious “WebSphere Solution Provider of the Year” award. From corporate business strategy, process re-engineering, system integration to solution delivery across industries. All of our clients have come to recognize ForeFronts 'know-how' excellence. Located just miles from the beach life of Sea Bright, NJ, and the shops, restaurants, and nightlife in Red Bank, NJ  ForeFront is in the ideal location for those who want to work on cutting edge technology projects without the commute to NYC or paying a premium to live there. RESPONSIBILITIESForeFront is looking for a .Net Software Developer to deliver world-class Cloud and integration solutions. The Developer will be responsible for designing and implementing custom .Net applications and will be responsible for the end-to-end solution implementation including architecture, design, scoping/estimating and testing. Our Team pushes our clients to be at the ForeFront of the industry, and we want you to take pride in the solutions you create.","REQUIREMENTS &amp; SKILLSAbility to design, analyze and construct robust server and client side web applicationsAdvanced knowledge of .NET technologies with an emphasis on C# and ORM with Entity Framework, Linq to SQL, and/or #URL_9dc1b0a6c0dad3d55e217423d8b3a8edc7dd2696a79c8a23bef61c4b8f7c8ee7#.Experience creating and securing web services.Ability to interpret business requirements and produce 3-tier architecture/object oriented designsExperience with MS SQL Server 2008/2012 and working with relational databases.Strong back-end programming skills (Business Objects, Data Access Layer, UI Layer, etc.)Experience with web development.Excellent understanding of object-oriented concepts and design patterns.Bachelors degree in Software Engineering, Computer Science or equivalent is required.Best practices know-how including Waterfall, Agile, project planning, and scope control.Excellent communication and time management skills with the ability to learn quickly and think creatively.Self-motivated and able to work independently dealing with ambiguity and thriving in a rapidly changing environment. DESIRED SKILLS AND EXPERIENCEKnowledge of MVC is a plus#URL_24cd44edb473365624a280f26f00a589336e1282f129bebf1f212762aad60ece# Skills: APEX (Apex code syntax looks like Java and acts like database stored procedures), Apex Triggers, SFDC Metadata API, #URL_9c5f751b7ed85d808872f8eb3e558a747f92376a3c1005f6b91fe07438fe13f9# development, VisualForce page controllers, SOQL, SOSLExposure to integration tools like Cast Iron, Pervasive, Jitterbit, Boomi is a big PLUSExperience with #URL_24cd44edb473365624a280f26f00a589336e1282f129bebf1f212762aad60ece#, CRM, NetSuite, ERP, Oracle, SAP is a big PLUSExperience with user interface development (design, Web Forms, Javascript, JQuery, AJAX, DHTML/HTML). An understanding of browser compatibility issues is also nice to have.","WHY FOREFRONT?Talented, innovative, diverse, and fun Team!Great learning and growth opportunities!Great Benefits and Perks  Medical/Dental/Vision, matching 401k, Profit sharing and bonuses, Continuing Education/Certifications, Company Trips, Community Events, Philanthropies and lots of Team building!Weekly Friday Lunch &amp; Learns - BBQ's on the patio or ordering inStable company in big growth mode  We are always at the ForeFront of technology!",0,1,0,Full-time,,Bachelor's Degree,Information Technology and Services,,0
7463,Architectural Designer,US,,,Our company is kept confidential due to the large number of responses we receive.,"As our firm continues its growth, new opportunities are being created for new team members. We are specifically searching for individuals who can hit the ground running, work well in a team environment, are focused on client objectives and goals, and have a strong ""can-do"" attitude.For this specific position:1. You have an architecture degree from an accredited program.2. If you do not have an architecture degree but have good, relevant experience within a deadline driven architectural environment, we would like to hear from you as well.3. You have a good understanding/knowledge of construction.4. Your software expertise should be a least a 7 or 8 on a scale of 10 in Autodesk products, specifically AutoCAD and Revit.5. Your expertise in other software products is a given: i.e. Microsoft, Adobe, other design software.6. You can handle multiple projects at once.A very professional, ethical demeanor is required as you will be a reflection of our company to our clients.Please provide a resume, cover letter and portfolio if available if you are interested in being interviewed for this position. As we expect a very strong response, only qualified candidates will be contacted.Interviews will begin next week as our needs are immediate.",,,0,0,0,Full-time,,,Architecture & Planning,,0
10250,UAL BlippDev,"GB, LND, London",Creative Services,0-0,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","Were looking for phenomenal blipp developers to help us build a wide range of awesome augmented reality experiences for our industry-leading brands, agencies and publishers from inside our London office.Youll be working alongside our small, dynamic, bespoke blipp development team to ensure our app delivers high-quality and innovative customised experiences for all our super-hot clients, to then be seen by our six million users. Were experiencing an exciting stage of growth here at Blippar, and as our apps audience continues to expand across the world we need passionate, innovative, dedicated production artists/3d modelers/coders who are hungry to push the boundaries of augmented reality advertising.This role is thrilling and varied; your primary task will be to build and develop unique, bespoke campaigns for our big name custom blipp clients, who include Pepsi, Coca Cola, Nestle, Warner Brothers, Jaguar and Conde Nast.At Blippar we have our own programming language and frameworks, enabling you to easily develop a range of functionality into apps on each operating system.With a number of international offices, a superb client base and millions of app users, this role offers huge opportunities for growth and career advancement. You will have the chance to work across all our outstanding teams at the cutting-edge of augmented reality and wearable technology.","We need bright and engaging individuals who get as excited as we do about the potential Blippar has to genuinely change the world. This role is perfect for a recent graduate with a couple of years of commercial exposure, who is now wishing for further challenges and the chance to take a real step forward. You will be passionate about mobile and the opportunities it offers, excited and imaginative about where you see augmented reality, image-recognition and wearables heading, and confident working with a range of technologies.To build blipps you will need to be skilled in hands-on coding, 3D-modelling and scripting. We have high expectations and you will certainly be challenged; blipps are built quickly, using clean and effective code.Ideally you will have:An understanding of augmented reality (Modeling, Coding and Crative Process)Web-development skills (HTML, HTML5, PHP, Javascript, jQuery, MySQL, etc)Exposure to mobile and gaming projectsTwo years of commercial experience (ideally agency-side)Portfolio of work, including mobile/reactive design and build projectsA passion for new challenges","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter &amp; Gamble, Conde Nast, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesOur office dog (London)International travel opportunitiesChance to develop groundbreaking techWork with state-of-the-art hardware and softwareAccess to new wearables including Google GlassA challenge to change the worldChance to shape a new industryCreative freedom and controlExperience with all mobile operating systemsCollaborative opportunities with huge brandsPlease apply now for more information about this role, or check our careers page for details of other opportunities to work with us.",0,1,1,Other,Associate,Bachelor's Degree,Internet,Engineering,0
7594,JavaScript/UI developer,"NL, , ",,24000-26000,"ClarusApex is an international recruiting company with representations in the Netherlands, Austria, Ukraine, Cyprus and Dubai (UAE). We are sourcing top talents from all levels and industries from more than 45 countries with a combination out of classical headhunting techniques and modern social media marketing, advertising and research technologies.Our customers love our services as we supply them with top talent without borders including migration and in many countries even employment (umbrella) services.Candidates entrust us with their careers as we work according to international ethical recruitment standards with free recruitment services, free visa support and regular follow up contacts after the successful job placement. ClarusApex, unlocking the global job market","Interested in an exciting opportunity working in the Netherlands?Successfull team is seeking a self-motivated and self-directed JavaScript/UI developer. We offer unique chance to work and build career in an international company. The candidate should have extensive experience in creating interactive web-applications with a focus on usability and can implement attractive intuitive user interfaces.Location: Eindhoven, NetherlandsStart date: as soon as possible Duration: 6+ monthsEmployment is FREE of CHARGE for all the applicants! Employer is paying for the best candidates!Tasks &amp; Responsibilities:Developing a modern JavaScript based visual oriented development environment to enable flexible design of control systems.Performing the integration between the UI frontend and backing systemsMaking sure that it is easy to add new features to the UI Frontend.Who are we? APEX AVAn international company a subsidiary of Clarus  which is operating in the Netherlands and Austria since 2005, and in Ukraine since 2006;APEX AV operates under the license №585131 issued by the Ukrainian Ministry of Social Policy 12.04.2012The participation in all recruiting programs of APEX AV is free of charge to the candidates.","Requirements:Strong knowledge: JavaScript, CSS3, HTML5, UI Design, REST interfaces, Web Socket communication and preferable also on frameworks like #URL_c82b45868da7e9227d904c1ddc1dd78beae7867257bf08eb0a664e8562c887a3#, Angular &amp; Moustache.A good understanding of Java, Spring, Grails, Spring Boot, Scala, Play Framework and the Go language is highly preferred.High education in IT-related specialties.Fluent English (professional level).","Conditions:Official employment, contractAir tickets, paid leave, health insuranceBlue Card is provided. It is a residence and work permit for highly skilled migrants and their family membersFamily members are allowed to take up any work in the Netherlands",0,1,0,Contract,Mid-Senior level,,Computer Software,Engineering,0
13309,Collections Manager,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","To apply please visit our website at #URL_06ae9636e61d7ddfc75b7dec9887f7022036b464a1ef22d098f1e03084cd3614# and click on our Careers page.Tidewater Finance Company, located in Virginia Beach, VA has a full-time Manager position available in our Active Collections Department.The qualified candidate must exhibit a majority of the following leadership characteristics, but not limited to: Managing vision for the present and the futureAbility to identify, hire and develop employeesAbility to manage and motivate employeesAbility to delegate tasksTime management skills in a multi-tasking environmentQuality decision-making skillsProblem-solving skillsCreativity*Previous experience managing an automated dialer a plus. ",This position requires the following qualifications:         5+ years working in a call center environment in a management positionCollections background to include auto experienceExcel proficiencyStrong verbal and written communication skills,"Tidewater Finance Company offers a competitive salary as well as a comprehensive benefits package to our full-time employees.Tidewater Finance Company is a GREAT place to work!!!Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex (including pregnancy), marital status, religion, disability, genetic information, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.",0,1,0,Full-time,Mid-Senior level,,Financial Services,,0
13947,Shipping & Packing Technician - SF,"US, CA, San Francisco",Operations,,"Shyp is the easiest way to send anything, anywhere.Here at Shyp, were building the #1 brand consumers and small businesses trust for shipping their packages, and in the process fundamentally disrupting a 220 year old #URL_5e3cdedac8b912c62b6523c7af01360e03d0cb98631134035b2ddbaecdee14dd#re building a customer-centric organization that encourages customer service to permeate the decisions and attitudes of the entire company. Our culture is collaborative, focused and fast-paced. We have an incredible team and are well funded by some amazing investors. Come join us.","Techs are the artisans of Shyp. When our users' items arrive at our warehouse, Shyp Techs transform them into securely and beautifully packaged shipments. Techs then process these carefully created packages with our carrier partners (UPS, FedEx, USPS) for their journeys across the US and world. NOTE: because techs handle our users' personal items, they must pass a background check as part of the application process.What does it mean to be a Shyp Tech?Efficiently and securely pack items (anything from t-shirts to fine art) for shipmentConstruct custom boxes and packaging solutions using state-of-the-art technologyEnsure items have proper postage and all necessary documentationFlexible hours mean you can work at times that fit your schedule. Expect three to four 6-8 hour shifts per weekGet paid to save people from the pain of shipping and be part of transforming a 200-year old industryWhat is Shyp?Shyp is an on-demand shipping service that allows people to send items to people they care about by simply taking a picture with the Shyp mobile app.Once they send the photo, a pick-up request is sent and with in minutes a Shyp Hero arrives to collect the item and bring it to our warehouse. At the warehouse, a Shyp Tech securely packages the item for its journey.This is not a typical warehouse role. As a Shyp Tech, you'll be instrumental in setting the foundation for the company's national and global expansion. To see more about our fast-growing start up, check out #URL_89402521fda3cfbbc5bd3c3c5d70e2a076e7ebdb72d081e5b4c670a4ddccb3bf#","- Comfortable using the latest iOS devices- Previous packaging and shipping experience (or be fast learner with great attention to detail)- Ability to work later into evening (up to 11PM on occasion)- Customer service experience- Unbelievable attention to detail/ highly organized- A love for problem solving- Desire to continuously change, test, and improve- Excellent communication skills (speaking &amp; writing)- Ability to efficiently wrap/pack sensitive and valuable items- Above average speed, strength, and coordination- Willingness to travel and work a flexible schedule- Energy and motivation for helping a new business growNICE TO HAVE:- Fine Art Installation / packing experience",,0,1,1,Part-time,Entry level,,Logistics and Supply Chain,Supply Chain,0
10994,Customer Service Associate ,"US, MI, Bloomfield Hills",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Bloomfield Hills, MI. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Computer Software,Customer Service,0
15919,Android Developer,"US, MO, Kansas City",Engineering,,"Located in the heart of downtown Kansas City, were redefining the future of fan engagement and innovative business solutions to impact world-class brands, teams and venues.As sports veterans, we understand the limitations of existing software solutions for our industry. Our goal is to create new dynamic solutions designed specifically for our peers.","Sporting Innovations (SI) creates breakthrough software solutions for venues, properties and brands within the international sports community. FAN360, Sporting Innovations revolutionary digital platform designed specifically for the sports industry, is transforming how teams currently utilize data and technology to enhance fan experience and grow revenues.SI Android developers have the opportunity build applications that will be used in mission critical settings by some of the largest brands in the world. Your work will have significant exposure in the sports industry and will likely be used by sports fans globally.What Youll DoWork with a team of skilled engineers and architects to deliver high quality technology solutions on timeEngage in cross-functional collaboration with Product Leads, Engineering Leads and the Quality Assurance teamServe a key part of the Software Development Life Cycle (SDLC), including code development and testingDevelop based on a roadmap of new functionality and ongoing enhancements","Experience We LikeStrong background in developing and deploying Android applications (2+ years of experience a plus)Experience developing client-side applications that leverage RESTful web servicesExperience working within a distributed team development environmentSolid understanding of mobile application development in enterprise settings Your QualificationsFour-year college degree in Computer Science, Information Systems or EngineeringPublished at least one application in the Google Play store (multiple applications with strong user base a plus)Innate curiosity and strong problem-solving skillsExperience with modern development tools, including GitHubDesire to keep up and comfort with cutting-edge technology, including the latest Android technologiesStrong appreciation for the Software Development Live Cycle (SDLC)Unrelenting desire to deliver projects on time and with hiqh qualityPlease include cover letter, resume, and links to any iOS applications you have built.",,0,1,1,Full-time,,,,Engineering,0
5156,HR Assistant,"US, VA, Arlington",,,"For more than two decades, the TRAK Companies have been providing temporary and direct-hire professional support staff to some of the most high-powered, influential organizations in the Northern Virginia and metropolitan Washington, DC area. The TRAK Companies consist of TRAK Legal, TRAK Services, and TRAK's Records and Library Division. TRAK Legal places legal and project support staff in law firms and corporate legal departments. TRAK Services supplies executive, administrative, and conference support to corporations, associations, and other organizations. And TRAK's Records and Library Division offers experienced personnel in the information services arena. TRAK recruiters select candidates from an extensive network of highly qualified professionals, many of whom we represent on an exclusive basis. Our in-depth understanding of support roles in the business environment allows us to find the right staffing and recruiting solutions for you.","Our Association client, located in the heart of metro accessible Northern Virginia, is in need of an enthusiastic and driven entry-level Human Resource Assistant. In this position, you will be exposed to all of the extraordinary benefits working for this organization!Responsibilities: Assist in the recruiting and interview processData entry of new hiresMonitor and support general office operationsGeneral support of the Human Resource Department","Bachelors DegreeAble to work efficiently and accuratelyPrevious HR experience, internships included!Excellent Microsoft Office skillsAbility to manage multiple projects simultaneously",,0,1,1,,,,,,0
10053,Certified Electrician,"US, CA, San Diego",Installers,,"Solar electricity is the way of the future!  We are focused on bringing solar to homeowners in a simple solution with no up-front cost to the customer.  We are looking for talented and driven people to join our team and take their careers to the next level while growing our business into a national leader in solar energy development.  Our culture is a fast paced, high growth, work hard, play hard environment that encourages collaboration.","Solar electricity is the way of the future!  We are focused on bringing solar to homeowners in a simple solution with no up-front cost to the customer.  We are looking for talented and driven people to join our team and take their careers to the next level while growing our business into a national leader in solar energy development.  Our culture is a fast paced, high growth, work hard, play hard environment that encourages collaboration.Your RoleYou will install residential grid-tied solar arrays. In this position, you will work to complete the installation of a complete and working photovoltaic system. It is the responsibility of every individual to ensure safe work practices for the electrical and installation team.ResponsibilitiesCompletion of the solar photovoltaic electrical installation/wiringProvide support to the photovoltaic installation teamEnsure the successful operation of a complete and working systemPerform service panel upgrades on residential homes","Must be working towards Licensed Electrician, one year of work experience is preferred but not required.Solar experience is a plusMust be able to lift 50lbsEnjoy working outdoorsMust be willing and able to climb ladders, stairs, work on rooftops and able to work on your feet for long periods of timeExcellent verbal communication skills requiredThrive in a team environment",Compensation depends on skill &amp; experienceCareer path opportunities for top performers,0,1,0,Full-time,Associate,Certification,Utilities,Management,0
13000,Advanced Embedded Software Engineer,"MX, QUE, Querétaro",,,"Quiénes SomosSomos una firma mexicana de jóvenes especializados en reclutamiento y selección de candidatos excepcionales, recién egresados o que estén desarrollándose en el área de Ingeniería. Surgimos a partir de la necesidad de las empresas de obtener talento humano de alta calidad que permanezca por más tiempo trabajando dentro de ellas. Proporcionamos ""inversiones a largo plazo para empresas excepcionales"". La firma busca innovar y diseñar metodologías de calidad constantemente, las cuales fungen como medio de evaluación de los candidatos que prospectamos, haciéndolo de manera profunda explotando su capacidad al máximo en las áreas de oportunidad que pudieran desarrollar.Nuestra Misión“Formar una sofisticada sinergiaentre el factor humano y el tecnológico,conformando así un proceso de reclutamientoy selección, en el que la alta ingeniería,aplicada al talento humano sea el ingrediente principal""Nuestra VisiónEn M+M planeamos, estratégicamente, ser uno de los mejores lugares para trabajar, en donde nuestros clientes, usuarios y colaboradores experimenten, junto con nosotros, la pasión que sentimos por las metas que nos exigimos día a día.Nos exigimos ser constantes pioneros en desarrollo de tecnologías que simplifiquen y mejoren cada vez más los procesos de reclutamiento con el fin de ofrecer a nuestros clientes una constante evolución de servicios integrales que se adelanten a sus necesidades y las satisfagan de la mejor manera, además con el fin de fortalecer cimientos e impulsar en conjunto proyectos de mayor impacto, planteamos una red de asociados excepcionales que evolucionen constantemente en cuanto a su oferta de servicios tecnológica aplicada a los recursos humanos.Nuestros ValoresLiderazgoAtrevernos a innovar y redefinir los estándares para estar siempre un paso adelante.SecreciaSomos una caja fuerte cuando se trata de resguardar información acerca de nuestros clientes y usuarios.IntegridadSabemos manejar de la mejor manera los valores personales de nuestros candidatos y usuarios.CompromisoLo que prometemos, no solo lo cumplimos, sino que lo hacemos con la mayor calidad posible.HonestidadRealizamos nuestras actividades de la manera más clara y transparente posible.CalidadGenerar una experiencia superior a través del intensivo cuidado y mejora continua de cada detalle. PasiónTodo lo que realizamos tanto en el ámbito profesional como personal lo hacemos con la razón y el corazón.SolidaridadImpulsar el talento en equipo a través del mejor ambiente laboral. Impacto SocialGenerar un importante impacto social a través de nuestras acciones y dejar huella a través de cada proyecto en el que estemos involucrados","Advanced Embedded Software Engineer  | Based on candidate's qualifications | QuerétaroThis is your opportunity to join a technical center leader in the design of electric systems (harnesses) for the automotive industry, moreover provides engineering services focused on development of embedded software, safety tests execution, entertainment and control systems.We give you the opportunity to implement and develop your potential doing things with real impact in many entertainment, safety, and control vehicle systems for different and important OEMs worldwide. We make our mission simple by working everyday in interesting high technology products and by interacting with people in a very exciting work environment. MAIN RESPONSIBILITES:PlanningRequirements AnalysisSW EstimationSoftware DesignSW CodingSW Testing and VerificationSW ReleasePost start of Production (SOP) support. ","At least 4 years of experience in Automotive Embedded SW development. Software Development Process based on SPICE/CMMI SW development under Real Time Operating Systems Microcontrollers architecture Strong knowledge of C language for embedded systems CAN, J1939 protocol, I2C, SPI, UART, MOST, LIN. Product development process Renesas HEW experience. Experience with risk management, configuration management tools and issue tracking systems. Strong English abilities. Assembler debugging. C++ Software design, design patterns. Good troubleshooting and debugging skills. Good communications skills. Good technical documentation skills. Analytical, Disciplined and Creative. Teamwork player with the ability to work in a diverse and multi-site team. Comfortable working in multiple projects/tasks. Considers change as an opportunity rather than a problem. ",Growth opportunity in a leader company.Competitive salary commensurate with experience.Collaborative work environment.,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Automotive,Engineering,0
10846,General Clerk 2 - Call Center Agent ,"US, DC, Washington",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking General Clerk II to work as a call center agent in our Washington, D.C. client location.  The right candidate will be an integral part of our talented team, supporting our continued growth. Responsibilities include, but are not limited to: Receiving and directing calls in a professional mannerMaintain and verify supporting documents related to the federal government's Affordable Care Act via paper or electronic formatIdentify, classify and sort documentsAssociate all scanned images and hard copies of verification documents with an individuals record in client database Make appropriate annotations in the client database to indicate that new information has been received for record.Communicate with applicants, enrollees, and other relevant individuals to support the efficient processing of documentation. Communication will be conducted through mail, email or limited outbound callingHandle Complex Issue Resolution to include but not limited to; identify, research, analyze and manage all incoming and outgoing written correspondence related to all complex issuesMaintain Resolution LogPerform basic data entry; prepare and maintain detailed records, files, and reports; to type accurately at a speed consistent with work requirements; and to transfer documents from one location to anotherLift large boxes of paper for storage or production use if requiredHandle time-sensitive materialsHandle confidential materialsPerform duties and special requests as assigned by team leader and managerEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careEnsure adherence to business guidelines, safety &amp; security proceduresSupport financial results by minimizing site waste and rework","Required Qualifications:Have knowledge of business or program terminology, methods, and procedures; of office procedures; and of spelling, punctuation and grammarWork experience in email and Microsoft Windows environments is requiredKeyboarding skills requiredAbility to communicate effectively both in verbal and written formAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyAbility to adapt to change in a fast-growing production environment Strong organizational and administrative skillsDemonstrated ability to show initiative and accept ownership of projectsAbility to use problem-solving skills in order to resolve client issuesBasic mathematical knowledgeAbility to meet employer's attendance policy May be required to lift items weighing up to 50 pounds or the State maximum allowed with or without accommodationsMay be required to sit, stand, and or walk for long periods of time with or without accommodationsHS Diploma or equivalent (GED) requiredMinimum 3 months customer service experiencePrior experience in Healthcare or Insurance Industry a plus",,0,1,0,Full-time,Entry level,High School or equivalent,Management Consulting,Customer Service,0
2923,Graduates: English Teacher Abroad ,"US, OK, Stillwater",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US citizens only,See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
11598,Fraud Executive,"IE, , Dublin",Online Operations,,"ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Barcelona, Dhaka and San Salvador. Whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun.","Fraud ExecutiveAre you looking for an opportunity to join an exciting company and be part of something really special?  Well how about this… ding* (known as ezetop in our past life) is looking for a quick thinking and insightful Fraud Executive to join our fast growing Customer Operations team! Our Customer Operations Supervisors are searching for someone with a high attention to detail and a passion for identifying and analysing trends to join our day shift team. Comprehensive on-going training will be provided but a positive, proactive attitude is the key to being successful in this role! Youll be contributing ideas and providing vital recommendations to protect our customers and the company from fraudsters around the world.Heres what youll do day to day: Analyse and monitor high volumes of transactions to identify fraudulent activities at all levels  from individual accounts to country wide patternsReporting on emerging trends to management and make recommendationsWork on additional ad hoc projects as necessaryWork closely with the Payments, Customer Care and Business System teams to ensure the best customer experience possible and continually improve the efficiency of the Customer Operations departmentAnd heres what were looking for:Fluent written and spoken EnglishBachelor's Degree in Business or equivalent experienceProficiency with Microsoft Office suite  Outlook, Word, Excel, VisioTeam player with a “can do” attitude, desire to continually improve and strong time management skillsAbility to adapt, work and contribute as part of a small but dedicated teamP.S.• Experience working with Salesforce is a plus• Knowledge of e-commerce, online payments, and/or fraud would also be fantastic So whats it like working here?ding* is currently providing 200 people the opportunity to top-up their careers every day. If youre looking for a regular 9-5 role then this isnt the place for you. If you want lots of challenges, a long to do list and plenty of opportunities then were your kind of place. Like our service, were all super fast and super reliable. Were informal but productive. Youll be expected to get through high volumes of work and to keep coming back looking for more. In return we throw great parties and like to mark record days or other occasions with impromptu surprises.  Working at ding* gives you the opportunity to work with, and learn from, genuinely passionate and driven people. Like our customers, our team members have joined us from all around the world. We come from different cultures, speak different languages yet we all share one thing in common; we care about sending top-up instantly and reliably.If youre lucky enough to join the team, youll get to work in a great office environment without a gimmicky music room or sleep area but with truly spectacular coffee. And the best bit……we dont hire schmucks, only team players with a sense of fun. ding*ding* is the worlds largest top-up provider. Created to help people working abroad to support and keep in touch with loved ones back home, ding* provides the simplest, quickest and best top-up service ever. By keeping mobiles topped up, ding* is making a real difference to peoples lives.Weve our head office in Dublin and also have homes in Miami, Dubai, Bucharest, Barcelona, Dhaka and San Salvador.  ",,,0,1,1,Full-time,,,Telecommunications,,0
5613,Web Designer,"GB, ANT, Belfast",Technical,,,"Were looking for a talented web designer that strives to create the best experiences possible, whilst ensuring that the clients goals are achieved. You will demonstrate a passion for optimising user experiences to give the best return for the client.You will think about the users, their requirements and how best you can fulfill them with attractive, brand-building, and effective design. Your focus and desire for excellent user experiences will be paramount.We work on a wide range of projects, so we need someone that has a flexible skillset.  You should be comfortable sketching concepts on paper, communicating your ideas and building on concepts with the team.Youll be working with our development, UI and project team. We pride ourselves on creating web sites and applications that really work for our clients. We develop strong relationships with our customers, so we can understand their needs better. Youll share this desire for quality, customer satisfaction and creative use of technology.","The EssentialsStrong portfolio of web projects and a keen interest in designing and developing applications for web and mobileExcellent communication skillsExperience of  HTML, CSS and SASSHave an excellent grasp of responsive web design techniques and strong Photoshop and Illustrator skills (or equivalents)Experience of testing and page optimisationThe Nice-to-havesExperience of version control systems, such as gitAn interest or working knowledge of digital marketing methods","What are the advantages of working with us?A friendly atmosphere and flexible hours (35/week)Convenient East Belfast location with good public transport links and car parking (room for bicycles, too)Encouragement to learn new things and to feed that back to the teamLovely Apple hardware or BYOD",0,0,1,,,,,,0
12147,Support Agent,"GB, UKM, London",OPS,,"Depop is an exciting new mobile marketplace where you can sell things by simply taking a picture, as well as follow your friends and buy unique things.Depop has already been received with great enthusiasm in our test regions and it is now beginning to expand into the global market, following funding from highly prestigious venture capitalists.This is an extremely exciting time to join a young, fast growing and very promising company based in the Shoreditch area, backed by some of the most prestigious VCs in the technology market.","About DepopDepop is an exciting new mobile marketplace where you can sell things by simply taking a picture, as well as follow your friends and buy unique things.Depop has already been received with great enthusiasm in our test regions and it is now beginning to expand into the global market, following funding from highly prestigious venture capitalists.This is an extremely exciting opportunity to join a young, fast growing and very promising company based in the Shoreditch area, backed by some of the most prestigious VCs in the technology market.Summary of the Role As a Support Agent you will be the first point of contact of the company. You will be handling emails regarding order issues and general questions about the app and technical support. You will also assist the support manager in shaping and improving the overall customer experience. You will work closely with the support manager and our community manager to bring the customer satisfaction and experience on the table and thus actively improving the final product. You will also be responsible for: - Resolving inbound customer inquiries including technical and other support issues.- Handling a very high volume of support inquiries per day.- Dealing with order, technical, and general inquires about Depop.- Completing other projects as assigned.- Taking the initiative to improve processes and documentation where needed.","Required Skills and Experience - Track record of problem solving and very strong analytical skill capability.- Demonstrated excellent communication skills (written and verbal).- Proven capability of working well under pressure. - Demonstrated ability to work well in a team.Highly Desirable Skills and Experience - Prior experience working in a high growth tech startup.- Direct experience in Contact Center Operations (Customer Service, Sales, or Collections). - Prior experience on fraud investigation and dispute resolution.Optional Skills- Italian or Dutch language skills. ","Generous salary and optionsGreat offices near Old StreetApple equipmentWorking with a well-funded, highly talented and very friendly team",0,1,0,Full-time,Associate,,,Customer Service,0
2236,Manager Trainee (Riviera Beach),"US, FL, Rivera Beach",JAAMRRB,33000-34000,"The Aguilar Group is a nationwide search and recruitment agency, dedicated to working with our clients to help them find and hire “in-demand” talent. We utilize the latest technology, a strong network of talented professionals, and old-fashion hard work, to consistently fill our clients positions. We work on both contingency and retained basis. We conduct our searches in a high confidential manner, with a high sense of urgency.","he Aguilar Group  is actively recruiting for a Manager Trainee for a company in the Riviera Beach , FL area.We are seeking a recent college #URL_acad5f0f9933cd607d50e2450912bfa64c7ff29097041324a069bdc70a4dd4a8# desires to begin a career in operations and management with one of the leading Building Materials Manufacturers/Distributors in the World.This is a ""career-track"" position designed to prepared an individual for a career in Operations Management with an INDUSTRY LEADER.Our client is a 50 year old, 200 MM+ company and one of the world's leaders, in the designing, manufacturing and distribution of building products for both the residential and commercial marketplace.This position is located in the Riviera Beach geographic area, and candidates should reside in this area.Candidates should have 1-2 years experience is a retail work environment.(this could be part time or an Internship)Additionally, some leadership experience is highly preferred. Examples are: Manager of a Retail Store, Captain of a (High School/College) Team, Running a Construction Crew, etc.This will be a 6-8 month PAID training program where candidates will be exposed to and learn the company's business operations.The starting salary for the position is $33,000 plus benefits.After the training program is over, candidates will be promoted to a supervisory position ($45K-60K range) to a facility within the geographic region.CANDIDATE MUST BE OPEN TO THIS RELOCATION AFTER THE TRAINING PROGRAM ENDS.We are looking for candidates with great customer service and operations skills.Company offers benefits, 401K, Paid time off, relocation assistance, etc.PLEASE SUBMIT RESUME FOR CONSIDERATION, IF QUALIFIED WE WILL CONTACT YOU WITHIN 24 HOURS OF RECEIPT OF RESUME.",,,0,1,0,Full-time,Entry level,Associate Degree,Building Materials,Management,0
4560,Advertising Manager,"GR, I, Marousi",,,"MarineTraffic is the world's most popular ship tracking service!We collect real-time vessel position data and use them to create useful applications for shipping professionals and sea enthusiasts. MarineTraffic has become an essential tool for thousands' daily routine, making their work easier and their time at sea more enjoyable.Our vision is to become the worlds reference point for information and services regarding vessels. To achieve that, we are tracking as many of the world's vessels as possible and making relevant, actionable data widely accessible. We are currently in a period of sustained growth as we expand into exciting new markets.Take a look: #URL_2464fdc546beaa95376b3c7ebf655425b415c454ad33b9904ef5e360e421b824#   ","The Advertising Manager will:be responsible for optimising the #URL_03e016a1beadc74072b980e62c74ed2a9c010dffc07ee943a8acf45d4724cadd# inventorybe processing incoming ad sales leads on a daily basisactively reach out to potential clients and sell advertising directlysetup campaigns, monitor delivery and manage reportingdevelop our advertising offering into a compelling productdiscuss client requests and requirements, through written and verbal communicationparticipate in regular strategy review activities and play a key role in the commercial team","Candidates interested in this position should have:demonstrable track record of success in ad sales. Extra bonus if this was in the maritime sector.solid understanding of the online advertising mechanisms and tools: if you need to Google terms like remnant inventory, frequency capping, cpm, then there is no need to read furthermastered the DFP ad server, as well as AdSense/AdXstrong analytical and IT skillsability to prepare quality sales proposals and presentationsat least 2 years of prior experience in similar positionexcellent written and verbal communication skills: should be fluent in English - Greek is optionalcompleted military duty and similar obligations","Be part of a young, dynamic team and make a mark!Position offers base salary + performance-based commission.",0,1,1,Full-time,Associate,Unspecified,Internet,Advertising,0
2861,Frontend UX / Magento Themes Developer ,"GB, LND, London",Technology,30000-40000,"Wedo is a collection of niched e-commerce stores which aim to be the first destination for online shoppers buying in the various niches that we operate in. Due to our continued commitment to the best, we are now looking for more people to join our lively team at a very exciting time. As we continue to grow we're looking for incredibly passionate people who want to be part of something amazing. Were looking for outgoing, positive and driven individuals who want to make a difference where they work. We can teach you a lot of what you need to know about the job. What we cant teach you is aptitude and attitude, two of the most important aspects to succeeding at Wedo. If youd like to work in an environment that feels friendly and welcoming, professional, highly interactive and ready to hear your ideas, then read on.","** Referral Bonus **We're offering £500 for a successful placement, so please pass this onto your friends!Who were looking for:Youre wanting to do something progressive (eg: advanced mobility and responsiveness, bleeding edge UX), to innovate (eg: elegant solutions to ecommerce UX problems), and to work with great people. Youre not interested in corporate red tape, and youve forgotten what a waterfall project approach is. You thrive on problem solving, and can work with both technical and non-technical people. If this sounds like you, then read on!Whats the job?We're at a stage where most of the work we're doing in the next 6 months is around merchandising and e-commerce features for conversion rate and retention, so you'd be working at the coalface of this every day. We've got a flat structure, so work is primarily project and objective based, over short sprints. We deploy daily, iterate fast and often, all with an emphasis on teamwork.","Qualifications:Strong commercial frontend experience is essentialYou have a portfolio that demonstrate your work and competenceIdeally a degree in computer science, computer information systems or a related fieldAble to legally work in the UK (ie dont require a work permit)Required Skills:Ability to hand-code standards-based HTML 5 and CSS 3 for cross-browser compatibility, and examples to showExperience with HTML 5 &amp; CSS 3 for responsive layoutsGreat working knowledge of Javascript and AJAX, and experience with frameworks like jQuery or Prototype (and Scriptaculous)Experience with git, ticketing, documentation and bug tracking applicationsAbility to manage development environment, and accurately quote time for completing required tasksExperience with IDE's (ie, Eclipse, phpStorm, Netbeans, Zend Studio), and IDE based debugging toolsDemonstrable problem-solving and teamwork skills. Team dynamics are important to us, so you must be a team player with a strong customer service focusWorked on projects using Agile / Scrum methodologyStrong written and verbal communication skillsDesirable Skills:Experience with Open Source PHP MVC OO frameworks like Symfony, Zend, Cake or CodeIgniterExperience with Magento as well as the ability to implement Magento themes and 3rd party pluginsAbility to build custom Magento plugins/modulesExperience with Wordpress, and implementing custom themes and plugins (our blogs are Wordpress driven)Experience in building mobile applications","A great environment to develop your career and skill setsSalary: We're paying market rates for the right person** Referral Bonus **We're offering £500 for a successful placement, so please pass this onto your friends!",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Information Technology,0
5413,Senior Full-Stack Developer,"IL, TA, Tel Aviv",R&D,,"The only thing we love more than our data is our team.We're a group of developers, designers, mathematicians, data scientists, researchers and marketeers that work relentlessly to measure online behavior worldwide and to generate marketing insights.Together, we are shaping the future of web measurement and competitive intelligence.","As a senior full-stack developer in a new exciting department at SimilarWeb, you will have the unique opportunity to make a real impact on a fast growing company. You will work in an environment with a wide range of technologies.You will be in charge of web servers that handle a big amount of requests and data, servers and scalability. Additionally, you will have other responsibilities on the client side and Database.",Skills &amp; Requirements5 years experience in developing3 years experience in OO developmentExperience in building large scale data systemsBuilding applications using #URL_551e528b856e6701db6b6e3ce9110f27b1fbaaa17740a3fabbf28532dadc4a25# DB/Hadoop and many moreExperience in mobile technologies  an advantageAbility and willingness to learn new technologiesExperience in Data Mining/Statistical modeling  an advantageGood English,,0,1,0,,,,,,0
17469,Growth Hacker at a Startup Investment Studio,"GB, LND, London",FP,35000-55000,"Based in Hoxton, London, Forward Partners are a “startup catalyst”, combining investment with practical hands-on expertise and insight.We're investors in very early stage ecommerce companies.  Our team have the experience to enable entrepreneurs to succeed.  We do more than advise and mentor. We help the best entrepreneurs quickly find a great product-market fit with our expertise in customer discovery, coding, design, customer acquisition, testing and analysis as well as offering office space.Companies invested in include: Hailo, Zopa, Wool and the Gang, Thread, Big Health, Stylect, Makers Academy, Blik Book, DriftRock, Top10, SnapTrip, Loyalty Bay and Appear Here","Forward Partners is an Investment Studio combining funding with practical hands-on expertise and insight.  We have an in-house team working with our seed-funded startups to help them turn their ideas into world class e-commerce businesses.  We do more than advise and mentor, we roll our sleeves up and get involved. Our support spans development, coding, design, customer acquisition, recruitment and fundraising, we even offer co-working space to our companies.Companies weve helped include: Hailo, Zopa, Wool and the Gang, Thread, Big Health, Stylect, Makers Academy, Blik Book, DriftRock, Top10, SnapTrip, #URL_5e07a935c285960c1778838c4eb735aedb124df9b86a50949ced9254889f8446# and Appear Here.The role of the Growth Hacker is massively important for our startups.  They need your expertise to gain traction through the first 100 customers, building well tested features and refining their product as they go.  Turning that initial interest into sales and those customers into fans. Ideally you'll already have had this experience in your previous position and love the highs that a 2% increase can give you!What we want you to do:Help the startups in our office grow -  Aid all of them in the acquisition and retention of usersLove all the data! - You'll get a warm glow from looking at dashboards and share expert insightsIncrementally improve - Tweak, twist and fine tune to maximise every opportunity!Create and manage multiple campaigns - Utilise all the tools you can to enhance our startup's growth.Pay it forward - As they grow our fledgling brands will get their own marketers, you'll pass on the user base and share your skillsOffer Insights - As much as you love the numbers you'll also be able to tell our Founders how they translate to the real decisions of their users.Be a part of the Forward Partners team - work closely with us, learn alongside us and join us for the Zorb Football or that awful Hot Wings Challenge we did that time.... ","You'll love the startup world and have had positions in growth or digital marketing.It would be even better if you were successful in these other positions, better still you'll be able to tell us why.We take a lean approach and work incrementally, if you've worked in this way and can work to a plan whilst remaining flexible that would be great! You'll have implemented and managed your own campaigns (cohort/customer segmentation, LTV, CPA, ROI).Be both a Scientist and an Artist - combine the love of data with your own flashes of brilliance which will take our companies further, faster.You're a geek! It's ok we are too! -  You'll be familiar with all the regular tools (GA, GTM, Mixpanel), and even know a few that we've never heard of, you might even surprise us with some coding skills.You'll know your PPC from your SEO and not be all OMG when we ask about ROI on the CPC. You'll be more than familiar with all things social (Twitter, Facebook, LinkedIn) and know when each works best.You'll be curious and always wondering why? Venturesome in getting to those answers and happy in sharing the knowledge of your own insights.",,0,1,0,Full-time,Associate,,Venture Capital & Private Equity,Marketing,0
15234,IT Security Analyst,"US, MA, Boston",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)* CISSP, CISA, CISM, ISSAP, ISSMP (ideally some or all)* ISO27001* PCI/DSS* SOX* COBIT* Good understanding of threat analysis and intelligence gathering* Implementing policies and procedures* Data protection* Good understanding of Operating Systems and NetworksVisit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#",,,0,0,0,Full-time,,,Information Technology and Services,,0
6998,Freelance Translators (m/f) from Italian and German into French,"DE, BE, Berlin",Didactics,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","We are looking for freelance translators (m/f) from Italian and German into French.RESPONSIBILITIESLocalization of Italian language courses for French native speakers: translating vocabulary, dialogues and example sentences as well as adapting grammar rules",Translation experiencePreferably also a background in teachingFrench is your mother tongueIntermediate Italian and German skillsExcellent spelling and computer skillsReliability and an independent way of workingTax number for freelance work in GermanyPreferably living in Berlin,Flexible work schedulesMost work from home (but training in our Berlin office)Competent training and supportA friendly atmosphere and a great teamWe would appreciate concise and relevant applications exclusively in digital form to the attn. of Ms Nadja Hantschel referring to the position as Freelance Translator (m/f) from Italian and German into French.Please note: We do not accept applications from recruiting agencies.,0,1,1,Other,,,E-Learning,Writing/Editing,0
17047,Web/Mobile Front End developer ,"GR, I, Athens",,,,Το αθηνόραμα ζητάει Web/Mobile Front End Developer για να στελεχώσει το τμήμα νέων μέσων με σκοπό την ανάπτυξη Mobile Applications και Websites καθώς και τη συντήρηση των υπαρχόντωνprojects.,"Άριστη γνώση και εμπειρία στην ανάπτυξη σελίδων για web/mobileΔημιουργικό πνεύμαΑντίληψη του σωστού UI/UX Απαραίτητη η άριστη γνώση σε CSS(3), HTML(5) και εμπειρία σε Javascript, JQuery, Adobe Photoshop Εμπειρία στην ανάπτυξη mobile applications σε PhoneGap (ή άλλο αντίστοιχο περιβάλλον ανάπτυξης)Η γνώση Responsive/Adaptive Design και JQuery Mobile θα εκτιμηθεί ιδιαίτερα. ",,0,1,0,Full-time,,Unspecified,Internet,Design,0
10004,Insurance Administrator,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay"," Tidewater Finance Company, located in Virginia Beach, VA has a full-time Insurance Administrator position available in our Recovery Department.   Our company continues to offer exciting career opportunities in a team-oriented environment, where every employee is trained to succeed. This position affords an opportunity to learn, contribute and develop within our organization. Applicant must exhibit a majority of the following characteristics, including but not limited to: Professional demeanorAdaptabilityExcellent written and verbal communication skillsCustomer service skillsStrong computer skills including experience with Microsoft Excel and WordPunctual, organized, efficientAbility to multi-taskEager to take on additional job responsibilities ","Duties for this position could include, but are not limited to:Managing  un-insured account reportsVerifying and tracking insurance coverage with customers, insurance agents and/or insurance companiesManaging all insurance coverage dataReviewing and managing CPI monthly billingDirect liaison with our insurance tracking companyAdministering total loss accounts such as processing letters of guarantee, filing GAP claims and deficiency lettersCommunication with total loss adjusters, GAP claims adjusters, repair shops, insurance agents and customers  Insurance administrative duties such as handling total loss accounts, submitting GAP claims, processing repair checks, posting insurance total loss and GAP settlement checks","We offer a competitive salary based on experience and a comprehensive benefits package.  This is an exciting opportunity to join the Tidewater Finance Team!Interested candidates may apply in person at:6520 Indian River RoadVirginia Beach, VA 23464 You may also submit your resume via email to #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117## or fax your resume to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#.Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex (including pregnancy), marital status, religion, disability, genetic information, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.",0,1,0,,,,,,0
3209,Business to Business Sales Representative,"US, UT, Draper",Merchant,,Crest Financial is one of the leading privately held consumer financing companies in the United States. Crest blends the use of innovative technology with a simple to use application process to drive revenue for thousands of retailers. Retailers love our product and our people -- we are growing the business rapidly and we need more great people to join the team!,"Crest Financial is a fun, energetic, successful, established finance, and marketing company that is rapidly growing located in Salt Lake City, Utah. We have been conducting business since 2005 across the nation in 46 states. Our work environment is casual, employee centered, professional, and very comfortable, with an open door policy. We love to work hard and play hard too. Here at Crest Financial we strive to provide the best environment and benefits for our employees. Which include paid holidays, growth opportunities, raises, monthly incentives, bonuses, commissions, and medical/dental benefits for full time employees. If this sounds like a company you would like to work with and grow with our team then please take a moment to review our open position below.Crest is looking for motivated, hard working, sales oriented individual to join a fast-growing company. This position will be calling our paid in full customers to solicit return business. There is an unlimited amount of potential for growth with a competitive base pay plus commissions earned on sales. We have a fun, relaxed workplace, and want outgoing positive individuals who are interested in both gaining valuable experience and sharing creative ideas.","High school diploma or general education degree (GED); or one to two years related experience and/or training; or equivalent combination of education and experience.Previous customer service, and sales experienceWork well independently Practice good phone etiquette Demonstrate integrityShow proficiency with Microsoft Office SuiteBe flexible to take on new tasksBe patient and attentive to detail",Medical/Dental benefits for full time employeesCommissionsPaid HolidaysOpportunity for growthRaisesMonthly incentivesBonuses,0,1,1,Full-time,,,Financial Services,Sales,0
3884,Marketing Manager (Sales),"US, NY, New York",Marketing & Sales,,"Daily Secret is one of the fastest growing digital media brand for premium audiences around the world, serving 1.8 million subscribers every day. Covering over 35 markets, its signature daily email newsletters reveal coveted bars, restaurants, shops and all around local secrets while integrating brands around passion points. Headquartered in New York, NY and Athens, Greece, Daily Secret is backed by leading media investors, such as Greycroft Partners, eVentures, Ronald Lauder, Dick Parsons, and Andy Russell. To learn more about us visit #URL_e830679f90409d3a40bc46c9110e3c627418d0bff3a005f2a78539860ed584c2#","Daily Secret is seeking a Marketing Manager (Sales) to join our team in New York, NY.This role will work closely with the CEO and Head of Sales to lead all ideation for RFP based requests. Ideal candidate will be a big thinker and strategist. Lifestyle account experience required. The day to day will be working closely with sales and editorial to determine strategy for responses. You must be fast on your feet, enthusiastic about design, and ready to conceive, communicate and execute extraordinary, unforgettable ideas for our advertising partners. This person must be able to work in a fast paced environment, multi-task and not be afraid to roll up their sleeves. Our ideal candidate is an experienced sales marketing professional who is passionate about producing beautiful marketing copy. Responsibilities:Work with sales and creative counterparts to ideate, develop and implement a marketing strategy for our sales team.Participate in the ideation and execution of sales proposals across advertising categories. Act as day-to-day contact person between sales and creative on RFPs.Participate in sales calls and presentations to bring programs and ideas to life for advertisers.Act as a category expert and resource for owned categories; deep understanding of relevant media trends and industry trends expected.Project manage sold programs across media platforms. Engage and oversee internal departments and outside vendors to achieve successful outcomes.Create or manage creation of wrap-up documents for assigned clients and programs.Act as brand ambassador with clients and vendors as needed.","4-6 years experience in creative services or sales marketing in a lifestyle brand or a creative/digital agencyCreative writer with ability to correct, rewrite and revise one's own work and the work of others to create a compelling and persuasive final productExtremely open to feedback and highly adaptable.Comfortable with technology enjoys learning about new technology platformsExcellent presentation skills and passion for the brandMust enjoy and appreciate a collaborative, fast-paced, deadline-driven work environmentBelieves in our company values as much as we do","We offer...first-hand experience at a fast-growing, global digital media startupunparrelel access to brands eager to explore creative concepts with usthe opportunity to expand your skill set from traditional or traditional digital to advanced digital mediadaily exposure to readers around the world who are passionate about our secretsintelligent colleagues who take pride in their workexposure to international marketsa salary, a full fridge and all that jazz",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Marketing,0
7160,"Become a co-Director in Chiang Rai, Thailand",TH,,200-250,,"If youre looking for a long-term volunteering opportunity, the International Humanity Foundation is offering you the chance to help in our childrens home.IHF is looking for hard-working, committed volunteers to help in our childrens home in Thailand. IHF provides education, and in some cases, homes, to children in need in six centers across Indonesia, Thailand and Kenya. IHF has no central headquarters; each center is a product of its environment and follows the cultural code and norms of its host country.Our Thailand center is a home for hill-tribe children. Children from this marginalised community come to our center for a stable home and a chance for an education.At the center, you will divide your time between caring for the children and working on international tasks. IHF is a grassroots, all-volunteer organisation with no central office, so all administration work is done in center. All volunteers manage one or more of our international task teams such as university relations, fundraising and media; working together online with volunteers in other centers. You will be assigned according to your experience. You must possess excellent time management skills.Strict IHF Policy: These stipends are fixed. They will not be raised for more experienced applicants, and everyone will be upgraded according to the timeframes outlined above, and no sooner. There are no exceptions to this, regardless of what may be the situation in the centre. We are an all-Volunteer organization and training and hands-on experience is paramount.Please take the time to familiarize yourself further with our organization -#URL_2b64e2819deb4bc20ef614288c10b167c2be97ad392f9ad1dd405b12971979ab#.  And  to our blog   #URL_d1e4c2e28f1f5363602cfb397f4cd63fb54a7c21c70ddb9cb8e466b0a0f110e9#If you have any questions at this time, e-mail - #EMAIL_057e9ed605f0c5be2f37f9145ca1a717db669b8c0b85944a826c9768b7138441#If youre ready to apply please send a copy of your resume to #EMAIL_057e9ed605f0c5be2f37f9145ca1a717db669b8c0b85944a826c9768b7138441#","Those who are secure without the comforts of home gain the most from this valuable opportunity. We are looking for applicants preferably with:- A related university degree; eg. in social studies, language, teaching, medicine, law, social work- Experience abroad; travel, working or volunteering- 1-2 years working experience in any field- Experience with teaching and/or childrenFluency in English, both written and spoken is essential. Those interested in volunteering at an IHF centre are required to complete a level of pre-trip duties in order to gain an understanding of how our organization functions.","Stipend: Volunteers must commit to serve at least a year at the center. Basic accommodation and food is provided by IHF. You are a volunteer at the center, and all local taskscompleted are done so without pay. Volunteers who commit to serving one year or more will receive a small monthly stipend. It is broken down as follows:-First 3 months: Training period - $20 per month3-6 months: Assistant co-Director - $50 per month6-9 months: Co-Director - $80 per month9-12 months: Co-Director - $100 per monthThis stipend results only from the international online work; not from any local tasks.After one year there is the opportunity to become a full Director. ",0,0,0,Full-time,Director,Unspecified,Education Management,Education,0
8094,Customer Service Associate ,"US, OR, Portland",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Portland, OR. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
16542,Title Insurance Bookkeeper -Chicago,"US, IL, Chicago",,30-35,#URL_e7c9057d5e6f097876436d175031e95669ede4ebaab52b6be0957c837bc98343#,"Well established Title Insurance Agent located in Chicago is recruiting an experienced Bookkeeper to assist in their day to day financial operations. Knowledge of the Title Insurance or Mortgage industries is preferred. It's a great opportunity for the right person!Drop us a line if interested.Title Insurance Bookkeeper/Clerk -Chicago Title Insurance/Escrow Accounting- Knowledge of Title Insurance, Mortgage and/or Real Estate Finance is strongly preferredAccounts Receivable*Customer invoicing*Applying payments (checks, wires), ACH)*Collections*Applying creditsAccounts Payable*Coding/entering vendor invoices*Making payments (checks, wires), ACH)*Refunding credits*Reconciling/entering/paying employee expense reimbursementsPayroll &amp; Other *Month end closing of books (accruals, balance sheet reconciliations)*Banking recording daily transactions*Scanning/electronically filing all accounting documentsRequirements:*High-degree of accuracy, timeliness*Bachelor degree or equivalent work experience* Experience using Quickbooks*Strong Excel skills*Ability to multi-task*Strong customer service skills",,,0,1,0,Full-time,,,Accounting,,0
7094,Front-End Developer,"US, CA, Palo Altio",,,"Declara is focused on bringing data to life. Our technology platform uses machine learning, search, algorithms and recommendations to develop learning paths for individuals, making learning a constant discovery.Learning isn't just our product, it's what we do everyday. Work with a small focused team. You'll push and be pushed. Tackle the toughest technical challenges as you create products that can transform organizations across the globe. Do something meaningful. We're changing how the world nurtures and develops talent. We are located in Palo Alto, Boise and Mexico.",Passionate about great products and meticulous in capturing the details required to help people get the most out of them? Declara is hiring a Front-end Developer to handle a broad range of responsibilities related to the execution of our products.,"Strong experience in a dynamic language (JavaScript,Python, PHP, etc.)Strong experience with the software development experience in full product cycle: design, development,release and maintenanceStrong understanding of MVCStrong understanding of web best practices and current trendsGood to strong understanding of web security modelsGood understanding of CSSYou learn fast and dive into new things with enthusiasmYou love writing code, and want to work with and develop others that do tooYou can write maintainable code with tests to prove itBONUS POINTSExperience using Amazon Web Services (EC2, S3) or other cloud-computing providerExperience delivering video over the webBeautiful RESTful APIs fills your heart with joyBadging and alternative credentialing within education makes sense to youYouve helped scale high-traffic websitesYou love data—you want to measure everything, and have a nose for what questions we should be asking to get the data we needYouve written code for #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# (extra point for #URL_cffd42ed35341b15619fb487db9767c2762081dcc707f03c3ed9a51d04fe999b#)Youre experienced with performance, ops, systems, or networkYou have a Math-y backgroundFamiliar with NoSQL data stores",,0,1,1,Full-time,Mid-Senior level,,Computer Software,Information Technology,0
10322,"[Seattle, WA] Fundraising Consultant","US, WA, Seattle",Sales,,All American classics is a leading fundraising as a service (FaaS) company that helps nonprofit leaders raise money through different types of consignment auctions. For the last 20 years we have raised nearly 25 million dollars for our charity partners.   ,"Position: Fundraising ConsultantLocation: Seattle, WAReports to: Director Of Sales and MarketingAbout All American ClassicsAll American classics is a leading fundraising as a service (FaaS) company that helps nonprofit leaders raise money through different types of consignment auctions. For the last 20 years we have raised nearly 25 million dollars for our charity partners.   Our ValuesAAC is defined by continual improvement—not only of the products and services we deliver to our clients, but also of ourselves. Each member of our team is held to the same expectations: keep learning, experimenting, and iterating. Succeed or fail, but grow by it either way. Collaborate and ask questions. Be resourceful. Be restless. Have fun. We believe the joy you take in your work will be reflected in the outcome.Were growing our remote sales force to help us increase our reach and take advantage of a massive market opportunity. AAC is based in Hot Springs Village, AR where we have a rapidly growing team. Most of our charity consultants work remotely and we have a proven record of success working in areas that include: Northwest Arkansas, Memphis TN,  and bigger cities such as Atlanta and Seattle (just to name a few).Our TeamOur team is a balanced mix of seasoned veterans and young talented sales and marketing professionals. We all love to serve others and we are talented at challenging people to make a positive change in their fundraising efforts. Were a team of self-starting individuals with a knack for cooperation and collaboration. We work (really) hard every day, but we also know when its time to take a break. We place a high value on personal connections amongst ourselves, our clients, and the outside world.The RoleThe sales team works with nonprofits and charitable organizations to provide them with the best consulting on fundraising using the All American Classics services.As an All American Classics Fundraising Consultant you will initiate and build relationships with prospective and existing clients and aggressively pursue new business opportunities within your territory. The position will report directly to the director of sales and marketing.The goal for this position is to continue to grow All American Classics sales effort into a larger, revenue producing initiative by adding new clients to our current client base.ResponsibilitiesCreate new business opportunities and cultivate existing relationships.Must be able to meet sales goals.Setup and takedown auction displays Attend charity and other industry related events.Call on existing clients and new leads on a daily basis.","Must be able to work remotely.Must be self-driven.Must have strong relationship and communication skills.Must have general computer skills (Gmail, Google Drive, Excel)Must have a passion for charity work. ",Competitive Quarterly Bonus Structure  Weekly Fuel Stipend Work Remotely ,1,1,0,Full-time,Entry level,Unspecified,Fund-Raising,Sales,0
17214,Oracle DBA,"US, PA, Mechanicsburg",IT ,,"i-Recruit LLC is one of the leading full service recruitment solutions company. We offer a wide range of recruitment solutions like Recruitment, Staffing, Executive Search, RPO. Our solutions can be configured to address your business needs. i-Recruit LLC,gives the ability to tap the best of talent available across the globe and also provide clients personalized account management. At i-Recruit LLC, we take time to understand our clients need and culture and map it with the skills and aspirations of potential candidates. Our holistic approach to recruitment ensures a correct fit both technically and culturally with our client's organization. At i-Recruit LLC we believe in the ""Partnership Approach"" with our clients and consider ourselves as an extension of clients.","Job Title: Oracle DBALocation: Mechanicsburg, PA/ Westerville, OH/ Tempe, AZDuration:  Perm","Must Have: Must meet one or both of the following: Bachelors DegreeRelated certifications such as Oracle DBA10+ years of Oracle DBA experience5+ years experience with multiterabyte Oracle RAC, Grid and ASM database experience Experience in Oracle Database Performance and Tuning using: StatspackAWRADDMASHUnix tools Builds and manages Data Guard Physical/Logical Standby Databases using Data Guard BrokerManages Database Backup &amp; Recovery Procedures/Disaster Recovery exercises using RMAN and other tools such as Net Backup, NetSnap Manager, etc.Experience managing enterprise level policies, procedures, and monitoring solutions for Oracle database environmentsExperience designing, implementing and maintaining physical and logical database solutionsAnticipates and resolves database performance, capacity and replication issues Programming and database performance tuning using: PL/SQLTriggersStored procedures Manages database backup &amp; recovery procedures, disaster recovery preparation and the associated exercisesProduceing reports on database systems related to availability, performance, capacity management and SLAsExperience collaborating with other departments in support of database objectivesAble to assist development staff with application design and efficient use of database technologyExperience supporting project plans and scheduling relative to database requirements Nice to Have: Informix DBA experienceMS SQL DBA experienceUniverse DBA experienceStrong scripting skills (PL/SQL, Perl, etc.)Strong understanding of UNIX operating systems, SAN,networking, and overall system designMS SQL Server Certification",All Benefits,0,0,0,Full-time,Mid-Senior level,,Information Technology and Services,Information Technology,0
6985,HR PROCESS LEADER,"US, TX, Houston",Human Resources,,,"Position Summary:EXPROs Global Business Services organization seeks a Human Resources Process Leader to provide full time process support to EXPROs Accountable Executive at our Corporate Headquarters in Houston, TX. The Human Resources Process Leader will be responsible to drive end-to-end process improvement to create sustainable value for EXPRO and will manage and execute against a portfolio of process improvement initiatives.Primary Responsibilities:The Process Leader will work with HR Process Stakeholders and facilitate teams to identify opportunities (trailing metrics) by performing root cause analysis to evaluate process improvement opportunities. The HR Process Leader will lead the effort to increase process simplicity and consistency across Human Resources and champions, expands and enhances the process improvement capacity across the organization.The Human Resource Process Leader will be responsible for setting and assessing process key performance measures and targets to ensure that process performance is measure and improvement efforts are aligned with business priorities. (link to corporate scorecard) The role will be responsible to manage HR global process alignment on global process goals, priorities and standards and will be the focal point for ideas and initiatives and consolidating into broader programs. The HR Process Leader will be responsible for introducing new initiatives, through internal and external relationships, while eliminating/ reducing resource commitment for low priority projects.Additional Duties and Responsibilities:The HR Process Leader will be responsible for the below additional duties and responsibilities:• Periodically audit process to ensure progress and adherence to standards.• Ensure each initiative to be considered for approval has a well developed business cases and charter.• Partner with stakeholders and leaders to put control mechanisms in place to ensure sustainability and ensure processes meet relevant standards and requirements. (compliance regulatory and other)• Manage portfolio of opportunities for Global Processes in cooperation with Enterprise Program Management Office and participate on the Process Leadership approval committee to manage cross process linkages and priorities.• Work with Business and Functional councils to prioritize longer term initiatives that have business process aspects and prioritize for implementation.Desired Skills and ExperienceRequired Education and Experience:The selected candidate will have a minimum of a Bachelors Degree in Human Resources, Information Technology or related field and a minimum of 10 years of experience in a combination of Human Resources, Information Technology or Process Improvement. A preference will be given to those candidates who possess a Masters Degree in the above area(s) of study or a Masters in Business Administration.The successful HR process leader at EXPRO will also have the following:• Ability to quickly establish and maintain credibility with leaders, individuals and teams• Strong execution mindset and history of successful delivery in the area of Human Resources/Process Improvement/Information Technology• The selected candidate must have experience in HR functions such as Payroll, Talent Acquisition/Management or Data Management• Deep knowledge of or experience in process improvement frameworks such as CMMI, LEAN, Six Sigma tools and methods, Business Process Management, Change Management and Business Process Re-engineering is highly desired• Strong analytical and problem solving skills and knowledge of statistical analysis coupled with the ability to provide objective feedback and accurate root cause analysis is highly desired• Demonstrated ability to communicate complex ideas clearly and concisely and to ability to facilitate diverse groups through ideation, prioritization, and decision processes.About Expro:Expro provides services and products that measure. We improve, control and process flow from high-value oil and gas wells, from exploration and appraisal through to mature field production optimisation and enhancement.With a specific focus on offshore, deepwater and other technically challenging environments, we provide a range of mission critical services across three key areas:Well Test &amp; Appraisal ServicesSubsea, Completion &amp; Intervention ServicesProduction ServicesOur vision is to be the market leader in well flow management, using the industrys best people, to deliver the highest standards of safety, quality and personalised customer service.We provide a range of solutions including:Exploration &amp; Appraisal TestingSubsea Safety SystemsDrilling &amp; CompletionFlowback &amp; Clean-upProductionWell Integrity &amp; InterventionExpros 40+ years of experience and innovation empowers the company to offer tailor-made solutions for customers across the energy sector. With over 5,400 employees in over 50 countries, Expro offers a truly global service solution.","The selected candidate must demonstrate the following competencies:• Organization: Must be able to marshal resources, can organize multiple resources at once to accomplish a goal. Uses resources effective and efficiently and arranges information in an organized manner.• Business Acumen: Has in depth knowledge of how businesses work particularly in the areas of Human Resources. Is aware of future policies, practices or trends in Human Resource business processes or information technology. Knows how strategies and complex industries work. • Conflict Management: Steps up to conflict and sees opportunity in them. Reads situations quickly and is good at focused listening.• Organizational Agility: Knowledgeable about how organizations work. Knows how to get things done formally and informally. Understands the philosophies behind key policies in organization and understands the culture of organizations.• Strategic Agility: Sees ahead clearly and can anticipate future consequences and trends accurately and has broad knowledge and perspective.• Political Saavy: Can negotiate through complex political situations effectively and quietly and is sensitive to how organization function.• Negotiation: Can negotiate skillfully in tough situations with both internal and external groups. Can settle differences with minimal noise and make concessions without damaging relationships.• Integrity and Trust: is widely trusted and is seen as truthful. Presents unvarnished truth.",,0,0,0,Full-time,,,Oil & Energy,Human Resources,1
920,Senior Project Manager,"US, IA, Dubuque",Sales,,"We design, build, sell, and service the most innovative operations management technology in the world.And we do it working together.  We dont believe in aiming low. We want our technology to be the standard by which the rest of the industry measures itself. Thats why we want great people on our team  talented, enthusiastic people who thrive on innovation, imagination, collaboration, and fun.Were all about people.We work very hard to sustain a culture that empowers people and inspires them to do the very best work they can. Processes,schedules, goals, rewards  they all reflect the massive appreciation we have for the people who make this company tick.  Sound like you? Take a look at our current openings and let us know where you fit.","We are looking for an enthusiastic client advocate to plan, schedule and coordinate resources for the successful delivery of one of the most innovative operations management technologies in the world.   Who you are… You have a relevant four-year degree plus at 2 years of project management/project delivery experience OR 5 years of project management/project delivery experience required. (Note: a background in infrastructure management, municipal government, or civil engineering isnt necessary, but it is a plus).You use your proven excellent customer service skills in all aspects of business.You have a knack for uncovering the root cause of problems and are not afraid to ask WHY?Youre a pro at juggling priorities and handle demanding situations with finesse.Youre organized, you manage your time well, and you stand behind your work.You have prior experience with the Cartegraph software application…or the ability to quickly learn it. You have proven experience as a team leader and motivator. A sense of urgency is always with you.You have the ability and willingness to travel 20-40% for on-site client meetings. What youll do…Youll work full-time, for a great salary, in a collaborative work environment located on the Northeast frontier of the Silicon Prairie.Youll oversee project requirements, project plans/priorities and deadlines, and generally direct and coordinate activities of Services projects to ensure that the goals/objectives are met.Youll foster and maintain great customer relationships and act as a higher-level liaison between clients and Cartegraph personnel for issues during the project delivery phase. Youll manage the budget for Services projects and be responsible for your teams Service revenue goals.Youll oversee effective hand-off of customers to Sales team for ongoing client development.Youll direct meetings to troubleshoot issues and continue improvement.Youll develop and eventually present an expert knowledge of the government/Public Works market.Youll openly communicate and interact with people at all levels of the organization.You will travel to various client locations as required.Cartegraph is an Equal Opportunity Employer ",,"We were going to give you 10 good reasons to work here. But we only needed nine.Great pay and extensive benefitsThe opportunity to apply your skills to themost innovative technology of its kind15 days of PTO time(and thats just in your first year)Passionate, fun-loving co-workers401(k) plan with generous employer matchBottomless pots of free Starbucks coffeeOpen, collaborative work environmentPaid holidays (duh)Foosball!",0,1,1,Full-time,Mid-Senior level,,,Project Management,0
15154,C Senior Account Manager (German speaking),"GR, B, Thessaloniki",HR,,"The company was founded in 1980 as Panther electronics and since 1990 operates under the name Semitron. In 1996 Semitron relocated to new headquarters in the industrial area of Sindos near Thessaloniki. Focused on small and medium series in development and production of taximeters and printers, Semitron managed to create gradually more complex and smart electronic systems. The company invests in the human resources and is staffed by expert scientists and professors of higher educational institutions specializing in the field of Research and Development. With modern production and testing equipments, we offer to our customers the highest standard of quality and service. Our long experience is the basis for a reliable and efficient collaboration with our partners and customers.","Semitron, located in Thessaloniki-Greece, is one of the leading companies in the global Taxi industry. In order to further expand and to realize new projects, we are looking for Senior Account Specialist to transact the following tasks: manage existing accounts, handle daily customers' requestscreate trade tools according to customers needs in order to promote Semitrons products and servicesdevelop sales network, evaluate new opportunities communicate Semitron brand and have strong understanding of social media platformsprepare and administrate participations to international exhibition","university degree, preferably in marketing X+ years of experience in customer care / marketingflawless written and oral skills in German, English and Greekexcellent computer skills         possibility of traveling abroaddriving license completed military servicehigh motivation for continuous learning, and a very independent way of working",Full-time Job. Super working experience. Progress in international business and work environment.,0,1,0,Full-time,Associate,Bachelor's Degree,Automotive,Customer Service,0
2769,Test Analyst,"IN, MH, Pune",IT,,"Nitor Infotech is an Information Technology company specialized in providing consulting and technology services in the areas of Business intelligence, collaboration, portals and performance management domain. Nitor Infotech does so by out-innovating themselves by providing efficient and cost effective solutions and by contributing to the improved business performance of its customers.We work with product organizations, consulting firms and professional services companies. Our skill areas include a niche competency on SharePoint technologies, SQL Server Business Intelligence and Performance Point Services.Our business model is to provide offshore based technology and business services to our customers.We are a Gold Certified partner of Microsoft. We provide the following application services  SaaS application development, cloud based development, Testing Services, application development and Support and Maintenance.Nitor InfoTech is SSAE 16 SOC 2 Type 1 Certified organization.","      Strong experience on Manual Testing      Excellent knowledge of various Testing methodology      Excellent knowledge of various Testing Techniques      Experience in Automation Testing      Capable in building Test Scenario      Test Case writing experience      Defect finding capability and break it mentality      Excellent defect reporting and tracking skill      Experience in writing test plans      Out of box thinking capability      Good Analytical and Logical skill      Excellent communication skill      Exposure of client communication      Should be able to discuss with client the project requirements/ tasks and get the necessary information      Should be able to track his tasks, generate necessary reports for client and lead      Should have exposure to (STLC) test life cycle, defect tracking systems and test case management systems      Should be able to research new applications/ systems and test them in the projects      Should be able to help in setting up internal QA activities or organization      Knowledge of at least one automation tool as VSTS/ TFS or commercial tool or Open source tool is a definite plus      Exposure to VSTS-Test Manager is added advantage      Exposure to unit test coding &amp; nunit/ xunit frameworks is added advantage      Exposure to SCRUM is added advantage      Experience working with Sharepoint /dotnet application is added advantage","0-2 years of experience in an IT companyPossess good understanding of technology and development processes.High energy levels, right attitude and pleasing personality.Good communication skills  verbal and written",,1,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
17387,Software Engineer - Frontend,"US, VA, Leesburg",,,"Zaius is a digital intuition platform enabling companies to optimize consumer experiences across channels.  We're poised to make a huge dent in a $20B industry.  Zaius is led by veterans from successful software companies including Endeca, Netezza, and LogMeIn and backed by top-tier venture capital firm Matrix Partners.  ","Zaius is a startup building a SaaS product to give consumer companies and brands the digital intuition they need to better understand and engage their customers across any channel - to the benefit of the consumer.At Zaius we work on hard technical problems: world wide event collection, real-time processing, machine learning, big data analytics and data visualization, all at scale. We do this to build a product that our customers love and that benefits real people. We differentiate not just on our offering, but on the strength of our technology.Simply put, we solve interesting problems using the best technology alongside great people. Zaius is led by veterans from successful software companies including Endeca, Netezza and LogMeIn and backed by top-tier venture capital firm Matrix Partners.Your role will be to help us build our customer facing user interface. Our stack includes technologies such as ruby on rails, coffeescript, d3, haml, sass, mysql, redis, resque, memcached. Your tasks will include building advanced queries, adding new UI components, creating slick visualizations, and helping dream up an awesome user experience.","We are looking for talented engineers who meet qualifications similar to those listed below. If you think that you have a complimentary skill set, we would love to hear from you!Degree in Computer Science or related disciplineProficient in a programming language such as: ruby, python, coffeesript, javascriptExperience building web applications using technologies such as: rails, #URL_a58bd7bd48420a1f4774598bc5f1451bdcc79baee91a357c1d69e8aede501d73#, django, laravel, haml, html, css, sassFamiliar with RDBMS technologies such as: mysql, postgresqlUnderstanding of web communication protocols such as: http, websocketsFamiliar with other database and caching technologies such as: redis, memcached, cassandraProficient using a unix based operating system","Competitive SalaryEmployee Stock Option PlanHealth, Dental, Vision401KLife and Disability InsurancePTO and HolidaysStocked kitchenDesignated quiet roomBreak room for throwing dartsChoice of hardwareOpportunity to join a vibrant, talented and welcoming team",0,1,1,Full-time,Mid-Senior level,,Computer Software,Engineering,0
4180,QA Team Leader,"GB, , London",Engineering,,"Axonix is a global mobile advertising technology company that's powered by a platform with a 4 year history of leading the market. Using technology acquired from Mobclix, the worlds first RTB-enabled mobile ad exchange, Axonix offers a fully transparent programmatic trading platform that enables publishers to sell their mobile advertising inventory in real-time to brands, agencies and ad networks globally.We serve billions of ads per month across more than 150+ countries, working with our 100+ demand partners globally to achieve industry-leading CPMs, CTRs and fill rates. With built-in fraud detection controls and data-driven targeting options, we help advertisers to secure the optimal ad inventory that will deliver the best campaign results for them.Axonix is headquartered in central London and backed by Telefonica and private equity group, Blackstone.OUR PHILOSOPHY TO HIRINGOur technology serves billions of mobile ads every month, across more than 150 countries. Our company is truly global in scale. We're growing so fast we need to hire the best people out there.People who thrive on fresh challenges. People who want to see their work make an impact, right away. People who demand a lot from themselves and others. We hire someone when were sure “Theres no better person for this job, and no better person wed rather work with.”We know people are our most important asset. If you're exceptional at what you do, we want to hear from you. The next stage in your career could start today.","The software development team is the engine that drives our ambition to build the worlds best mobile advertising platform. To grow the team, Axonix is recruiting additional QA experts who will be responsible for ensuring all our software products are of the highest quality and fully robust prior to release.To lead this critical team, we require an exceptional Quality Assurance Team Leader who will establish the rigorous processes, toolkits and methodologies required, and lead the team of QA Engineers.The QA Leader will be responsible for all pre-release testing of the Axonix platform and associated code, working closely with the Software Development Leader to select the best testing tools and strategy, as well as defining the methodology. The QA Leader must establish the continuous promotion of best QA practices, in order to release new code into production with maximum quality and robustness in terms of features and performance.We require a skilled leader who can deliver testing solutions with an optimal balance between development efforts, deployment costs and time-to-market, whilst ensuring product requirements are met. This role requires a person with fully up-to-date knowledge of the latest software coding and testing techniques, tools and languages. Like the QA Engineers, it is essential that the QA Leader has professional programing skills and is familiar with agile methodologies and BDD artifacts.As the overall lead for the QA team, this role requires an individual with exceptional leadership and interpersonal skills, able to recruit the best individuals, define priorities, establish best practice processes, take decisions and motivate a team of engineers to ensure their continued delivery against expectations. ","Core role objectives Recruit, lead, develop, motivate and manage the QA team.Own all QA &amp; testing processes to ensure robustness, quality and on-time delivery of Axonix code against specification.Establish all QA procedures and best practice methodologies, ensuring these evolve as technologies changeEvangelize and promote best practice software development across the development team.Hands-on QA testing. Key responsibilitiesRecruit, lead, motivate and manage the QA team to promote best practices and ensure a collaborative and efficient team culture. Provide periodic feedback to team members to ensure their commitment and personal development.Define the best strategy, methodologies and roadmap for testing aligned to the product roadmap.Test design and implementation at every level and along the whole product lifecycle.Perform peer review test plans and test cases.Perform problem solving and root cause analysis when defects occur.Evangelize and promote best test and development practices across the business.Participate in the definition of the product backlog as member of the scrum team.Collaborate with the Development and Product Management Teams to design testable and scalable products.Engage with the Product Management team to provide input into the product roadmap and specifications, supporting feature prioritization and user documentation.Elaborate product qualification summary reports. Personal qualities and experience were looking forMinimum requirements:Relevant test experience  Minimum 4 years of experience in quality assurance roles across different products and platforms.Background in Software Configuration Management and release engineering practices.Experience in operational support and basic system engineering.Agile software development and testingBehaviour Driven Development (BDD): 1+ years of experience in BDD and Gherkin language as the formal behaviour definition.At least 1 year of Python programming experiencePerformance tests - Experience with load and soak tests.Issue tracking tools (Jira preferred)Highly personable, with good communication skills and able to work in a highly collaborative team environmentProven flexibility and willingness to roll sleeves up as required in the fast-paced and rapidly evolving environment of a small start upLanguages  Fluency in English essential; Spanish an advantage but not essential Extra beneficial experience:Quality Assurance Certifications (CSQE, CTFL or similar)Programming languages - Experience in Java or JavaScript is a plus.We will value hands-on experience on these technologies or tools:JMeterSeleniumAutomated mobile testing in Android or iOSLinux and shell scriptingRDBMSJenkins",Competitive salary according to experienceAnnual bonus schemeGenerous flexible benefits packageEquity package,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Engineering,0
6460,Office Administrator,"EE, 37, Tallinn",Admin,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"TransferWise is a disruptive financial service  we operate a unique foreign payments service that lets people move money between currencies cutting out the high exchange fees.During last year we helped our users save over 4M EUR in bank fees and handled over 80M EUR in payments.Our fast-growing Tallinn team is looking for a new member! If you are have a high attention to detail and strong organisational skills then read on.Sneak peak into the role:Arranging meetings, coordinating visits and conference callsHandling daily correspondence, file systems and keeping records Answering phone Ordering office supplies and access cards Making sure office equipment are serviced and working Travel arrangements"," At least two years relevant experience An instinct for forward planning. Well never run out desk space, printer ink or forget a team members birthday under your watch.A self-starter. You see a problem and fix it before anyones even noticed.  Excellent written English and attention to detail.An utterly charming phone manner .Fun-loving. Well be glad you organise the socials.Nice to haves:Startup, accounting or HR experience","Apart from all-expenses-paid company holidays twice a year, stock options in one of Europes most hotly tipped startups, a sexy laptop of your choice and team lunches, you wont get much in the way of extras.However, we will give you 28 days holiday a year (plus public holidays), a fun, friendly atmosphere, plenty of opportunities to grow and the chance to be part of our little revolution. Oh, and coffee, theres plenty of coffee.",0,1,1,Full-time,,,,,0
6241,Brand Ambassadors,"US, FL, Tampa Bay Area",,30000-35000,,"Our Retailers are looking to place BRAND AMBASSADORS in various locations to help promote for FORTUNE 500 CORPORATIONS.  WANT TO LOOK GOOD ON PAPER? This is a great Opportunity for those looking to move up in a Company or for those looking to gain experience for a degree or career goals! WE ARE LOOKING FOR: - People with a Talented tongue - People with Enthusiasm and an Outgoing Personality - People that are known to build great relationships - People willing to do what it takes to become successful REQUIREMENTS: - Must be at least 18 years of age - Must have reliable transportation - Must have a cell phone  - Must be able to stand for long periods of time - Must have the ability to work independently WE OFFER: - Full Paid Training - Year-round Contest and Incentives - Rapid Advancement Opportunities - Schedule Flexibility - All materials needed to perform at your best *For all those who would like to take advantage of this AMAZING opportunity, please reply to the link provided",- Must be at least 18 years of age- Must have reliable transportation- Must have a cell phone - Must be able to stand for long periods of time- Must have the ability to work independently,"Looking to expand nationwide, for qualified candidates opportunities to move up will be provided",0,0,1,Full-time,Entry level,Unspecified,Food & Beverages,Customer Service,0
10221,Receptionist - Part Time ,"US, WA, Redmond",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Redmond, WA. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities include, but are not limited to: Maintaining highest levels of customer service while demonstrating a friendly and cooperative attitude.Checking in visitors and registering their vehicle.Corresponding via email using Microsoft Outlook.Providing assistance with meetings which may include organizing and setting up meeting room(s), etc. utilizing Microsoft Outlook.Effectively addressing and resolving client and customer concerns and/or complaints.Data entry &amp; word processing using Microsoft Word.Developing a familiarity with the building occupants for whom you are assisting.Keeping statistical data on services provided.Answering internal and external calls and transferring to appropriate parties. Other duties and responsibilities include:Demonstrating flexibility in satisfying customer demands in a high volume, production environmentConsistently adhering to business practice guidelines and policiesTaking direction from supervisor or site managerParticipating in cross-trainingMaintaining all logs and reporting documentation with attention to detailAdhering to all safety procedures","Required qualifications:Minimum of 6 months customer service or office/clerical related work experienceExcellent verbal and written communication skillsWorking knowledge of using Microsoft Outlook requiredWorking knowledge using Microsoft Windows requiredKeyboarding and windows environment PC skillsAbility to effectively work individually or within a team in a fast paced environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyWillingness to cross-train for other job functions High School Diploma or equivalent (GED) required Ability to perform the following duties with or without an accommodation:Lift up to 40 lbs. occasionallySit, stand, and/or walk for long periods of timeTravel (e.g. walking, taking a shuttle, etc.) from building to building on the client's campusBend, reach, squat, and perform the necessary receptionist job functionsMust have the availability to attend two to three employee meetings per year from 5:30pm to 7:00pmMust have the availability to attend 6-8 weeks of full time training Monday-Friday, 8am-5pm Must have the availability to attend 2 weeks of full time training Monday-Friday 8am-5pm with the option of 6 additional weeks of full time training for a total of 8 weeks.",,0,1,0,Part-time,Entry level,High School or equivalent,Computer Software,Customer Service,0
3472,"HVAC and Electrical Helpers - $1,000 Bonus Available","US, SC, Greenville",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","Dipple is Hiring!                              $1,000 SIGN ON BONUS AFTER 6 MONTHS OF EMPLOYMENTDipple is seeking EXPERIENCED HVAC AND ELECTRICAL HELPERS to join our team!  Are you interested in working for an established growth oriented local company that provides great career opportunities, excellent pay, plus benefits?  If so, apply today! You'll be glad you did!  ",Applicants must have a valid drivers license with a clean driving record.  We're a drug free workplace so you must be able to pass a drug test.  We are looking forward to hearing from qualified and serious candidates.  ,Benefits included.,0,1,0,Full-time,Entry level,High School or equivalent,Construction,Other,0
3909,Benefits and Payroll Manager,"US, OR, Portland",,,"Human capital is usually the biggest asset and expense for a company. Making the right hire  the first time  is critical to an organizations ability to succeed in a competitive market. At Talence Group, we take the time to understand our clients and their mission, and match the right talent to this mission.For candidates, we want to make sure that the opportunties we present are a perfect fit for you.  Our goal is to match your core competencies, passion and career focus with great positions that our client companies offer.","Our Client Needs:A leader who can drive the highest standards of benefits and payroll management and services to meet the needs of all employees while continuously monitoring and enhancing related programs and procedures. This position reports to the COO.What you will do…Provide superior customer service throughout the organization in payroll administration.Collaborate and build strong partnerships and processes with: Executives, IT, Human Resources, Accounting and Finance peersCommunicate proactively with HR, other internal departments and vendors to reconcile data sharing and develop streamlined processes improvements.Communicate, promote and interpret corporate policies and procedures for employees.Benefits:Administer retirement plans, medical, dental, vision, life insurance, disability programs, workers compensation etc.Evaluate company benefits, participate in industry surveys, analyze results and make recommendations to management.Track company and employee costs, evaluate quotes from vendors and make recommendations.Implement approved plans, develop communication, conduct employee meetings, manage entire enrollment program and process.Manage all related vendors.Advise and counsel management and employees on existing benefits.Manage benefits administrator.Payroll:Provide solutions to complex problems with payroll processing, taxes, deductions, procedures, processes and systems.Utilize best practices to ensure high quality payroll administration through well-executed methods, systems, internal and external partnerships and streamlined sharing of data.Maximize Ceridian system to continuously improve efficiencies, cost savings and drive the highest level of accuracy.Provide timely and accurate reports, ensure payroll transactions are properly recorded and reconciled.Monitor compliance with appropriate state and federal financial and tax laws and regulations.Prepare and file payroll tax reports required by state and local government; pay tax deposits and other funds to regulatory agencies.Analyze, re-engineer and document processes and procedures in order to implement changes leading to best practice operations.Be the expert in multi-state and local payroll tax laws, regulations and local withholdings to ensure compliance.Build effective relationships with outside vendors.Provide metrics, key performance indicators, reports and analytics of data to ensure accuracy and adhere to laws, standards, policies and procedures.Manage payroll administrator.","BS or MS degree in related field.10 + years of experience in benefits and payroll administration and management.CPP (Certified Payroll Professional)Experience with Ceridian Payroll and HRIS System (the automated timekeeping systems is Kronos)Multi-state employer experiencePayroll tax laws, regulations and withholdingsExecutive level compensation components (bonuses, retirement, stock units,etc.)Experienced in managing the entire payroll and benefits administration and processing functionAbility to communicate complex information in an understandable wayDetailed oriented and process drivenCollaborative and team oriented",,0,1,1,Full-time,,Bachelor's Degree,,Human Resources,0
3886,Graduates: English Teacher Abroad (Conversational),"US, PA, Slippery Rock",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Excellent for student loans/credit cardsHousing provided (Furnished/Private)Airfare ReimbursedRemind us when you wanted to start when applying :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
9886,English Teacher Abroad,"US, IL, Aurora ",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
11398,Senior PHP Developer,"GB, , London",,,"At LendInvest fundamentally changing the way people think about their savings, investments and how you get a mortgage. We're the new generation of finance, which is far less stuffy as we are building a new way to do things - and having some fun along the way. If you want to be part of building the future of finance, then we'd love to hear from you. LendInvest has been prominently recognised as a leader for its growth and innovation. We are based in a prime central London building, with a very cool work environment.LendInvest is a direct employer and we therefore do not work with any recruitment agencies. Recruiters, please do not spam us, we are not interested in hearing from you.For a look at what we're creating, you can see more on our site at: #URL_a472ef05be663cdc9df7eb234d26330394196f039430435745cd6695790fd82e#","We are currently building several products for LendInvest - the world's largest peer-to-peer (P2P) marketplace real estate mortgages. We are a loan originating beast as well as the lender.We are re-implementing and extending the current investor portal in Symfony2, creating a new borrower portal (for people applying for loans), and creating products for a ""Secondary Market"" (people buying and selling loan investments), adding new ""Auto-Lending"" functionality as well as working on APIs for third parties and many other projects in the pipeline.The work is all greenfield work (we are not refactoring the old codebase but replacing it, and there is no legacy code).There are 6 server-side developers (soon to be 5.5 as one goes half-time), 2 (soon 3) front-end, one QA, 1 technical BA, 1 offshore designer, 1 offshore sysadmin and another offshore fullstack support dev.We are looking for an amazing/gun/guru server-side developer to spend about 70%-80% time developing in Symfony 2, and the remainder of time helping some of the other team members further develop their skills with Symfony 2 specifics, answering the ""how do I...?"" questions and being one of the main senior devs conducting code reviews and mentoring on other aspects where applicable.  Candidates must have strong recent hands-on Symfony2 experience as well as excellent knowledge of software engineering best practices, design patterns, etc. Our servers are on AWS, database is MySQL (soon to be Amazon RDS), we are ramping up CI with Jenkins and we use Behat and PHPSpec. We operate in an Agile environment using Scrum methodology.The successful candidate must be eligible to work in the UK without sponsorship.","6+ years PHP 5 Object Oriented experience including strong experience with an MVC PHP framework2+ years using Symfony / Symfony2 (recent Symfony2 experience required)Doctrine 2MySQLRESTful services Comfortable working with version control using GitAgile Scrum methodology experience PHPSpec/TDD  Behat/BDDSelf-reliant, creative problem solver, outcome orientatedAdaptive learner, someone whos motivated by the challenge of getting things done, team playerStrong communication skillsOther plus points:Previous mentor/coaching experienceA love for technology and learning about new technologies","HackathonsLots of greenfield projectsOpportunity to attend conferences/seminars to expand your knowledge and further your educationAbility to choose a brand new work station of your choice (Windows, OSX or Linux)Fully stocked fridge and pantry with light breakfast available every day, fresh fruit and more food, beverages &amp; snacks than you could ever eat;Team lunch bought for you every FridaySubsidised gym membership (with pool)Opportunity to grow your career with our rapidly growing companyEasy commute to Central London office (Fitzrovia)Fun, young and very sociable team",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,Information Technology,0
17776,Administrative Clerk,"US, , ",,17-20,,"processing letters, proposals and contracts in an accurate and timely mannereffectively communicating with clientscoordinate daily operations of the office which will include but is not limited to: answering inquiries and phone calls, assisting four managers on a daily basis, as well as filing, faxing, and handling email","prior hospitality experience a pluscomputer proficiency required; proficient with MSWord, Excel, PowerPoint and Outlookmust be hospitality/service orientedhotel catering or banquet experience in Sales is preferredminimum one year of work experience in the hotel industry is preferred",,0,0,0,Full-time,Not Applicable,Unspecified,Computer Hardware,Administrative,1
16391,Design Internship,"GB, LND, London",Design,,"MediaMonks is the biggest creative digital production company on the planet. We specialize in working for and with advertising agencies to craft amazing digital work for global brands. You can find us anywhere on the Web as well as in Amsterdam, London, LA, New York and Singapore.MediaMonks boasts an in-house team of 250 Monks that are versed in an infinite range of digital disciplines, collectively covering anything you could possibly throw at a digital campaign. Our award-winning capabilities include film, games and mobile and are recognized by over fifty institutions worldwide, including Cannes, Eurobest, the Webbys and the Awwwards.MediaMonks, est. 2001, is a member of SoDA and part of the FWA Hall of Fame. Catch our latest showreel at #URL_9ae12c3d274cab9516c33a92a00900561d083cecea1571d12dd251f5d12c1608#.OpeningsInterested in joining this club of digital artisans? Check out our vacancies and tell us why we should hook up right away. We tend to care less about paper qualifications and more about hands-on experience, so be sure to provide us with a portfolio or other feat of arms. Nothing that matches your skill set? We have awesome jobs for awesome people. Simply tell us about yourself and your trade and we may dream up your digital dream job (or internship) just like that.","MediaMonks is after an awesome individual to intern as a designer at our lovely London office. This paid gig gives you a chance to put your visual cortex to work for Londons leading advertising agencies. The internship should run for a  minimum of 4 to 5 months, but if your coffee and creativity impress, we may long for you to stay a bit longer. If you are interested in joining our local outfit, please apply with a selection of your finest interactive work.For non-Londoners whose portfolio knocks the ball out of the park, we offer free accommodation at the MediaMonks apartment near Baker St, which is only ten minutes away from the office. At our Heddon St Hub, you work together with our creatives and art directors to develop and execute designs for dashing digital campaigns. You get to experience what its like to work with different advertising agencies and the work you produce yourself is guaranteed of an audience; maybe even an award or two.If youre ready to take on this role, brace yourself for an Epic Easter and start removing the flyers you made in high school from your portfolio. Wed love to see your latest &amp; greatest.",,,0,1,0,Full-time,Internship,,Marketing and Advertising,Design,0
13817,Security Consultant,"AU, NSW, Artarmon",Technical,,"Founded in 1997, The Missing Link is a premium provider of information technology solutions across applications, infrastructure, virtualisation, security, storage, telecommunications and cloud services. We draw on a range of premium partnership relationships with the most respected brands in information technology. Some of our tier-one partners include IBM, Lenovo, Microsoft, NetApp, Cisco, VMware, Citrix, Telstra, Dell and HP. The Missing Link has grown year-on-year from modest beginnings to be recognised as one of Australias most-awarded IT solutions providers. Theres no secret to our success: were passionate about responding rapidly to our clients needs, working collaboratively on solutions, delivering on time and on-budget, and over-delivering on service expectations. Why The Missing Link ?We offer an exceptional working environment and cultureFunky converted warehouse layoutFree breakfast and soft drinksFree indoor gymRegular social eventsMonthly bonus incentives","The Missing Link is looking for an experienced and talented Security Consultant to join our team.The Security Consultant will have experience with delivering a range of consulting engagements for a range of clients from various industry verticals and will be a proficient ethical hacker and security researcher.Projects for the successful candidate may include any or all of the following:Vulnerability Assessments (Internal, External, Web Application and Database)Penetration Testing (Internal, External, Web Application, Database, Mobile and Wireless)Firewall and Password AuditsSOE Security AssessmentsSource Code ReviewSecurity Risk (ISO27k) &amp; Privacy Impact (APP) AssessmentsThe ideal candidate will have a proven track record in delivering successful security testing engagements and be able to discuss a range of solutions or recommendations for remediation or mitigation of those risks.  Knowledge of various programming languages for assessments and automation of some aspects of the role is highly desirable. Any CVEs or 0days attributed to the candidate would be beneficial.Whilst you will enjoy the teamwork environment, you will also relish the opportunity to work autonomously to achieve results.  This is a challenging and dynamic hands-on technical role which requires on-going personal development, technical accreditation and research to ensure this position remains abreast of current and emerging trends and technologies.You will have extensive knowledge and experience within the IT Security industry and will have knowledge of a range of consulting and security vendor solution offerings.  Existing penetration testing certifications such as OSCP, CREST or CEH is highly desirable.",Minimum 2 years experience as a security consultant or penetration testerExperience delivering at least 6 of the different types of engagements listed above,"Great environment, with excellent benefits -  e.g. breakfast &amp; on-site gymInvestment in training, research and personal developmentGrowing, award winning company",0,1,1,Full-time,Not Applicable,Certification,Computer & Network Security,Consulting,0
1967,System Specialist,"US, NC, Charlotte",Student Financial Services,,"Thank you for your interest in building a career at Queens University of Charlotte.  At Queens, it's easy to come to the office every day. Our main campus is nestled within the natural beauty of Myer's Park, one of Charlotte's most historic neighborhoods. We are also surrounded by the vitality of our students and the rigors of intellectual and cultural exploration.  Most importantly, we're energized by pride in the important work we do to transform the lives of our students.Our benefits are excellent and just what you'd expect: medical/dental insurance, same-gender domestic partner benefits, retirement plans, vacation time and paid holidays, life insurance, flexible spending accounts, sick leave and long-term disability leave, employee assistance plans, and merchandise discounts at the campus bookstore.  Beyond the expected, a career at Queens offers tuition remission to help you continue your education, wellness programs, and reduced-cost meals in our dining hall.In recent years, we're proud to have earned the honor of a""Best College to Work For"" by The Chronicle of Higher Education and ""Best Place to work in Charlotte"" by The Charlotte Business Journal.The Queens community is a caring and collegial work environment that supports and encourages diversity throughout the university.  If this sounds like the place for you, we hope you'll consider building your career at Queens.To learn more, visit our About Queens page.","The System Specialist is a full time, salary non-exempt position primarily responsible for systems related to student account functions.  This position reports to the Director of Student Accounts in our Student Financial Services office. Essential Duties and Responsibilities include the following:Responsible for managing the accounts receivable and financial aid manager Jenzabar modulesDesign and maintain charge tableDesign and maintain reports with Jenzabar data, provide data for team, institutional, state, federal and foundation needsOversee flow of information from auxiliary systems to and from JenzabarSupport reconciliation of funds between Powerfaids, Jenzabar, state and federal databasesOwn 1098T processManage bookstore account charges, student health and athletic insurance uploads and reconciliationsMaintain department internet and intranet sites (Sharepoint), including static content and regular message updatesManage Cashnet and other third party vendor data connections to JenzabarCreate process and policy documentation for all areas of responsibilityFunction as primary backup for System Specialist responsible for financial aid systemsProvide superior customer service to students and their families, co-workers, and other constituentsBe familiar with federal regulations, state law and university policies in awarding financial assistance to ensure compliance and audit requirementsNon Essential DutiesSpecial projects and additional duties as assigned to enhance the level of service and commitment to students, their families and the University.","Experience, Knowledge and Skills Required:Minimum three years of experience managing relational databasesHigher Education student account experience preferredProven ability to maintain the utmost confidentialityDemonstrated ability to work in a fast-paced office, ensuring timeframes and responsibilities are metExceptional follow-up and follow-through skills as well as ability to plan, organize and control large and small projects through to completionExcellent computer proficiency required, with experience in ERP systems and database support, strong proficiency in MS Outlook, Word, Excel, SharePoint and PowerPointAbility to quickly learn and assimilate new systems and informationExperience with Jenzabar preferredPossess a logical and questioning mindset, ability to analytically solve simple and complex problemsAbility to manage multiple work projects from start to finishAbility to work with minimum supervision, as well as to accept directions on given assignmentsBachelors degree in Accounting, Business or IT, or the equivalent combination of education and experiencePhysical Requirements (with or without reasonable accommodation)Visual Abilities:  Read reports, create presentations and use a computer system.Hearing:   Hear well enough to communicate with co-workers, vendors, and students.Dexterity, Grasping, Feeling: Write, type and use the telephone, copier, and computer systems.Mobility:  Open files and operate office machines; move between departments and attend meetings across campus.Talking:  Frequently convey detailed or important instructions and ideas accurately, loudly, or quickly.Lifting, Pulling, Pushing: Exert up to 50 pounds for force occasionally, and/or up to 20 pounds of force frequently, and/or up to 10 pounds of force constantly to move objects.EnvironmentWork in office environment, involving contact with faculty, staff, graduate students, executives, donors, service providers and vendors.Work has deadlines, multiple interruptions, high volume and may be stressful at times.","Queens University of Charlotte is a private, co-educational, Presbyterian affiliated comprehensive university located in the heart of Charlotte, North Carolina, and is consistently ranked in the top tier of Southern Regional Masters Universities. The university has more than 2,400 undergraduate and graduate students in programs offered by the College of Arts and Sciences, the McColl School of Business, the James L. Knight School of Communication, the Wayland H. Cato Jr. School of Education, the Andrew Blair College of Health and the Presbyterian School of Nursing. Additional information about Queens University of Charlotte may be found at #URL_14b5352c9c761b8de2dcc27ee5569c092f9d595bc069f08b2632ee68d845081b#.Queens offers medical (PPO or a high deductible option with Health Savings Account) and dental insurance, domestic partner benefits, defined contribution retirement plan &amp; supplemental retirement plan, paid holidays, tuition remission, Queens-paid life insurance, supplemental life insurance, dependent life insurance, accidental death and dismemberment insurance, flexible spending accounts (medical, dependent care, qualified transportation expense), long-term disability leave, FMLA leave, reduced cost meals at Morrison Dining Hall, and employee assistance program (EAP).",0,1,1,Full-time,Associate,Bachelor's Degree,Higher Education,,0
4313,Sales Support ,,,,"We are Netguru and we love to develop web application based on Ruby On Rails framework. We value quality, transparent communication and passion for work.","We are Netguru and we love to develop web application based on Ruby On Rails framework. We value quality, transparent communication and passion for work.If you are a quick learner and a go-getter kind of person you need to take a look at our new sales support position. Check if you feel that the following job description is made for you and apply.This job is for you if you:- have an excellent command of written and spoken English and grammar is your friend- feel that customer communication skills are something you were born with- can work independently and with a team- hear “organizational skills” and think : “thats me” So what will you be actually doing?- you will be a part of our small but great sales team- you will be providing general sales support- be ready to find answers for sales questions that bother our customers- you will be writing follow ups so our clients know that we are still there- you will be one of those who participate in sales callsPerks &amp; benefits:- joining international conferences- English lessons once a week- half-price lunches- FIFA, Xbox &amp; other games- Netguru Dinner once a monthChallenge accepted? Apply!",,,0,1,1,,,,,,0
11933,Senior Software Engineer,"CA, BC, Vancouver",,,"Keycafe is the leading key exchange solution for Airbnb hosts, property managers and real estate agents.  We have over 100 cafe partners in Vancouver, New York, and San Francisco and are adding new cafes every week.  Keycafe allows anyone to share their keys securely and conveniently and is empowering the sharing economy.  Keycafe is headquartered in Gastown.  We are growing our team rapidly and can offer growth opportunities to individuals with a passion for business and technology.  At this stage, every team member plays a critical role in the company.  We are looking for candidates who are committed to excellence and excited about the potential for our technology.","Come be part of a team that will shape and influence the future of the sharing economy.  We are looking for someone with strong technical skills and the desire to build something challenging and new.  You will be responsible for making a scalable backend to manage key exchanges, a user-friendly interface and an API for integrating with other services.  If you are passionate about solving real-world problems, building the future and forging great customer experiences, we would love to meet you.","Practical experience in building web applications, APIs and/or applications required hardware integrationB.Sc. in Computer Science or Software Engineering or equivalent experience.2+ years of experience with web developmentHTML5/Javascript/CSS3, including:Responsive CSSjQuery/jQuery UIMulti-browser and multi-platform supportStrong written and verbal EnglishJava and/or GrailsPostgreSQLScalable architecture designMVC design patternThe following are assets:Android developmentFamiliarity with REST web servicesHerokuAtlassian tools (JIRA/Confluence/BitBucket)IntelliJ IDEA and/or EclipseGitSpring and HibernateContinuous IntegrationTest Driven Design",The chance to grow with a rising companyEighteen days annually of paid time offCompany equityThe office has an in-suite:Stocked pantry that can be used freely for every mealFull kitchen with appliancesCoffee machineShowerWasher and dryerThe office building has a:Recreation roomGymRooftop patio with barbecue,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Engineering,0
9611,Search Account Manager,"GB, LND, London",PPC,,,"The successful candidate will be responsible for managing a small portfolio of paid search marketing campaigns. These may be in a wide range of sectors, spanning both business to business and business to consumer markets.This is a hands-on role involving designing, setting up and optimising campaigns as well as all aspects of client management and communication (phone, email and face-to-face).Initially the focus will be on learning the ropes with lots of hands on training. There will be a steep learning curve from the very beginning and the successful applicant should expect to be designing and managing their first client within a month. There is real potential to learn a lot in a short space and become a competent online marketer within a short space of time.","We are looking for someone with bags of enthusiasm, drive and potential. Were not worried about whether you have any prior experience in our sector although we do ask that all applicants must be personable and very articulate. This is an ideal role for:someone with experience in another sector who is passionate about getting in to digital marketing and/or searchsomeone with previous experience in search who is looking to really grow their knowledge and experience or gain more autonomy and controlsomeone who is extremely passionate about working in paid search and can demonstrate a great knowledge of the theory behind search, even if your opportunities to get hands-on have been limited so farYou must be a self-starter, able to plan your time and prioritise effectively.This is not a handle turning position. We encourage all of our staff to think in a creative way in order to help achieve success for both ourselves and our clients and to help to keep our day-to-day work interesting, challenging and varied.Excellent English vocabulary and grammar is a must, as is the ability to analyse and understand sets of data. There will be a good deal of spreadsheet work involved but we will provide training for advanced techniques where required.You must be comfortable with the idea of dealing with clients at varying levels of seniority, both face to face and over the phone.",,0,1,0,Full-time,Entry level,Bachelor's Degree,Marketing and Advertising,Advertising,0
829,Graduates: English Teacher Overseas,"ZA, WC, Cape Town",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessarySouth African passport only. Please include the country code (+27) when entering your phone number on the application,See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
10501,Collection Specialist ,"US, MA, Woburn",Asset Management,,"Outstanding Member Service Starts With Outstanding PeopleIf you are committed to the concept of “above and beyond” and enjoy interacting with people either in person or on the telephone, then we want to talk to you.Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.","Responsible for the control, documentation, resolution and follow up of various delinquent consumer and mortgage loansResponsible for contacting members to determine the reason for past-due accountsFollow up with correspondence or calls on delinquent loan accounts until resolution, recommend actions to be taken.  Document all work (i.e., phone calls, promises to pay, updates, requests in our system, the day the action occurs,Maintain accurate records on all accounts. Ensure that future followup dates are maintained on all accounts,Provide maximum coverage of their delinquent queue assignment and guarantee that there are no accounts with follow up dates that have passed. Work out and negotiate payment plans with clients when necessary  ","Minimum 3 years experience with credit and collectionsAbility to develop strong phone skills to effectively work with Members. Developing negotiation skills to arrive at best overall solutions within established guidelines.Writing ability to clearly document calls.PC Skills, including loan software, Outlook and Microsoft Office. Excellent verbal and written communication skills. Strong interpersonal and organizational skills. Ability to prioritize and meet deadlines. ",Ability to prioritize and meet deadlines. BENEFITS PACKAGE includes:Medical/Dental/Vision401(k) w/ matchGym membership reimbursement Bonus &amp; more! ,0,1,0,Full-time,Associate,Unspecified,Financial Services,Customer Service,0
13912,Content & Campaign Strategist for Social Impact,"US, CA, San Francisco",,,"Amplifier Strategies is a social impact agency.  We work with strategic philanthropists and visionary implementers who are tackling tough social and environmental challenges and taking their solutions to scale.  We are a multidisciplinary team of strategists, designers and technologists who together offer a full suite of capabilities for our target markets. To learn more about us, please visit: #URL_3b4e18302c23b966d171f3c1412d2f97ab78333db2bf383088fc94802c621c5f#. ","Start dateAs soon as possibleReports toCreative Director &amp; CEOCompany overviewAmplifier Strategies is a social impact agency. We work with strategic philanthropists and visionary implementers who are tackling tough social and environmental challenges and taking their solutions to scale. We are a multidisciplinary team of strategists, designers and technologists who together offer a full suite of capabilities for our target markets.Position overviewWere looking for a versatile and highly motivated content strategist to join our growing team. An ideal candidate excels at both high-level strategy and in-depth planning, writing and editing for content that communicates impact and inspires action. Do you have experience writing for web-based campaigns centered on global causes or social ventures? Or are you looking to transition from product-based campaigns into the social sector? As Content &amp; Campaign Strategist, youll play an integral role in defining long-term positioning for multiple clients as well as crafting persuasive messaging for global initiatives and strategic services unique to Amplifier.The Content &amp; Campaign Strategist is responsible for designing a unified strategy across all messaging channels for a variety of different target audiences. For each project, he or she will align the content strategy and messaging platform so our interdisciplinary teams are always working toward clear goals. He or she will oversee production and apply standards for quality and consistency. The Content &amp; Campaign Strategist will design original content for an array of purpose-driven projects—from strategic messaging for a trauma relief organization hoping to catch the eye of investors to branding and storytelling for an environmental initiative backed by several family foundations. The scope of each project varies and can include national and global campaigns around wide-ranging subjects like sustainable fisheries management or rural womens empowerment. In addition to meeting client needs, he or she will guide branding and messaging for Amplifier Strategies as the agency continues to grow.To achieve these results, the Content &amp; Campaign Strategist must work effectively as part of a collaborative, cross-functional team and successfully manage multiple projects simultaneously. He or she should enjoy tackling diverse projects positioned for different target markets. This role will set guidelines for a cohesive editorial tone, style and voice of all project content and follow SEO and social media best practices. The Content &amp; Campaign Strategist will be the bridge between our clients and our strategy and design teams and must be a conceptual thinker with solid marketing expertise and experience working on great campaigns. He or she is expected to have a keen understanding of the multiple channels of content presentation and the emerging technologies in the field. The Content &amp; Campaign Strategist must be ready to lead decision-making processes for multimedia projects and campaigns as well as supervise other team members, including copywriters, designers and project-specific contractors.As Content Strategist you will be accountable for:Creating original content for the agency and its clients that demonstrates a clear and consistent voice while generating creative concepts that support marketing strategies and integrated campaignsProviding content strategy recommendations and translating business goals into meaningful content strategyDesigning workflows and creating deliverables for content lifecycle processes including messaging platforms, review and approval cycles, and optimizationLeveraging best practices to deliver quality strategy and messaging that achieves resultsDefining and helping clients establish metrics to measure the efficacy of content solutions including: content/brand integrity, content accuracy and relevance, ROI, efficiencies gained in content lifecycle improvements, etcPlanning, estimating, scoping content strategy workflow and working with Project Managers to track tasks as neededSpecific areas of expertise include:5+ years of professional experience in content strategy and a demonstrated ability to manage the entire lifecycle of a projectSignificant experience in creating content for web and other digital media, including video animation and multimedia storytellingIncredible people skills with innate empathy for the end user  and the desire to help them achieve their goalsHigh level of organization and attention to detail  ability to prioritize content and slash and burn where necessaryResults oriented with a strong sense of urgency for achieving objectivesAbility to work under pressure, adapt easily to changing situations and priorities, and meet multiple deadlines and goalsAbility to build strong relationships, interact within all levels of the company  from executives and investors to junior staff  and achieve results through othersExperience with content management systems, digital asset management, databases and SEO best practicesComfortable working both in a collaborative team environment and independentlyFamiliarity with or interest in philanthropy or social impact organizations a big plusSalaryCompetitive and commensurate with experienceTO APPLY: Please submit a portfolio or samples of your work along with a detailed resume and cover letter to highlight your relevant experience.",,,0,1,0,Full-time,Associate,Bachelor's Degree,Management Consulting,,0
7200,English Teacher Abroad (Conversational),"US, MN, Coon Rapids",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare providedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#We are looking for friendly people. If you do not plan to take part in a 3-5 minute interview, kindly do not waste your time applying :-)","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferredCanada/US passport holders only",See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
16520,Community Management & Marketing Internship,"GB, LND, London",Marketing,,"Depop is an exciting new mobile marketplace where you can sell things by simply taking a picture, as well as follow your friends and buy unique things.Depop has already been received with great enthusiasm in our test regions and it is now beginning to expand into the global market, following funding from highly prestigious venture capitalists.This is an extremely exciting time to join a young, fast growing and very promising company based in the Shoreditch area, backed by some of the most prestigious VCs in the technology market.","Depop is an exciting new mobile marketplace where you can sell things by simply taking a picture, as well as follow your friends and buy unique things.Depop has already been received with great enthusiasm in our test regions and it is now beginning to expand into the global market, following funding from highly prestigious venture capitalists.We are looking for an enthusiastic, motivated and self-starting Intern who can join our Community Management and Marketing team at Depop for 3 months minimum with a view to be offered a permanent role.This is an extremely exciting opportunity to join a young, fast growing and very promising company based in the Shoreditch area, backed by some of the most prestigious VCs in the technology market.Summary of the RoleEach day is different, were a startup after all, but ultimately youll be working on:Assisting with community managementAssisting create editorial contentAssisting with marketing on social media platformsDeveloping partnerships with bloggersAd hoc support in other areas of the business","You preferably have:Experience with creating original, creative contentAn excellent understanding of social media and an interest in everything online and mobile with an extensive social media networkGood verbal and written communication skills and confident to speak with peopleRelevant marketing experienceA love for fashion, design and all things creativeAbility to work as part of a growing entrepreneurial team without supervision and take lead of a project in a fast paced, dynamic environment.","If you're hard working and ready to learn in a fast paced environment where everyone is passionate about mixing creativity and tech, and gets a kick out of getting things done, this is a role where you get really involved and your opinion counts!If you want to be part of the fast growing Depop team, please get in touch!",0,1,0,Other,Internship,,Internet,Marketing,0
14865,Mortgage Services Project Specialist,"US, MA, Woburn",,,"Outstanding Member Service Starts With Outstanding PeopleIf you are committed to the concept of “above and beyond” and enjoy interacting with people either in person or on the telephone, then we want to talk to you.Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.",This is a temporary position (6+ months) responsible for managing projects within the Mortgage Services department.Test system upgradesTest new processes and/or programsResolve issues with system vendorsCreate and/or modify documents with system vendorsCreate procedures for new processes,3-5 years real estate experienceStrong analytical and problem solving skillsExperience with system testing,401k  Retirement Savings Plan with a match up to 6% by the Credit Union,0,1,1,Temporary,,High School or equivalent,Banking,,0
6448,"Home Health CNA - Rio Rancho, NM","US, NM, Rio Rancho",,,"Critical Nurse Staffing, Inc. is enrolled with the U.S Department of Labor to provide nursing care to the former defense workers now receiving benefits through the RECA and EEOICP programs.We are proud to provide services to Defense Industry Workers covered under the Federal EEOICP and RECA programs, as well as recipients of the Federal Black Lung Benefits Program.We proudly provide care for the following patient groups:Uranium Miners, Millers and TransportersNuclear Weapons Plant Employees or ContractorsFormer Coal MinersOur service offers an integrated team of personal case managers, nurses, respiratory therapists and home aides. Our services focus on addressing the full range of a clients needs, including a clients medical condition, the clients comfort, emotional well-being, independence, functionality as well as nutritional and psychosocial needs.","Critical Nurse Staffing, Inc. is seeking a CNA to join our team and provide comprehensive care to our patients in ­­­­­­­­­­­­­­­­­­­­­­Rio Rancho, NM. The role of the CNA in this position will be to work closely with patients and to provide basic care services.The applicant should have an outgoing personality, the ability to communicate effectively, multi-task, remain calm in stressful situations, and be able to give patients the essential social and emotional support, along with providing vital information on patient conditions to nurses.Qualification for this position include a current and valid CNA certificate.A resume must be attached in order to be considered for this position. Please submit your resume and apply for this position on our website at #URL_c8b47bbcf78a49b7998350b58cc78cd45ee2677e96a68666a0f1cdded5ccaf77#Critical Nurse Staffing, Inc. is an equal opportunity employer, m/f/v/d and a drug free work place.",,,0,1,0,,,,,,0
12498,Merchandiser - Commodity Trader,"US, NE, Omaha",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","Ag Processing Inc is looking for an experienced Merchandiser to complement our growing domestic and international business. Merchandisers are responsible for the procurement, storage and sales of soy while promoting customer relations and coordinating efforts with various internal departments. Responsibilities include contracting with farmer-producers for products and specialty crop acreage while promoting customer relations. Additionally, Merchandisers answer questions and assist internal and external customers with sales, including providing market quotes and discussing market trends.AGP traders, or merchandisers, secure maximum company profits by executing the trading functions necessary to coordinate product sales and purchases. These functions include hedging, oversight and management of facility inventories and logistics, as well as enforcement of corporate and divisional policies as related to customers and other business contacts.Merchandisers coordinate activities regularly with operations, transportation, accounting, risk management, credit management, and quality control personnel. Merchandisers represent AGP in outside contacts with customers, vendors, industry associations and the local community.Ag Processing Inc (AGP®) is a cooperatively-owned agribusiness engaged in procuring, processing, marketing and transporting of oilseeds, grains and related products. Since its creation in 1983, AGP has grown in size, scope and reputation  both in the US and internationally. Today, our owners include 172 local cooperatives representing more than 250,000 farmers throughout the Midwest, and five regional cooperatives in the U.S. and Canada. The Companys businesses include soybean processing, vegetable oil refining, renewable fuels, ag products/grain, and international businesses.AGP is the largest cooperative soybean processing company in the world and a leading supplier of soybean meal and refined vegetable oils. We operate nine soybean processing plants in Iowa, Minnesota, Missouri and Nebraska, as well as three soybean oil refineries and three biodiesel production facilities. In addition to our commodity-based products, AGPs branded products include SoyGold® (biodiesel) and AminoPlus® (by-pass protein). AGP is invested internationally in Masterfeeds, the second-largest animal nutrition company in Canada, and in Protinal/Proagro, the leading integrated poultry company in Venezuela.AGPs primary mission is to serve cooperatives and agricultural producers by procuring, processing and marketing agricultural products both domestically and globally. “Partners in Food Production” illustrates AGPs longtime commitment to working in collaboration with stockholders and stakeholders including our loyal employees. Together, we help producers earn more as we successfully manage our owners investments. Our export programs link farmers to markets around the world.At AGP, our Companys culture reflects the agricultural values and hard work that our owners put forth every day.For immediate consideration, please visit the Careers section on #URL_7990a0874e73e6dfe5907d5f5c32b32cb6a7a0bb2663f1c1039157751d745a90#!","The successful candidate will have 3 to 5 years of experience in originating, managing, and coordinating incoming inventories for various processing operations. Merchandisers oversee all logistics; therefore strong organizational and communication skills are a must. The Merchandiser will educate, motivate, and assist originators in the area of increasing volumes through farmer interactions and origination. We are looking for experience developing, promoting and managing specialized farmer/producer contracts and marketing plans. The candidate should be well versed in evaluating markets and competition to set and adjust prices.","Ag Processing Inc offers an impressive salary &amp; benefits package. We value our employees and our culture reflects that. Eligibility for AGPs medical, dental &amp; vision insurance begins on the first day of employment. AGP is fortunate to be able to offer a pension, as well as a 401k option to our employees. Our corporate office has an on-site cafeteria, free parking and a professional west-Omaha office setting.",0,1,0,Full-time,Entry level,High School or equivalent,Farming,Sales,0
16401,Front-End Software Engineer,"US, CA, San Francisco",,,"From the lands of Can Do, Roll Our Sleeves Up and Get It Done, we create and solve things previously unimaginable. Were revolutionizing the IT industry with a platform that provides unprecedented control over all service layers in an organization. We also transform the way people experience enterprise software — theyll accomplish more each day and enjoy using our platform as part of their daily lives. This sounds massively ambitious and tough because it is. Were a small team that doesnt shy away from hard problems and we need more big thinkers and self-starters like you. If you want to be at the forefront of innovation, join us at Apcera and shine.","Apcera is completely re-imagining application infrastructure and deployment for large-scale systems and big data processing. Continuum by Apcera is an innovative platform that aligns developers and operations to securely and quickly build and deploy compliant applications and services. Apcera is seeking a talented software engineer, with a front-end focus, to help create our next-generation cloud platform.","RESPONSIBILITIES:Develop code using the latest generation of web-application development tools, including JavaScript, Angular, jQuery, and CSS.Deliver robust new features using tools such as Jasmine and Protractor to ensure the quality of your code.Work with other front-end and back-end engineers to learn about all parts of the stack, make changes to the APIs if needed, and constantly improve the engineering culture and practices within the group.Work closely with the product design and product management teams, to define and design highly usable and responsive solutions to meet our customers' needs.Optimize performance and network utilization of AJAX applications.QUALIFICATIONS:Expert-level skill with front-end development methodologies, including: a modern Javascript framework (preferably Angular), HTML5, jQuery, CSS/LESS.Familiarity with visualization libraries such as D3.Expertise with code testing best practices including unit, and integration testing (Jasmine, Protractor, Karma).Extensive experience writing front-end application code in an JavaScript framework such as Backbone, Angular, or #URL_1d0f9eb2a7073ab63d5cfc0f9762fb40962b2b8ad1607a31c869aa4fd0382977#.4+ years software engineering experience writing user-facing products.Experience working as part of an agile software development team.Experience writing back-end and front-end code.Working knowledge of browser networking best practices.","PerksCompetitive salary and equityGreat location in the heart of SOMA SFFlexible vacation policyFree weekly gourmet lunches100% premium-paid medical, dental, vision, and life insuranceEndless snacks and beverages of your choiceWorkstation setup of your choiceTeam and family events and excursionsGame room",0,1,1,Full-time,,,,,0
220,Corporate Sales Director,"SA, 01, Riyadh, Olaya",Sales,,"Thanks for visiting our Job Board. Please review our open positions and apply to the positions that match your qualifications.Cequens is a global cloud communication carrier with customers in over 40 countries, specialized in advanced Mobile Messaging Services all over the world. Its activities include providing MT (Mobile Terminate) and MO (Mobile Originate) connectivity for large SMS clients and aggregators ranging from enterprises to multi-nationals and public sector organizations, supplying high quality SMS solutions for business use, the company is a licensed SMS aggregator in Egypt and Saudi Arabia and maintain branches in those countries.Vision To be the number one, most successful messaging company in the markets we serve.Mission""To Unleash the World's Power to Communicate""Our Core valuesInnovationExcellenceTeamworkFlexibilitySecuritySustainabilityCequens Team                                                            We believe in choosing the best calibers and assisting them in continuing to develop their potential and qualifications. A good working environment, the right motivation and great teamwork is the way we use to successfully achieve our goals.","Role SummaryResponsible for the long-term business planning, assets, sales and analysis of growth opportunities for the company and optimizing all the commercial aspects of the company. Main Duties and ResponsibilitiesGuides and directs management in the development, production, promotion, and financial aspects of the company's products and services.Define corporate revenue objectives.Directs the preparation of short-term and long-term plans and budgets based on board goals and growth objectives.Creates the structure and processes necessary to manage the companys current activities and its projected growth.Develops and installs procedures and controls to promote communication and adequate information flow within the company.Evaluates the results of overall operations regularly and systematically and reports these results to the Chief Executive Officer.Ensure that team has clear objective and challenging targets, with regular performance tracking of their key achievement areas.Provide appropriate coaching training and development.Ensure that subordinates are properly paid in line with the company pay policy based on actual performance and contribution.Recognize and rewards top performers and high potential employees.  ",12+ Years of professional experience Excellent computer Skills Excellent  command of English LanguageUniversity degree  Preferable business administration or relatedMBA is an asset or other masters related degree . ,"Attractive pay packageMobile allowanceTransportation allowancePersonal loansFlexible hoursMedical insuranceSocial insurancePaid vacationsSocial activitiesTraining &amp; developmentTuition assistanceProfit ShareCequens Team                                                          We believe in choosing the best calibers and assisting them in continuing to develop their potential and qualifications. A good working environment, the right motivation and great teamwork is the way we use to successfully achieve our goals.About usWe are a leading technology corporation that specializes in mobile messaging services and solutions. Serving hundreds of renowned customers in more than 40 countries worldwide, please visit our website #URL_414f2c0c30d37b5a8db0880b6d51f6b9b08fc03a22553f0d0a568b2687dc8e3e# to know more about us. ",0,1,0,Full-time,Director,Master's Degree,Telecommunications,Sales,0
13199,System Engineer/Network Administrator,"US, TX, College Station",IT Services,30000-40000,"At McLane Intel, we believe that everyone should love where they work! We understand that our ongoing success is attributed to our remarkable staff. We are a company that promotes career growth, ongoing learning, and professional development for all of our employees.We have a wide range of positions throughout the company and accept and support a broad array of applicants—from recent graduates to seasoned professionals. If you are willing to follow our company values, enjoy learning new skills, and plan to succeed in your career—we want you to apply.Here are a few things we offer that others often dont:Autonomy  We offer a management team that treats their employees with respect and values their input, along with a team of skilled technicians that put their teammates above themselves.Mastery &amp; Growth  We promote from within and work each one of our technicians to help them get certifications and rise in the tiers experience.Purpose  We have a mission and a culture that every employee is strongly invested in working towards because we hand-picked people that love what they do. Its the difference between people in a row boat rowing as they see fit, and people rowing the same direction.Benefits  Our benefits are some of the best around. We have awesome health insurance and a great PTO policy. We have an Awesome Teammate Bonus, where employees nominate other employees weekly. Each full-time employee has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.A bit more about what we do:McLane Intelligent Solutions (MIS) is fast-growing and dynamic managed services provider located in Bell county in Central Texas. We provide a broad range of outsourced IT including design, installation, support, maintenance and consulting services to the SMB market. We have a rising need for smart, talented, hard-working, and capable team members who love challenges, want to know they are making a difference every day, and want to join a fast-paced, demanding and fun team of professionals.","At McLane Intelligent Solutions, we attribute our success to our remarkable staff.  We promote career growth, ongoing learning and professional development for all of our employees.  We also offer a level of dedication to our employees that is second-to-none. Ask yourself this:Are you passionate about working with computers?  Do you enjoy helping your family and friends with their technical problems?  Do you love learning and want to expand your IT skills?  Do you have experience with networks, workstations and servers? Then look no further, we want you on our team!  This is your opportunity to work with other people who are passionate about technology in an atmosphere that promotes challenge and teamwork, yet honors your life outside of work.What Do We Do?McLane Intelligent Solutions is a fast-growing managed services provider (MSP) located in Central Texas. We provide a broad range of outsourced IT services including design, installation, support, maintenance and consulting to the Small/Medium Business market. Why do you want to join us?Benefits - We have great health insurance and paid time off. We provide a weekly Awesome Teammate Bonus, where employees nominate other employees for exceptional work. Each full-time employee also has a monthly personal and team bonus with specific targets for performance, so you always know how youre doing.Growth - We work with each technician to help them become certified and advance in their knowledge of the field. We also promote from within because we believe in building our employees to be our future leaders.Extraordinary People and Culture - We pride ourselves on having great communication within our company. Each employee meets with their supervisor regularly to discuss their individual development and we have a company-wide weekly meeting to go over our companys progress.Values  Our company has five values that drive how we do business: Integrity, Service above Self, Work with Rigor at Work, Authentic Conversation, and Enjoy and be a Joy.Due to growth, we have the following positions on our available in College Station/Bryan, TX:System Engineer/Network Administrator - ($35K-$45K DOE)","Experience in providing customer service on-site and remote on a technical level to an end user clientExperience with LAN/WAN network technologies (i.e. installation of routers, switches, and network client software)Hands on technical PC support and service experience, desktop and laptop hardware and software troubleshooting, installation, configuration and upgradesExperience with desktop operating systems and application software products in a Windows environment.Excellent oral/written communication skills (emphasis on technical communication) in a business environment.  Must be fluent in the English language.Valid drivers license/good driving record, and reliable transportationCandidate must have ability to pass an extensive background check and drug screen.PREFERRED (but not required):Associates Degree in Computer Science, or similar discipline and minimum of 2+ years experience.  Education can be replaced with years of experience.Certifications such as A+, Network +, MTA, etc., are a plus but not required.TCP/IP, DNS, DHCP and Cisco firewalls and switchesTerminal Services a plusMS Server experience a plusPHYSICAL REQUIREMENTS:Ability bend, crouch, crawl Ability to lift up to 75 lbs. Ability to use phone and phone headset Ability to type using a keyboard and mous","All qualified McLane Intelligent Solutions employees receive:Paid VacationAmazing Health, Dental, Vision, and prescription insurance Short-term and long-term disability benefits 401K Flexible Spending Accounts Company provided Life InsuranceAnd much more...Come explore what life in Central Texas is all about in a family friendly community and company!McLane Intelligent Solutions is an equal opportunity employer.  We consider applicants for all positions without regard to race, color, religion, gender, national origin, age, marital status, sexual identification or veteran status, the presence of a non-job-related medical condition or handicap, or any other legally protected status.",0,1,1,Full-time,Associate,Associate Degree,Information Technology and Services,Information Technology,0
3486,Physical Therapist,"US, MD, Greenbelt",,,"Supply chain management company with emphasis placed in Rehabilitation Therapy, Health IT, and Information Security",Come and grow with us if you have a appreciation for  a comprehensive approach in orthopedics conditions and post-operative rehabilitation. Then we want to talk with you. Start a challenging career using innovative approaches in outpatient treatment. Come and exercise your skill set while utilizing  cutting edge approaches. This position offers great work life balance with good compensation and benefits. Exercise your options in this fun energetic outpatient setting.,Degree in Physical Therapy,,0,1,1,Full-time,Mid-Senior level,,Hospital & Health Care,Health Care Provider,0
14625,Physical Therapist,"US, , Gilroy Hollister ",,,"Mission StatementGood Life Home Care is committed to providing superior, safe and cost-effective home health services, so our patients can live as independently as possible. We also seek to coordinate community and agency resources, and to decrease the burdens of illness and the unnecessary duplication of services, resulting in lifestyle improvements and positive outcomes for our patients.Our VisionGood Life Home Care is committed to serving the home health needs of every community in the counties in which we are licensed. We emphasize honor, professionalism and excellence.Our Goals• To be the premier home health agency in every market we serve.• To treat every patient with dignity and respect.• To remain a family-oriented operation.• To continually grow in size and scope, while maintaining a high standard of quality.","Great Home Health Opportunity for Physical Therapists!Good Life Home Care, an established, family-owned and Medicare certified home health agency seeks a Physical Therapist to support with Clinical Evaluations and Treatments throughout the Gilroy and Hollister Region. POSITION DESCRIPTION  Provide patient care on a per visit basisWork with administrative and supervisory personnel regarding therapy visits to assure high quality and proper follow-up patient careParticipate in case conferences to ensure optimum communication within and between departments and to discuss active issuesReport all events that vary from policies and procedures and/or standards of therapy care to the Therapy SupervisorFollow physician orders for treatmentsGood Life is known for its commitment to excellence in patient care and supportive work environment. Service territory is flexible to meet the needs of our employees."," Active PT License in the State of CACurrent CPR, TB, Work Clearance Physical, Drivers License and Auto InsuranceReliable transportation and comfortable providing service in the home environmentExcellent physical assessment and critical thinking skillsExcellent written and verbal communication skills"," Regionally Competitive per visit reimbursementMileage reimbursement for travel expendituresSupportive work environmentPersonal Point of Care laptop for completing documentationContinuing Education Allowance If you are a skilled, responsible and compassionate Physical Therapist who would like to be a member of our growing organization, please submit an application/resume on our website at #URL_ce0caeeb1106f73094d28dd8e549d3e5ce120474ef0198953789d#PHONE_496ec343d845ee529926608b8ba6dc72853ea6e17532af0c1ac1eedb813675f5##",0,1,0,Full-time,Associate,Associate Degree,Hospital & Health Care,Health Care Provider,0
6912,Product Support Specialist ,"US, OR, Porland",,35000-45000,"EROAD was established to modernise New Zealands paper-based RUC regime and in 2009 launched the worlds first GPS/cellular-based road charging system. Our solution can be readily and rapidly scaled and deployed across entire jurisdictions because it requires no roadside architecture, and uses a SaaS-based web service.Were now a world leader in our field and expanding rapidly as we enter new markets.Joining EROAD is a great career move. We look at potential when were hiring, and your ability to grow with the role. We employ only the best, and ensure that our staff have the skills, training and technology to do their best work.How youll fit in at EROAD is important as well. Were really proud of our company culture and finding people with the right attitude is just as essential as a great CV.Working at EROAD means learning from people who are experts in their field. It also means working hard  we have to, to be able to grow as fast as we need to! But we encourage a healthy work/life balance and our low staff turnover tells us weve probably got the balance right.We have staff from all over the globe  25 countries at last count  and we may just have the highest rate of boat/surfboard/windsurfer ownership of any technology company, anywhere.","EROAD is a fully integrated technology, road charging and services provider. We have developed the first commercial electronic weight-mile tax service to support transport carriers to better manage their Oregon regulatory obligations. The EROAD application generates weight-mile tax records, automatically records tax exempt mileage, supports configuration changes, calculates taxes owed, and provides a wide range of commercial and regulatory services including IFTA and IRP reporting. The companys electronic weight-mileage tax solution has been approved by the State of Oregon and is supported by the Oregon Trucking Association.EROAD launched its innovative product in the Northwest region in early 2014, and strong customer uptake means that we are now looking to expand our team. We are looking for Customer Service Assistant to:Support the delivery of a professionally managed, efficient and effective customer service to our customers, sales executives and external dealers. Respond promptly to customer inquiries and provide a complete customer service experience and feedback loop for requests and improvement suggestions.Assist customers with training and any issues related to the EROAD solution, and diagnose and investigate incoming issues.Help create a culture within EROAD that is productive, open, honest, professional, innovative, friendly and sustainable.EROAD currently operates a help desk from 8:00 am until 5:00pm (Mon  Fri) with extended hours planned in the near future as our customer base in different regions expands. The Role reports to the Customer Service Manager and is based at the companys office in Tualatin, Oregon.","To excel in this role, candidates require:Friendly, helpful and open personality.Love of technology and always be striving to learn about product and service developments.Ability to pick up new skills and tasks quickly.Ideally one year or more in a help desk role in a fast moving technical environment.Excellent English written and verbal communication skills.Be an active listener who can show empathy and patience in a non-scripted environment.Strong organizational skills and attention to detail.Excellent time management skills.Highly adaptive and happy for role to change and develop.High professional and ethical standards.A good sense of humour and be prepared to go the extra mile as the company expands.",Training:On-going training opportunities will be provided.Benefits:Market rate salary (plus company bonus scheme). Health benefits after qualifying period.#URL_d1c19e418851db0290e72d83655cd0978566943619403e04270a8a49b8574307#,0,1,0,Full-time,Entry level,Unspecified,Information Technology and Services,Customer Service,0
12361,Account Executive,"US, CA, Irvine",Sales,50000-72000,"HappyFox is a young startup that is all about bringing the happiness quotient in support teams. We help companies transform their customer support teams from good to great. Our offering includes a help desk ticketing system, self-center support center, knowledge base, forum and social media support management. For more info, check out: #URL_7fe95ca462a848b79ff7744e7d9d59c2b6814caf76ccea2db2eb1cec5090c67f#","HappyFox is all about bringing the happiness quotient in support teams. We help companies transform their customer support teams from good to great.As an Account Executive at HappyFox, you will be responsible forSelling HappyFox value proposition to inbound prospects and play an integral role in defining our sales strategy.Being a product evangelist and customer support expert to our prospective and existing customersContribute directly to the growth of HappyFox businessEngaging with C-level executives, Customer Support leaders and decision makers at prospective companiesBuilding relationship with stakeholders during and after the salesAbility to understand current pain points of the business and project successProvide consistent feedback to Product and Marketing team on customer expectations, preferences and appreciations.","Ability to take deals to closureExcellent clarity in communicationConsultative approach to successfully close complex sales cyclesAt least 2 - 4  years of experience selling technology based productsAbility to quickly build rapportAbility to do demos and great presentations using Remote Online Meetings or WebinarsExhibit a consistent track record of top performanceBring in positive-energy, strong work ethic, self-discipline and good time management skillsExposure with other tools like Wordpress, Magento, Shopify, Salesforce and other CRMsExperience selling SaaS products will be a big plus",Competitive payCasual and high energy work environment where you could see your ideas win,0,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Sales,0
16571, Manual Labor (Mixing Shampoo) Jobs Available! No exp. necessary,"US, NJ, Lakewood",,,,"Local shampoo manufacturer seeks responsible, hard-working and reliable employees to mix shampoo.Job details include measuring out ingredients, mixing, and dispensing into bottles. Labeling, cleaning bottles, taping, packaging into boxes. No experience necessary, will train. Full-time position available. Manual labor.Small operation, must be trustworthy and dedicated. Can start immediately. We are currently renting a small basement in a residential area. We are a small company building our way up. This is an excellent opportunity to gain experience in the field of natural products, as any questions can be answered by our expert manger on staff, Motti.What to Expect:Setting up bottles and ingredientsMixing product, dispensing into containerCapping, rinsing, drying, labeling, taping, packaging in bubble pouchesMaintaining a clean environment (cleaning and washing/drying equipment on a daily basis)Following recipes, diligence, quick-paced but careful and attentive to detailsMoving 50lb boxes (full of product, or empty base) and various equipment","Responsible, hard-working, reliableCapable of manual labor for consecutive hoursReliable transportation","Compensation: $9-$12/hrThis is an entry-level position. Over time, potential to move along as the company grows may be available.",0,0,1,Full-time,Not Applicable,,,Manufacturing,0
1008,English Teacher Abroad (Conversational),"US, IA, Cedar Falls",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500+ monthly ($200 Cost of living)Housing providedAirfare providedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferred, but not necessaryCanada/US passport holders only",See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,Education,0
15865,"Manufacturing Engineering - Lean Manufacture-Cedar Falls,IA",US,,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",REQUIRED SKILLS:5+ years of manufacturing experience;5+ years of supervisory experience preferred;Strong working knowledge of Lean Manufacturing methodologies.,"We have more than 1500 Job openings in our website and some of them are relevant to this job. We Provide Full Time Permanent Positions for many medium to large US companies.Job is in Cedar Falls, IAEDUCATION: 4-year degree required; Mechanical, Electrical or Industrial Engineering preferred.REQUIRED SKILLS:5+ years of manufacturing experience;5+ years of supervisory experience preferred;Strong working knowledge of Lean Manufacturing methodologies.Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Electrical/Electronic Manufacturing,Engineering,0
5635,Business Development Associate,"US, CA, Irvine",Sales,50000-72000,"HappyFox is a young startup that is all about bringing the happiness quotient in support teams. We help companies transform their customer support teams from good to great. Our offering includes a help desk ticketing system, self-center support center, knowledge base, forum and social media support management. For more info, check out: #URL_7fe95ca462a848b79ff7744e7d9d59c2b6814caf76ccea2db2eb1cec5090c67f#","HappyFox is all about bringing the happyness quotient in support teams. We help companies transform their customer support teams from good to great.As a Business Development Executive at HappyFox, you will be responsible toIdentify partnership opportunities and execute the deal starting with partner assessment, financial terms and negotiations.Work on partnerships including product integrations partnerships, reselling partnerships and companies that could locally distribute and deploy solutions with customizations.Identify and establish a HappyFox presence in relevant networking events and trade shows meant for prospective users, influencers and decision makers.Work on co-marketing activities with companies strategising and planning mutual promotions and arrive at benefits ($ or Brand value).","Prior experience doing business development for a high-growth technology startup2 - 5  years of experience negotiating and managing commercial relationshipsExcellent communication and networking skillsAbility to develop strong business case, financial modelling and understanding legal terms.Track record of maintaining outstanding customer satisfactionStrong understanding of technology and business processesPrior knowledge about customer support and help desk industryExperience working with SaaS products integration will be a big plus",Competitive pay with growth prospects within the companyCasual and high energy work environment where you could see your ideas win,0,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Sales,0
17421,Level 2 Support Engineer,"US, GA, Atlanta",,42000-48000,"Ripple is the Humans First® IT company. Companies partner with us to take care of thier IT so people can get more work done. We are focused on making people's lives better - both customers lives and Ripplers lives.Ripple is a Results-Only Work Environment (ROWE), and we offer an amazingly free workplace where every person is an ass-kicker.","Ripple is looking for a Human Support Specialist (otherwise known as a Level 2 Support Engineer). That means you probably have 3-5 years of IT experience, and you graduated from college. You are definitely enthusiastic about both people and IT. Heres the mission of the job, and what you can expect. The salary range is between $42-48k.Mission for Ripple Support Engineer: To make Ripple clients feel human, especially when they are having an IT problem. To solve problems - and prevent future ones - using smart thinking. To become a better person in the process, and help us become better people for knowing you.The values we live by:Freedom to kick ass.We value freedom whenever and wherever possible. Freedom to work where you get your best work done, freedom to challenge your peers and clients, freedom to become the best person you can be.Articulate communications.We communicate clearly, candidly and use language that clarifies. We do so with warmth, humor, and kindness.Caring comes first.Teddy Roosevelt said it best: “No one cares how much you know, until they know how much you care”Elegant solutions.Every problem has 100 answers, and probably 10 solutions. Only 2 will be elegant solutions. We strive for the elegant ones because they are the ones that are worthy.Style in all things.We can do things with a little flair and design, or we can be like everyone else. We choose the former.","The kind of stuff youll do:Help people having problems with their Mac, PC, or smartphone - on the phone, by email, and in person. Everything from Outlook to PhotoshopHelp coworkers our with challenges that require some extra brainsSetup new Macs, PCs, and smartphones. Perform software installs and upgradesWork on projects like server deployments, network setups, and VoIP migrationsHelp people sort out issues with email and web hostingHow you will know if you are successful:Ripple customers will sing your praises often. They will say things like: “Pat is so friendly.” “Pat is so helpful.” “Pat helped me understand something technical without sounding technical.” “Pat never speaks down to me.”Your fellow Ripplers will sing your praises often. They will say things like: “I know I can always go to Pat for help.” “Pat is a real self-starter.” “Pat listens to me.” “Pat is fun.” “Pat just gets the job done.”What you need to bring:Ability to communicate empathetically, logically, and clearly in a boatload of different situations. Calm under pressure, graceful under fire, and able to bring the funk at all timesNatural troubleshooting skillsAbility to find answersUnquenchable desire to grow - personally, and professionallyA “roll up your sleeves and lets get to it” work ethicBachelor's DegreeBoth Mac and PC skillsOrganized enough to read - and create - documentationLinux and web hosting support experience a plus","What well bring:A workplace of unrivaled flexibilityChallenging workA team that cares about you and your goalsGood payVery good benefits (full health, 401k, etc.)Amazing clients and coworkers",0,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
4376,Embedded Device Hacker Internship,"NZ, N, Auckland",,0-15000,"Frustrated with the status quo?Like to re-imagine whats possible?Want to build awesome products?Then do something about it!Teknique is moving into a new phase of company growth - and we're looking for top notch people who want to be involved in something awesome!What we doWe are a visionary business specializing in the design and development of miniaturized wireless video camera systems used in Action Sports, Driver Safety, and Security. Our award winning products are licensed to brand name clients worldwide.  Learn about us on LinkedIn, Twitter, and Facebook and keep up with latest video news and innovation.Our vision is the future, and at Teknique we create it - with sustainable, continually evolving, world-class products. Innovation, insight and ideas are critical to our success; Applicants will possess these attributes and have a strong desire to create what “the world hasn't seen yet”.Our workplaceWe offer a creative team working environment in a modern office in Auckland, New Zealand, with bush views, free snacks and coffee machine, latest Macbook Pro's, office 3D printer, not to mention lots of miniature video cameras that you can play with, whether you're into snowboarding, motor racing, dirt bikes, or just want to strap a camera  to your cat and find out where he goes every day.","Want to get a head start on your peers and be part of a globally focused tech team designing consumer products?We are looking for the next generation of graduates (and soon-to-be graduates) to work with us using Embedded Linux, one of the fastest growing operating systems used in a range of devices  including our smart camera and video solutions.An internship with us will involve working closely with the engineering team on the development and testing of kernels, device drivers, development tool, and build &amp; test frameworks. You will be required to immediately immerse yourself in our technology and offerings so as to understand our products thoroughly. This is a great opportunity to develop software engineering skills, learn embedded Linux technology and have a go on our 3D printer and mill to create cool uses of our products and help stimulate ideas in the wider team.The position is flexible and can work around your studies, with a view to applying for a permanent position should you make one become available :) As a valued member of the team, you will have an active role in helping design world class video camera systems, so you can expect to be involved in design meetings, testing products and being a real user for the products we create.  You'll be placed with a mentor within the company to oversee your day to day tasks and help advise and connect you to the right people and most importantly help you integrate into the wider team! We are looking for people who:Enjoy problem solving and working closely with hardwareWant to become proficient in system programmingHave sound C/C++ or shell programmingHave good understandings of Computer architecture and Operating systems and want to apply it to the real world","Degree or equivalent level courses in Computer Science, Computer Engineering (with S/W development emphasis)Proficient in CC++ and assembly is a plusExperience with installation, configuration and customization of Ubuntu a plus.Excellent and demonstrable written and oral communication skillsAbility to thrive in a dynamic, evolving, start-up environment.The Candidate must be prepared to work for minimum of 16 hours a week.","We hope that this internship provides an exciting opportunity to learn in a fun, fast paced, and changing environment. We invite those who are comfortable talking and interacting with others and have the ability to work well with diverse groups of people, to apply. We want the intern to add value to our team, but we also want the intern to be able to learn and grow in this professional environment.",0,1,1,Part-time,Internship,Some College Coursework Completed,Consumer Electronics,Engineering,0
1068,Program Director - Help Kids Build Character!,"US, CA, Folsom",,30000-50000,,"New PRO Martial Arts franchise opening in Folsom, California seeks a highly motivated membership sales professional as full-time Program Director. Help shape our company's success from the ground up!The right candidate enjoys working with children and their families, is friendly and positive, has proven membership sales experience, and excellent communication skills. Previous experience with community outreach and/or a marketing background is a plus.No prior martial arts background required!Primary duties include:New Student Recruitment and RegistrationMembership and Product SalesUpgrade SellingCommunity Outreach, Marketing, and Business Cross-PromotionStudent RetentionStaff, Facility, and General ManagementA child abuse clearance and criminal background check are required. Must be willing to work evenings and weekends. Base plus commission.About PRO Martial ArtsPRO Martial Arts is about far more than kicking, punching and karate workouts. From kids to adults, from beginners to experts, from karate, to kickboxing and much more, every class at PRO Martial Arts includes a core focus on building confidence, staying safe, and developing character.",,,0,0,1,Full-time,Not Applicable,Unspecified,Retail,Sales,0
2009,Contact Center Representatives,"US, NV, Las Vegas",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company, located in Henderson, NV has full-time positions available for Contact Center Representatives.  We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork and an uncompromised level of customer service. The position requires the following qualifications: A minimum of 1 year in a Call Center environmentCollections experience requiredAuto or furniture collections a plusAbility to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights Monday  Friday as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish a plus Primary responsibilities include, but are not limited to the following: Make and receive calls through automated dialerTake payments on past due accountsHandle customer service related issuesProcess related paperworkAdherence to company policies and procedures in addition to compliance of state and federal regulations We offer a competitive salary based on experience and a comprehensive benefits package.  Please submit your resume via e-mail to #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117## or fax your resume to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#. Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.", The position requires the following qualifications: A minimum of 1 year in a Call Center environmentCollections experience requiredAuto or furniture collections a plusAbility to communicate effectively and professionally both verbally and in writingProficient typing skillsMust be able to work late nights Monday  Friday as scheduled by ManagementAutomatic dialer experience a plusStrong negotiation skillsBilingual in Spanish a plus,"Our company offers a competitive salary plus BONUSES as well as a comprehensive benefits package to our full-time employees including:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.",0,1,0,Full-time,Entry level,Unspecified,Financial Services,Customer Service,0
3296,Physical Therapist,"US, CA, Santa Ana",,,"Welcome to interface rehabinterface rehab provides comprehensive rehabilitation (Physical, Occupational &amp; Speech Therapy) &amp; consultation services on a long term contractual basis to the various medical settings throughout Southern California.","Position:PT                                                                         Clinical Setting:Skilled Nursing Facility                                                                         Job Type:Full Time                                                                         Location: Santa Ana                                                                         Qualifications:                                                                        Licensure/Certification:Bachelor's degree (or foreign equivalent) in Physical Therapy. Experience:No experience.                                                                          Job Summary:                                                                        The Staff Physical Therapist evaluates and treats patients/residents, communicates with families, physicians, and other health team members, and maintains documentation of services in the medical records.  Provides Physical Therapy services including treatment planning &amp; implementation, and discharge planning. Tests patients physical abilities analyzing rehabilitation goals; consult with rehab team in order to coordinate the most effective physical therapy program.                                                                         Organizational Relationships:                                                                        Reports to: Director of Rehabilitation, CQI Designee/Mentor, Regional Mentor.Supervises: Responsible for the care delivered by PTAs, Rehab Technician.                                                                         Essential Job Responsibilities:                                                                        Evaluate patients/residents within 24 hrs of physician referral.Develop effective treatment plans and obtain approval for services from referring physician, and treat patients/residents according to physicians treatment plan.Communicate regularly with supervisor, other members of the rehabilitation team, and nursing staff on the patients treatment plan, progress, and prognosis.Record evaluations, daily treatment notes, weekly progress notes, 14 day progress report to the physician, and discharge summaries per policy and procedure.Instruct patient/residents families or nursing staff in maintenance program.Participate in discharge planning.Secure necessary durable medical equipment for patients/residents to facilitate independence in mobility: i.e. orthoses, walkers, canes, elevated toilet seats, and grab bars.Submits logs and all billing information on a timely basis.Reports any problem areas/equipment within each facility to the appropriate supervisor immediately.Comply with the State Licensing Board of California, Title 22, CMS, and California Labor Board Guidelines.May perform other duties as assigned.",,Full Benefits,0,0,0,Part-time,Not Applicable,Certification,"Health, Wellness and Fitness",Health Care Provider,0
9064,Sales Account Manager - Oil and Gas,"US, TX, College Station",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Even on an ordinary day, you're part of an extraordinary team of recognized cementing, stimulation, and production chemical leaders in the world.With operations in all major U.S. basins, including Eagle Ford, Permian, DJ, Bakken, Marcellus, Utica, and East Texas, were committed to providing cooperative chemical design, development, technical field services, and manufacturing to satisfy our customers business requirements while continuously focusing on service quality and safety. Wed love to add an account manager to our fast-growing team. As an account manager, this individual will be involved in all aspects of client management and new-business development. The role will be based out of College Station, TX.Summary:Responsible for all sales activities, from lead generation through close.Develop and implement plans, which will meet both personal and company goals regarding expanding customer base. Work with the sales and support teams to achieve customer satisfaction, revenue generation, and long-term account goals in line with the companys vision and values.  Duties and responsibilities include, but are not limited to, the following:Operate and grow business.Manage new employees with minimal oversight from management.Understand and communicate the company products and services to potential and existing customers.Sell consultatively and recommend to prospects and clients various solutions for accounts receivable issues.Perform customer reporting and coordinate required analysis.Maintain contact with all clients to ensure high levels of satisfaction. Receive and resolve customer complaints and problems in a timely manner.Gain access to key decision makers at appropriate levels.Provide prospects and customers with price quotes, and ensure they fully understand the parameters.Develop a database of qualified leads through referrals, telephone canvassing, direct mail, email, and networking.Help implement marketing plans.Research trends and developments in primary industry by using related publications, the Internet, and training sessions to maintain and grow current knowledge base.Understand and support sales policies and procedures to provide proper and effective treatment to all customers. Maintain accurate records of all sales and prospecting activities, including sales calls, presentations, closed sales, and follow-up activities.Participate and contribute to the development of educational programs offered to clients and prospects.Proactively establish and maintain effective team relationships with all support departments.Adhere to all company policies, procedures and business ethics codes.Perform other related duties as assigned.","Extensive production chemical experience.Experience with account management, flow assurance, asset integrity, and microbiology mitigation.Associates degree or a minimum of two-years related experience.Dynamic presentation skills. Detail and deadline oriented.Proactive follow-up skills.Self-motivated, ability to work independently and with the team, and ability to multi-task. Must have computer skills and be proficient in Microsoft Office.Meet metrics and activity quotas.",,0,1,1,Full-time,Associate,Bachelor's Degree,Oil & Energy,Sales,0
12990,User Experience Lead,"GB, LND, ",,55000-70000,"nakedhearts is an ambitious and well-funded start up. We are building a global online social network to connect users with the causes they care about, and integrate social good into our daily lives. Because when we get together and make it easy to give (time and money), we will leave the world better than we find it.We are launching in the UK and US in a few months, and we are looking to bring our creative, design and UX team in-house, so now is an exciting time to join our rapidly growing team.Our first product is a mobile app for users to donate time and attention to charities while on-the-go and connect with the beneficiary world. Only 2 minutes to spare? No problem. Have a browse on your smartphone - you can help a blind person cross the street on the other side of the world. If you have ten minutes, you can make an enormous difference - did you know that you can help beat cancer by playing a game?We are excited by the support we have received so far. We are working with brands and media partners ahead of our launch in a few months. We are creating a talented, experienced, capable engineering team from scratch to build a world-class platform for interacting with charities and social causes. We believe in best-of-breed technology and have high standards for delivery. We are looking for people who, along with the aforementioned experience and talent, are passionate about what we are trying to achieve, and keen to innovate (while having fun!).Please take a look at our open roles below to find out more. If you would like more information about nakedhearts (especially from a developer perspective), check out our Stack Careers site for the geeky stuff.To speak to a real person, call +44 7719 080631 or e-mail #EMAIL_b7983b85872294ba2e4a77ba948c5ea02d2b59e521bd926f155209494d9bc591#. Thanks!","User Experience LeadWho we are:nakedhearts is an ambitious and well-funded start up. We are building a global online social network to connect users with the causes they care about, and integrate social good into our daily lives. Because when we get together and make it easy to give (time and money), we will leave the world better than we find it. We are launching in the UK and US in the next few months, and we are looking to bring our creative, design and UX team in-house, so now is an exciting time to join our rapidly growing team. Our first product is a mobile app for users to donate time and attention to charities while on-the-go and connect with the beneficiary world. Only 2 minutes to spare? No problem. Have a browse on your smartphone - you can help a blind person cross the street on the other side of the world #URL_38a17928c91cafcf5a749c8e73064483a543c6c5ac8982fae2d1254f3d319384#. If you have ten minutes, you can make an enormous difference - did you know that you can help beat cancer by playing a game #URL_ec320c75dd55f1f38cd039c5211b8926670eff539d3c2a90633d3ea3b5cb0cc0#? Example responsibilities in first 12 months:· Own the whole UX process and design· Be involved in concept development· Sketch out broad ideas and then document and support specific implementation· Map out user journeys and create intuitive, elegant and engaging experiences for the user· Lead on story boarding· Build mockups and prototypes at different levels of fidelity (both paper and digital)· Perform user research and testing on a budget, and design validation strategies· Confidently present your ideas, designs and decisions· Aid in defining an experience roadmap, persona definition and key performance indicators· Liaise with analytics to iterate and refine the site· Work collaboratively with the tech developers and product managers· Lead and mentor junior team members "," The ideal candidate:· Proven track record in user-centred design, focused on digital and mobile· Versatile and enjoys working across all areas, from conceptual brainstorming to user journeys· Experience with data visualisation and data analytics· Excellent communication skills, able to adapt your style to a variety of audiences· A can-do attitude and ready to roll up your sleeves· Full of energy and drive to get things done· Able to thrive in fast-paced, constantly changing work environment· Excited to work closely with the tech team and other stakeholders· Knowledge of HTML/CSS and web design· Over 7 years of relevant experience, ideally in both agency and client environments· Applicants must have the right to work in the UK","What we are offering:· Opportunity to join nakedhearts early to help shape our future· Competitive starting salary of £55,000 - £70,000· Access to our high profile network for future opportunitiesPlease apply through workable or send us an email on #EMAIL_b7983b85872294ba2e4a77ba948c5ea02d2b59e521bd926f155209494d9bc591# You can speak to us in person on +44 7719 080631",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Design,Design,0
4299,Paralegal,"US, NY, Rockville Centre",,,"The Rottenstein Law Group was founded with a simple purpose in mind: to improve how those harmed by dangerous consumer products seek compensation for their injuries. Firm principal Rochelle Rottenstein, a litigator with 25 years of experience, gained valuable insight into the tort law system after her daughter tragically suffered a brain injury. Rochelle was struck by the difficulty of taking part in litigation in the midst of ones own personal suffering and trauma. This experience led Rochelle to understand how frustrating the process of seeking compensation for an injury can be. That is why RLG is dedicated to helping clients like you with consumer injury, mass tort, and medical product liability lawsuits. Unlike other law firms that solicit mass tort victims as “clients”, then, for a referral fee, pass off that information to other lawyers, RLG remains your lawyer throughout the process of seeking compensation for your injuries. This means you never wind up being “represented” by someone who knows nothing about you.",Paralegal will primarily be responsible for:Handling new client qualificationTaking and making outgoing calls in a high volume settingWorking with legal team and other staff to develop efficient processesfor managing call process and intake  ,Prefer previous experience in a law environmentPrefer CP or CLA certification SkillsMust be highly proficient in using a PC and capable of learning new software Must have excellent communication skills,"Starting compensation at $12-14/hour, 9-5, M-F.",0,0,1,Full-time,Entry level,Certification,Law Practice,Legal,0
14491,Freelance Writer - Paris,"FR, J, Paris",Editorial,,"Daily Secret is one of the fastest growing digital media brand for premium audiences around the world, serving 1.8 million subscribers every day. Covering over 35 markets, its signature daily email newsletters reveal coveted bars, restaurants, shops and all around local secrets while integrating brands around passion points. Headquartered in New York, NY and Athens, Greece, Daily Secret is backed by leading media investors, such as Greycroft Partners, eVentures, Ronald Lauder, Dick Parsons, and Andy Russell. To learn more about us visit #URL_e830679f90409d3a40bc46c9110e3c627418d0bff3a005f2a78539860ed584c2#","Daily Secret is seeking a new freelance writer for our Paris edition in French. We are looking for a talented, motivated, and independent writer to join our international editorial team. As an editorial team member, you will work directly with our Parisian Insider &amp; Curator and virtually with our Managing Editor based in Athens, Greece. The primary role of the position is to translate beautiful content for our Daily Secret edition in Paris, primarily written in our editorial hub in english. Our ideal candidate is someone who is passionate about Paris and loves to share the best kept secrets of the city with our audience of tastemakers. Being based in Paris or Athens would be preferable, but not mandatory - we are always looking for the best out there, no matter where theyre living! As a freelance writer for Daily Secret, you will have tons of flexibility, as long as you stick to our deadlines. If you believe you are a great fit, please apply and embark on the adventure that is Daily Secret.","Is fluent in both French and EnglishHas professional publishing experienceLikes to read and write beautiful copyHas a keen eye for detail and meeting deadlines without failIs passionate about Daily Secrets content and loves our content categories (art and culture, food and dining, welfare etc.)Is easily adaptable and enjoys learning new technologiesCommunicates effectively across different culturesBelieves in the values and mission of Daily Secret, as we do","First-hand experience in a fast-growing, global digital media company, an opportunity to expand your skills to digital media, access to a global audience of readers that are very passionate about our secrets, an opportunity to work with a team of sought-after professionals who take real pride in their work, a salary (obviously!).About Daily SecretDaily Secret is one of the fastest growing digital media brand for premium audiences around the world, serving a hard-to-find audience of affluent and well-connected tastemakers. Covering over 35 markets, its signature email newsletters reveal coveted bars, restaurants, shops and all round local secrets while integrating brands around passion points. Headquartered in New York and Athens, Greece, Daily Secret is backed by leading media investors, such as Greycroft Partners, eVentures, Ronald Lauder, Dick Parsons, and Andy Russell. To learn more about us visit #URL_e830679f90409d3a40bc46c9110e3c627418d0bff3a005f2a78539860ed584c2#",0,1,1,Part-time,Associate,Bachelor's Degree,Internet,Writing/Editing,0
3489,Inside Sales Representative,"SG, , ",,,"Randstad Sourceright Sdn. Bhd. formerly Randstad Managed Services. (company no 660257-V) is a multinational recruitment &amp; HR services company. As part of Randstad who generated revenue (pro forma) of € 16.2 billion in 2011, Randstad Sourceright brings to the Asia Pacific region, a service capability spanning across Information Technology services (ITO), Business Process Outsourcing (BPO) and Shared Services Outsourcing (SSO).As a MSC status (Multimedia Super Corridor) company, Randstad Sourceright operates from its development and data processing centre in Cyberjaya, Malaysia's Intelligent City. A carefully configured team comprising of local and expatriate talents, manages an innovatively designed product spectrum that caters to the needs of the Malaysian and regional markets.Thanks to the new-age infrastructure and operating cost benefits that Malaysia provides, we continue to increasingly attract outsourcing businesses in the region and beyond.We operate with the vision of becoming the most respected HR Services provider in South East Asia. ","This position looks upon existing customer base of the assigned account, renewing sales of major it companies. Candidate must have a passion for sales and be comfortable working towards targets and KPIS.These calls are outbound calls where there is no cold calling and no lead generation involved.The job needs you to cultivate relationship and close renewal contract of active account each quarter.Inside sales representative use the computer generate quotes, perform customer research, and update account notes using propriety CRM.Candidate will need to conduct internet research prior to contacting the customer to understand more about their profile, work experience, and backgrounds to ensure they are targeting the correct package to the right customer.","Candidate must possess at least a Diploma or Degree in any field.Candidate with 0-3 years experience in or fresh graduate who are interested are also welcome to apply.Must have sales background or have the interest and willingness to learn about sales.Candidate must have good command verbal English and for some roles language speaking skills are essential  Hindi, Vietnamese, Thai, Mandarin, PRCMust be Singapore Citizen or Permanent Resident, Dependent Pass (Letter of Consent to be issued), Long Term Visit Pass+, University Pass Outs- these requirements for this particular role are non-negotiable due to the salary currently being offered.Candidate must be good in MS Office in particular Microsoft Excel. ",,0,0,0,Full-time,Associate,Associate Degree,Telecommunications,Sales,0
8602,RN PACU  ,"US, GA, ",,,,"Apply using below link#URL_3f7f4abc5dbe77ea5bef320d928bc380475e678649a1ba93777ebecc06c54afb#Make an impact, without working weekends and holidays!The Southern Orthopaedic Surgery Center of Fayetteville, is seeking an experienced PACU RN. You will be an integral member of our patient care team in our Fayetteville, Ambulatory Surgery Center. Steadily growing volume. PRN and possible full-time options available. We require some flexibility in scheduling for patient load during the week, but won't require you to work weekends or holidays.Service and Teamwork are more than words here. They are the foundation of our service philosophy, as we strive toward regional and national recognition as a center of excellence. Our physicians are all Fellowship Trained in a sub-specialty. They treat patients with general orthopaedic injuries, focusing on their designated sub-specialty, including: Sports Medicine, Hand and Upper Extremity, Spine, Shoulders, Foot and Ankle, or Total Joint.Requires:• Graduate of accredited School of Nursing• Current GA RN license• Outpatient experience required• Knowledge of Orthopedics and experience in an ASC setting desirablePlease send resume to: , or fax: .EOE",,,0,0,0,Full-time,,,Hospital & Health Care,,1
14007,Qualified Social Worker ,"GB, PLY, ",,,,"Qualified Social Worker, Plymouth £24ph to £30phNetwork Health and Social Care are working with Plymouth City Council to increase our successful team of Qualified Social Workers to work in Childrens Social CareThe primary purpose of the role is to safeguard children and young people who have either suffered or who are likely to be at risk of suffering significant harm as defined by the Children Act 1989. This role also encompasses other statutory duties and responsibilities of Childrens Social Care as defined by legislation. Children's Social Care is organised in  a number of smaller teams including children's safeguarding, advice and assessment, children in the community, children in care, family support, permanency and placements, out of hours, 16+ and transitions and business support.You will be working  with some of the most vulnerable children and young people in the city. The problems we tackle reflect the picture nationwide  child abuse and child protection issues, the impact of neglectful parenting including issues such as  drug, and alcohol misuse, domestic abuse, mental health, child abuse and anti-social behaviour issues.Our Agency Social workers at Plymouth City Council enjoy a number of benefits including: Appropriate Caseloads allocated to you,  based on your experienceWork in a supportive environment with high morale and a strong team ethosCompetitive Hourly pay rate- weekly or monthly pay to suit youCPD allowance of up to £950 per yearOption to work on PAYE with associated benefits (Holiday pay, pension etc)  or as a Limited CompanyAccess to PCCs excellent In House Training Agency Workers form an essential part of the Childrens Service team. Assignments are long term, and after a qualifying period you will have the opportunity to apply for permanent positions within the Council.Plymouth City Council was Municipal Journal Best Achieving Council in 2010. Children's Services was rated 'excellent' by Ofsted in 2011 and the Fostering and Adoption services both received outstanding' inspection results too.Plymouth is a vibrant University city located in Devon in South West England. Enjoying an enviable location, with the moors of Dartmoor to the north and surrounded by stunning coastline, Plymouth offers a good quality of life and an abundance of outdoor pursuits on your doorstep including sailing, surfing and hiking.Pertemps Network Healthcare are one of the UKs premier Health and Social Care Agencies . Whether you are looking for permanent or locum work, at Network Health and Social Care, you will receive a professional service from a knowledgeable and personable team who are committed to ensuring your employment needs are met.Our excellent reputation results in our handling many vacancies exclusively. In addition, we manage the staffing contracts for a number of local authorities, including Plymouth City, Swindon Borough, Slough, Blaenau Gwent, Merthyr Tydfil, Maidenhead, Windsor, Herefordshire, Pembrokeshire, West Midland. We also have contacts with other managing agents and recruiting managers throughout the UK which allows us to offer a variety of roles.We will work hard to bring you the best rates of pay, dependant on your qualifications and experience.We pay for your CRB checks.We offer PAYE options with the benefits of holiday &amp; statutory sick pay and a tax-free expenses schemeEffective systems to support self employed workersWe pay for your GSCC registration renewal.We offer Refer a Friend Schemes, CPD allowance and Training Packages  For more information, please contact Lindsay Spencer  at our Network Healthcare Office, based in Prideaux Court, Plymouth on 01752 604600.",,,0,0,0,,,,,,0
1455,Driver - Heavy Duty (Anaheim),"US, CA, Anaheim",Operations,,"Our HistoryFounded in 1929 by Earl Bertrand Bradley, the company began selling products for Knape and Vogt Co. of Grand Rapids, Michigan.With the opening of the Los Angeles branch in 1929, the company became a wholesale distributor specializing in store fixture and specialty hardware such as drawer slides, hinges, brackets and standards. In 1943 branch offices were opened in San Francisco followed by Seattle in 1956.The companys market position and business began to grow during the late 1950s and 1960s after adding Wilsonarts high-pressure laminate line to its product mix.During the 1970s under the leadership of E.B. Bradleys son Robert E. Bradley, Sr., two new branches were opened; San Diego in 1972 and Portland in 1976. Significant product additions were the Blum line of European hinges and drawer slides and Accuride precision ball bearing drawer slides. A greater emphasis as a supplier to the Cabinet and Furniture industries was taking hold.Since the opening of the Anaheim branch in 1995, the company has been consistently growing.In 1998 the company entered the cold press lamination business by opening 3 locations of its West Coast Laminating subsidiary in the Los Angeles, San Francisco and Pacific Northwest marketplaces.Our OwnershipUp until January 4, 2009, the company operated as a 100% family owned business.On January 5, 2009, Industrial Opportunity Partners (“IOP”), a private equity firm based in Evanston, IL. partnered with Robert Bradley, Jr. in aquiring the stock of E.B. Bradley Co. and its subsidiaries from its current shareholders.","Position available is located in our Anaheim, CA location.Responsible for daily delivery of company products to customer locations in a safe and timely manner ensuring the reputation of being the First Choice in Quality and Service.","Essential Job FunctionsSelect, sort and verify orders for delivery;Plan daily delivery route and strategy for the day;Make changes to the delivery route as per customer needs and requests;Pre-inspect orders for damage and quality before delivery;Load and/or assist with loading the vehicle for deliveries;Ensure that the load is in compliance with weight and other load limits;Conduct a daily walk around safety inspection of the delivery vehicle;Make on-time deliveries to customer locations as per pre-determined route schedule:Unload customer orders at customer location;Provide excellent, professional and courteous services to customers during each stop;Complete and maintain paperwork as required by company policy, procedures and directions provided by supervisor;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Follow all Department of Motor Vehicle policies and procedures at all times;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Responsible to ensure that the delivery vehicle is clean, safe, maintained and in good operating condition;Operate a forklift;Perform housekeeping duties within your work area maintaining a clean and safe working environment. Non-Essential Job FunctionsShipping;Receiving;Counter Sales;Must assist others and perform additional tasks as directed by the Operations Manager. Skills/QualificationsAbility to operate a small to medium size truck;Must have and maintain a clean driving record in compliance with company set standards;A general knowledge of the surrounding delivery areas;Prioritization, Organization, and Execution — ability to multi-task in a stressful, fast paced working environment;Attention to Detail,;Must have the ability to prioritize and organize;Good customer service skills. QualificationsTo perform this job successfully, an individual must be able to perform each essential job function satisfactorily. The requirements listed in this job description are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with qualified disabilities to perform the essential functions of the job. RequirementsHigh School Diploma or GED;A current valid Class A Driver's License;A current DOT Medical Certification;Basic computer skills;Ability to be certified as a forklift operator;A minimum of five years of general driving experience;1-3 years of route delivery experience. Physical DemandsRequires the ability to walk, stand, carry materials, stoop, kneel, bend at the waist, reach overhead and climb ladders and/or on and off of equipment:May require sitting and/or standing for long periods of time;Will be required to lift up to 50 lbs on a regular basis; Working EnvironmentAll work is performed within a manufacturing and warehouse environment;Exposure to moving mechanical parts, machinery, fumes, airborne particles, dirt, dust and chemical fumes;The noise level in the work environment is usually moderate to loud and may require hearing protection.Safety shoes, back support and eye protection are also required.Temperature environment range is approximately 30°F to 110°F. SummaryThis position description identifies the key responsibilities, expectations of performance, qualifications and work environment as outlined in the contents.  It is neither intended nor possible to list all tasks and variables the employee may be required to perform or conditions the employee may be subject to.  Employees are expected to follow any and all job related duties and responsibilities, as may be reasonable assigned by management.As an Equal Opportunity Employer, E.B. Bradley, Co. is committed to a diverse workforce.",,0,1,1,,,,,Other,0
11456,Skilled Glazer,"US, WA, Everett",Storefronts,,"We are an award-winning team of professionals, providing the very best value for glass shower enclosures, designer closets, storefronts, glazing, and mirrors in Western Washington, with world class service and quality that are both Faster &amp; Better.AAA KARTAK is family owned and operated, serving Western Washington homeowners and building contractors since 1956.","Skilled Glazer / Construction(commercial and residential)We are a well-established growing firm in Everett WA, looking for a capable individual with glazing experience. Hourly plus commission DOE plus benefits.* Great team-work environment.Benefits: Work with a strong team in a positive family-oriented environment. Family Medical, Dental, &amp; Vision, Employee Life Insurance, earn Paid Vacation with every paycheck, Six Paid Holidays, Bonuses and more.INSTRUCTIONS: Please send: -Name and contact information,-Resume if available or work history, -Brief detail of your experience with glass and construction. -List products/areas of glazing that you feel very competent with.-Please Use “SF Glazer” as HeadlineFull Time Work: Monday  Friday and occasional weekend shifts.We do not discriminate on the basis of race, color, religion, national origin, sex, age, sexual preference, disability, or any other protected class. Equal opportunity employer.","Requirements:- Pass fitness for duty physical examination, drug and marijuana screening, and criminal background check.- Minimum 30 months glazing/craftsman experience, extensive glazing product knowledge. - Ability to read and comfortable with tape measure.- Excellent Driving Record.- Lift and work with 55 lbs. and occasionally 60-100 lbs.- References.- Excellent Driving Record.- Ability to hold 50 lbs partially outstretched for 10 seconds.- Strong basic math skills.- Ability to read and follow directions well.- Eye for detail.- Ability to occasionally walk up and down several flights of steps repeatedly. - Ability to kneel, bend and squat. (Reasonably good ability to work from floor up.)- Ability to speak, read, write and understand English fluently.- Strong public relations and team-working skills.- Ability to work independently, efficiently, and learn quickly.- Reliable transportation to work.***Blueprint reading a plus, but not required.","Benefits: Work with a strong team in a positive family-oriented environment. Family Medical, Dental, &amp; Vision, Employee Life Insurance, earn Paid Vacation with every paycheck, Six Paid Holidays, Bonuses and more.",0,1,1,Full-time,Associate,,Construction,,0
3172,Videographer/Editor,"US, DC, Washington",Broadcasting,0-45000,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","Applied Memetics is looking for a Videographer/Editor to support an international broadcasting media organization in Washington, DC.","The candidate will serve as a videographer, editor and graphic producer for promotional videos, utilizing skills necessary to operate video cameras and editing platforms, including Premier and Final Cut Pro.The candidate will work with existing organization video platforms and servers to help produce promotional material.The candidate shall be able to work well in a multicultural environment under tight deadlines, and have a positive attitude.The quality and efficiency of the services performed by the candidate shall be reviewed on a regular basis to ensure that he/she is meeting the requirements of the Government.",,0,1,0,Full-time,Associate,Bachelor's Degree,Broadcast Media,,0
11453,SDS Author - Technical Writer - Data Sheet,"US, TX, The Woodlands",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Our client, located in The Woodlands, TX, is actively seeking an experienced Technical Writer that possesses superb written and organizational skills. Strong researching skills are a must. Responsibilities:Ability to develop and write content for manuals, documentation, and supporting materials.Research, develop, and write content for datasheets for a chemical company.Gather and research technical information for use in content-development activities.Review, edit, and proofreading materials written by others.Function as safety manager for production lab.Manage waste disposal operating procedures.","Qualifications:Prior experience with oil field chemicals.Experience writing GHS, OSHA, Hazcom, and FIFRA compliant SDS.Experience with transportation classifications for DOT, IMDG, and IATA.Experience with GHS, NFPA, and HMIS hazard classifications.Experience with data required on SDS (NTP, IARC, P65, ACGIH, acute and ecological tox, etc).Experience with GHS labeling.Company Overview:Our client is a leader in the oil and gas chemical industry. ",,0,1,0,,,,,,0
15777,Business Development Director,"US, FL, Miami",Business Development,,"Daily Secret is one of the fastest growing digital media brand for premium audiences around the world, serving 1.8 million subscribers every day. Covering over 35 markets, its signature daily email newsletters reveal coveted bars, restaurants, shops and all around local secrets while integrating brands around passion points. Headquartered in New York, NY and Athens, Greece, Daily Secret is backed by leading media investors, such as Greycroft Partners, eVentures, Ronald Lauder, Dick Parsons, and Andy Russell. To learn more about us visit #URL_e830679f90409d3a40bc46c9110e3c627418d0bff3a005f2a78539860ed584c2#","Daily Secret is seeking an experienced sales executive to be our Director of Business Development in Miami. This role will report directly to the CEO and will take ownership for Daily Secrets brand marketing integrations in South America. It is a great opportunity for an energetic self-starter looking to make an immediate impact at a fast-growing startup. Our ideal candidate has experience in developing, selling and executing multi-platform partnerships in the digital media industry, with a proven ability to meet sales goals. You will be relied upon to come up with big ideas that help our advertisers succeed and create a brand experience that is unique to Daily Secret.Responsibilities:Source, develop, and close a pipeline of strategic, revenue-generating partnerships with travel and lifestyle brands across South America.Identify, create, and pursue new qualified sales opportunities.Expand relationships with key client executives and maintain strong post-engagement relationships introducing new opportunities accordingly.Organize one on one meetings, prepare presentations, and promote the Daily Secret brand at events.Assess incoming partnership opportunities by collaborating with internal stakeholders (including the CEO and the various technology, product, and content leads) to determine fit &amp; alignment with the companys core strategy.Participate in strategic development of new business, from setting account strategy &amp; revenue forecast to managing of the partnership for successful implementation.Develop strong creative proposals for brand customers.Provide strategic feedback to internal stakeholders that will help inform product development and generate new revenue opportunities.","6+ years experience working in business development or media sales, preferably digital media.Must be fluent in English and Spanish.Flourishes in startup / early stage company environment.Must be passionate about Daily Secrets mission.Is results-oriented, articulate, persuasive, passionate, and adaptable.Has a proven track record and experience in developing new business relationships and meeting sales goals.Strong client management skills, experience working with top brands and agencies, and strong industry relationships.Possesses strong presentation, verbal and written skills as well as proven ability to develop well-written documents and presentations.Knowledge of industry trends, new media/marketing channels, monetization models, business landscape.Ability to adapt and lead through fast-paced, uncertain environmentsAbility to work well under pressure and manage multiple tasks simultaneously.Ability to work well cross-functionally.Willing to travel, as needed.Believes in our company values as much as we do.","We offer...first-hand experience at a fast-growing, global digital media startupthe opportunity to expand your skill set from traditional to digital mediadaily exposure to readers around the world who are passionate about our secretsintelligent colleagues who take pride in their worka salary and all that jazz",0,1,1,Full-time,Director,Bachelor's Degree,Internet,Business Development,0
2227,Field Executive,"IN, HR, Gurgaon",Admin,96000-100000,,"The Candidate should have own vehicle (motorcycle) with a valid license and vehicle, registration/insurance. Should be well versed with the routes of Gurgaon, Delhi&amp;NCR. The Candidate should be well groomed, should be able to handle Collection of payments, Deliver packages, General coordination between offices, vendors and clients, Comfortable in travelling across #URL_bb362e8101b24fcad27edb7c367071b299e4a6dd739e3791d9d33c2f978be424# directly with Government officials for processing of documents, with vendors towards work execution (like Fencing, Repair, Painting etc). Liaison with tenants (of clients) towards work execution as assigned, To work within the team to ensure that task is completed as per SLA. Coordinating with customer support team and #URL_b47496f88667b37b40975d51c47ddfd3083b3ad757e9c1f4863cac511ebb8105# and implementing cost saving measures thereby improving the profitability. He should be willing for hardcore field work, Should have customer centric approach. Should have the ability to handle pressure be team player &amp; with good work ethics.Experience in conducting/coordinating quantitative as well as qualitative assignments including product testing, mystery shopping, etc. Ability to handle a large number of field survey teams across the country through own network of field investigators and supervisors.The candidate should be able to communicate well in English.",,,0,0,0,Full-time,Executive,Bachelor's Degree,Information Technology and Services,Administrative,0
6084,Clinical Account Manager,"NZ, N, Auckland",Customer Service,80000-100000,"HealthLink provides a computer communications system that links the information technology systems of more than 10,000 medical organisations across Australia, New Zealand, the Pacific Island nations and Canada.   Each year more than 70 million pieces of clinical information are exchanged using the HealthLink system.  HealthLink provides nearly all of the clinical communications used in New Zealand and is the largest provider of clinical messaging services in Australia.  HealthLink came into existence in 1993 as a result of the New Zealand governments health reforms and the company has been developing systems and services that facilitate exchange of clinical information since that time.  HealthLink has offices throughout Australia, New Zealand and Canada.  The companys services are offered  from data-centres in each of those countries.The companys core focus is on ensuring that electronic communication processes are put in place to give patients certainty that their information has been appropriately handled.  This is achieved by ensuring that the systems are 100% reliable and secure.  We enable the electronic delivery of pathology and radiology results and discharge summaries.  HealthLink also provides a large managed security infrastructure and is a pioneer in the development of cloud-based online forms technology that is used for ordering pathology services and for referring patients to hospitals and other secondary care services.","Do you have a flair for clinical editorial support?Are you interested in Health IT? Do you want to help improve the health system?We are seeking a Clinical Account Manager to join our great team in Newmarket, Auckland.With an upsurge in demand for our services the key focus for this role is to provide account management and editorial support for HealthLink's eReferrals and directory activities.Healthlink is a Health-system integrator focused on the Australasian market. We have established ourselves as a leader in the provision of services that integrate medical applications for the safe and secure exchange of patient information. We have a strong product base and a proven track record which has been documented in international studies.This is a great opportunity to join a dynamic IT company with a market leading position in Australasia. You will work alongside people with high expectations, a collaborative work ethic and be given challenges that will ensure a dynamic work environment.","A recognised clinical qualification, with experience in a clinical role as a nurse or doctorEffective communication skills, with the ability to build and develop relationships with clinical providersA team player with a positive attitude and a good sense of humourSales or project management related experience in primary careKnowledge of the healthcare industry Only applicants with a right to work in NZ should apply.  We review every application submitted. Please be aware that due to the high volume of applications only those short listed will be contacted.","Stimulating environment with high performance expectationsStructured career developmentCompetitive remunerationFlexible working hoursModern head office in Newmarket, AucklandA chance to dramatically influence health outcomes",0,1,1,Full-time,Not Applicable,Bachelor's Degree,Information Technology and Services,Writing/Editing,0
16479,HR Generalist,"US, CA, San Ramon",,,"At Atlas Lift Tech, safety always comes first!  We are a fast growing company with an innovative vision of making Safe Patient Handling and Mobility (SPHM) programs available to hospitals systems nationwide. ATLAS is the first company offering safe patient handling and care staff training programs that protect healthcare workers and patients from the dangers of manual patient handling. Our unique team brings together bedside training, education, and program management to empower care givers to reduce workplace injuries, improve patient care, and create a culture of safety.","Atlas Lift Tech is looking for a skilled Human Resources Generalist to support all aspects of Human Resources practices and processes reporting directly to the Director of HR.  You will be responsible for performing a wide variety of professional human resources activities in areas such as HR administration, HR policies and procedures, recruitment, employee relations, leave administration, benefits administration, and performance management and other day to day HR activities.Essential FunctionsResponsibilities &amp; Job DutiesDevelops, recommends, and implements personnel policies and procedures; prepares and maintains handbook on policies and procedures; performs benefits administration to include claims resolution, change reporting, file management, and HRIS management.Administers various human resources plans and procedures for all company personnel.Manage employee relations issues. Conducts effective, thorough and objective investigations.Serve as a link between management and employees by handling questions, interpreting and administering and helping resolve work-related problems.Administers and documents performance appraisals, FMLA, ADA, FSLA, and military leave.Partners with the HR Director to implement and updates compensation program; rewrites job descriptions as necessary; monitors performance evaluation program and revises as necessary.Post ads, interview candidates, administer offer process, and maintain the ATS.Establishes and maintains department records and reports. Files EEO-1 annually; maintains other records, reports.  Participate in administrative staff meetings and attends other meetings.Recommends new approaches, policies, and procedures to effect continual improvements in efficiency of department and services performed.Identifying deliverables, priorities, and timelines.Performs other incidental and related duties as required and assigned.Provides day to day performance management guidance to line management (coaching, counseling, career development, disciplinary actions).Maintain knowledge of legal requirements related to day-to-day management of employees, reducing legal risks and ensuring regulatory compliance.Provides HR Policy guidance and interpretation.","3 or more years of experience as HR Generalist; PHR preferredBS degree in Human Resources or related fieldDemonstrative experience developing strong trusting relationships in order to gain support and achieve resultsAble to manage multiple conflicting prioritiesMust be flexible and available to interact with employees at all levelsDemonstrable experience with HR metricsKnowledge of HR systems and databases, i.e. HRIS, HCM, ATS, and/or HRMSBe self-directed and motivatedTake initiative to identify and anticipate client needs and make recommendations for implementationDemonstrated competence in employee relations and knowledge of employment laws (i.e. EEOC guidelines, FLSA and ADA) requiredStrong oral and written communication, project and time management skills ","At Atlas Lift Tech we are innovators and we value individual contributions! We encourage continued education, priding ourselves on offering re-certification assistance. We offer a competitive compensation package based on your valuable experience.Other benefits include:Health Insurance for full-time statusVacation / Sick / HolidaysFlexible Spending AccountCommuter BenefitsPromotional opportunities for driven employeesFree Health ScreeningsFree Yearly Immunizations",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Hospital & Health Care,Human Resources,0
16937,Friendly Customer Service Employees..Hiring Immediately,"US, OH, Columbus",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Seeking Experienced Customer Service Representatives for our Promotional Home Division Looking for a change? We offer an exciting career with bonuses and advancement opportunities. Join our upbeat team of motivated and success-oriented people. If you have a positive attitude and are open to learning new skills, this may be just the opportunity for you!",We're looking for candidates who are: * Disciplined and capable to work in groups or as an individual * Good with people and comfortable speaking with customers * Willing to work in a team atmosphere and take on leadership roles  * Highly motivated for success with a desire to advance in our company  * Proficient on the computer,We offer competitive pay; benefits; training/continued training; pt/ft hours and a flex schedule.,0,1,0,,,,,Customer Service,0
3995,Senior Web Analyst (Digital Marketing),"GR, I, Acharnes","Search, Performance & Social",,"Mindworks interactive is one of the fastest growing Digital Agencies and the leading Performance Marketing Agency in Greece.It consists of 62 employees with vast expertise in Client Service, Creative work (Web Design, Animation, Copywriting, Concept, Word of Mouth etc) and Performance Marketing (SEO, Paid Search, Usability, Metrics, Social Media).Client list includes Greece's most important advertisers like Vodafone, Aegean Airlines, Mondelez Greece, Coca-Cola Greece, SCA Greece, L'Oreal Hellas, Folli Follie, Disney Greece, Heineken, AMSTEL, #URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7#, Greek Yellow Pages, Μoustakas Toys, Skroutz, and many others.By joining Mindworks you will have the opportunity to work on unique interactive projects including digital campaigns, search &amp; performance marketing, Social Media management, websites, portals &amp; e-shops, social &amp; mobile applications, and manage some of the largest clients in the Greek market.#URL_d2808425ebab24174ad6749af638b120145adcba7c2b722e5b6e87b43e969a0c#","Mindworks is looking for a Senior Web Analyst to join its Search &amp; Social Department.The Senior Web Analyst (Search &amp; Social Department) will be responsible for monitoring overall search engine performance for SEO/SEM &amp; email marketing campaigns, small scale usability projects as well as small scale web strategy tasks.The Senior Web Analyst will work together with the Web Strategist and Director of Search &amp; Social as well as the Search &amp; Performance team to implement search strategies and track the effectiveness of new and existing search engine campaigns. The Senior Web Analyst should also have knowledge of Social Media Networks (Facebook, Twitter, Pinterest, Foursquare, Google+) and their integration with other Digital campaigns to achieve the client&rsquo;s goals effectively.A prior experience of at least 2 years in a similar position is required.","Client Management &amp; CommunicationBuild and maintain long term relationships with clients, providing value to both client and Mindworks.Manage day-to-day client interaction regarding SEO/SEM campaigns, email marketing campaigns and usability issues.Set and manage client expectations regarding SEO/SEM campaigns, email marketing campaigns and usability issues.Deliver engaging, informative, well-organized presentations and reports for the fore mentioned services.SEO/SEM ServicesSetup, monitor, track, and report on paid and organic search metrics, including trend analysis.Interact with copywriters and social media experts to optimize ad copy, landing pages &amp; social media integration for Search Engine Marketing and SEO efforts.Provide sophisticated services for the Search &amp; Performance team regarding SEM including:Set up of new campaignsQA creativeKeyword discovery and expansionTop keywords raking by categoryROI by Campaign, Site, and CategoryResearch and analyze competitor advertisingProvide sophisticated services for the Search &amp; Performance team regarding SEO including:Linkbuilding strategy and implementationSEO technical analysis and evaluationWebsite AuditingKeyword researchMETA tags copy &amp; optimizationStay up to date on the latest industry developmentsUsability ServicesSecondary Analysis of data from various sources, such as:Web Analytics (Google Analytics, Piwik, Kissmetrics etc).Usability SoftwareDesign and implementation of Data experiments. Analysis of the data obtained from such experiments.Proposals, based on the two aforementioned analysis steps, aimed at improving the ease of use of the website under focus.Email MarketingProvide insight on the newsletters&rsquo; design, regarding usability and task orientation issues.Provide Google Analytics implementations, including but not limited to:URL TaggingSegment CreationAnalyze newsletters&rsquo; effectiveness, regarding its various stages (open rates, click data, conversion data etc.), based on data from various sources, such as:Newsletter platformsWeb AnalyticsOther 3rd party platformsImprovements to E-mail Strategy, based on the aforementioned analysis.Technical UnderstandingUnderstand and use of Google Analytics at a proficient level.Understand and use of Google AdWords at a proficient level.Possess general understanding in the areas of web design, HTML (web authoring) &amp; web development and how all areas are integrated in order to deliver a web/mobile project.Understand the use of wireframes and flowcharts.Possess a thorough understanding of our capabilities in terms of strategy &amp; planning, design, search &amp; social marketing and development.Maintain awareness of new and emerging technologies and the potential application on client engagements.AdditionalInspire co-workers to attain goals and pursue excellence.Motivate team to work together in the most efficient manner.Keep track of lessons learned and share those lessons with team members.Work in a fast-paced environment, multiple projects and project teams.","Why Work for MindworksWe dream big. Together, we make great things happen. We like to create cool stuff and provide real value both to our clients and employees. We also grow big. What started as a 5 people company back in 2005 now has 45 people and an annual growth rate of more than 30%We believe in people. We aim to provide the best possible services to our clients and we expect this from our CEO down to our secretary. That's why we expect self motivated people who underpromise &amp; overdeliver.&nbsp;We pay well &amp; fair. Our salaries are above market rates but we maintain a fun, supportive, laid-back work environment. Sometimes we put in long hours to accomplish our goals, but we pride ourselves on working smart&mdash;not putting in 80-hour work weeks. We also know you have a life outside of the office, so we&rsquo;re generous with vacation, flex time, and holidays.CompensationWe offer competitive salaries based on skills &amp; experience. We also provide:In-house training &amp; educationPaid training or attendance to conferences and eventsGym facilities on our office buildingMedical Care in cooperation with Metropolitan HospitalComplimentary food or gas tickets (Ticket Restaurant)Group activities &amp; free nights out&nbsp;Who is MindworksMindworks interactive is the fastest growing Digital Agency and the leading Performance Marketing Agency in Greece.It consists of 45 employees with vast expertise in Client Service, Creative work (Web Design, Film / Animation, Copywriting, Concept, Word of Mouth etc) and Performance Marketing (SEO, Paid Search, Usability, Metrics, Social Media).Client list includes Greece's most important advertisers like Vodafone, Aegean Airlines, Emporiki Bank, #URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7#, ZEWA, Greek Yellow Pages, Folli Follie, Skroutz, Disney, L'Oreal Hellas, Slovenia Tourism Organization, Adidas, Notos Galleries, and many others.",0,1,1,,,,,,0
6915,Automotive Lighting Designer,"US, OH, Ma",,70000-80000,"Cresttek is a subsidiary of American Hydrostatics, a 25 year old company providing Manufraturing and MRO services to Automotive Industry, Cresttek focusses on providing Engineering Services to the Automotive and Industrial Manufacturing companies. At Cresttek, we are group a professionals with experience over 20 years of experience in doing business in US, Canada, UK, Germany, Italy, India, Korea, Japan and Singapore. People make Products. We believe and practice a culture of People-First which intuitively is also our Customer Satisfaction Strategy.","Responsible for the Design and Development of Automotive lighting including 3D Modeling using CATIA V5. BOM and product structure Responsible on PDM. 3-D CAD Design of Headlamps and Rear lamps/ 2-D CAD Design, calculations, dimensioning &amp; Detailing.Working with the Chief Engineer, Technical Expert, Styling, Manufacturing, Quality, and Body DepartmentsDesign and development of Plastic housing for lights, including mounting scheme, strengthening and checking tooling and manufacturability of the same.Detailed design of complex components and Headlamp or Rear lamp products,considering functional requirements, tooling, manufacturing and plastic part feasibility, implement part and product changesFeasibility study and critical sections to cross functional team to make sure layout requirement met including space, fit and finish and specifications","Bachelors Degree in Mechanical EngineeringMust have a a min of 3 years of expereince in Automotive plastics designLayout study, feasibility, 3D data creation, 2D drawing creationGood communication skillsExperience with OEM is added advantage",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Automotive,Engineering,0
12063,Retail Intern,"US, , New York",,,,"The Retail Management Intern will be responsible for assisting with reporting, product allocation, management of store invoices &amp; proposals, organizing product knowledge information for retail stores and assisting with visual merchandising.","Knowledge of Retail IndustryStrong communication, organization, and analytical skillsStrong attention to detailStore knowledge of excel and Microsoft word",,0,1,0,Other,Internship,Bachelor's Degree,Apparel & Fashion,Customer Service,0
5993,BI Practice Manager,"US, TX, PLANO",,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI &amp; Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&amp;D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall &amp; excellence in the field of Business Intelligence &amp; Analytics by driving BI adoption with our innovative analytical solutions and applications.","Build Visual BI's BI Center of Excellence and BI Practice Competencies Become Integral Part of Visual BI's Vision to be the Best BI Consulting and Solutions Firm Execute BI Strategy by leveraging SAP BW and HANA capabilities as Enterprise Data Warehouse(EDW). Provide solutions architecture oversight for new development projects in support of our client's BI programBuild Project Plan timelines and Ensure BI Project Executions to those timelines and budget. Ensure adoption of best-in-class practices and standards for development, support, quality control and documentationWork with stakeholders to analyze business requirements, and define target SAP BI/BW solution architecture and associated technical specifications &amp; implementation planLead large cross functional teams including client staff and implementation team to accomplish successful completion of one or more solution requirements, architecture, or implementation deliverablesExcellent BI Thought Leadership and Content writing for Blogs and Visual BI News Letters Write Detailed and Descriptive Proposals, Statement of Work, and Project Plans Manage and Develop of Visual BI team of Consultants and Developers Work independently with minimal supervisionWill be required to commit to 75% travel or relocate to client locations  ","3+ Years of Experience in Managing BI Projects and Enterprise BI Architecture 7+ years of hands-on experience in multiple SAP BW developments1+ Years of hands-on experience in HANA Modelling Experience with Modeling and Architecting for Non SAP Datasources Upstream Oil and Gas Industry Experience 75% Travel or Relocation to Client Locations (OK) Business Objects Experience Visualizations Tools Experience (Tableau, TIBCO Spotfire, QlikView) ","Excellent Pay Excellent Medical, Dental, Vision, Life, AD&amp;D, Dependent Life, Short Term and Long Term Disability Benefits401(k) plan administered through employee fiduciaryGenerous communication allowance (mobile / internet / data charges)",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
1242,Account Executive - NY,"US, OH, New York",Sales,,"Baesman is a nationally-recognized, single-source provider of direct marketing strategy and solutions, including CRM data analytics &amp; hygiene, loyalty &amp; rewards programs, direct mail &amp; email campaigns, segmentation &amp; lifecycle analysis, and integrated multi-channel execution. We make it easier, faster, and more cost-effective for you to profitably engage your customers by using the channels they prefer most -- email, mobile, direct mail, digital. Our proven strategies help retailers acquire new customers, deepen relationship with current customers, and significantly impact sales. We create highly-flexible, highly-effective marketing solutions, based on your own brand data and customized for your business needs. ""Off-the-shelf"" is simply not in our vernacular. We know the unique marketing challenges of retailers. We help our clients understand their customer segments, develop targeted campaign strategies, execute flawlessly on those campaigns, and deliver thorough hindsight analysis. Our marketing strategies help clients deliver the right message, to the right customer, at the right time, and drive consumer behavior. We also provide critical, post-campaign ROI measurements, to ensure effective use of your invested marketing dollars. When combined with our printing &amp; fulfillment services, our end-to-end solutions can ensure total quality control across the full range of implementation. Baesman -- making marketing work™","Baesman Group, Inc. is seeking an experienced and goal driven Account Executive to help grow our expanding business. This person will be responsible for the sales of our extensive product line and services Baesman Group, Inc  has to offer such as our in-house marketing agency, product fulfillment and technology as well as one of the largest sheet fed print production firms in the state of Ohio. The Account Executive will be responsible for growing business with existing customers and cold calling to develop and enhance customer base. This is a unique opportunity for individuals looking to drive their own success based on experience, personal initiative and drive. ","Essential Duties and Responsibilities:Sales of all products and services to customer base.Identify and qualify prospects by telephone, cold call premise visits and networking.Become an expert in Baesman Group, Inc. products and services to be able to provide solutions to the clients needs.Identification and qualification of prospects to develop for sales process to convert to customers.Develop customer relationships to form loyal customer base.Ability to anticipate problems with jobs and to understand and monitor job schedule.Organization of account records/files and documentation of activities.Develop sales campaigns to promote goals of the company.Training and continuing education in the industry to stay abreast of trends.Knowledge of competition and market.Timely submission of reports including forecasting, projections, credit and expenses.Thrive in a deadlines driven environment by meeting due dates and customers urgency.Serve as an example to other Baesman Group, Inc. associates in matters of appearance, attitude and performance in a manner best serving Baesman clients and associates.    Qualifications, Experience and Education:Extensive understanding of the print industry.Demonstrated success in sourcing and securing new business.Excellent interpersonal skills. Demonstrated ability to work effective with people at all levels within the company as well as with vendors and manufactures.Strong communication skills, including the ability to effectively present information and respond to question from groups of managers, clients, customers and the general public.Excellent customer relations skills.Effective time management and organizational skills.Strong negotiation skills.Proficient with Microsoft Office and CRM software. ","We offer an excellent pay and benefits package including medical, dental, vision, 401(k) with a generous company match, profit sharing, paid time off and much more!Baesman has been in business for over 60 years and is a PIA Best Workplace in America award winner.",0,1,1,Full-time,Mid-Senior level,High School or equivalent,Marketing and Advertising,Sales,0
14645,Head of Product,"FR, J, Paris",,,"Mention changes the way you monitor the web. Create keyword-based alerts on your company name, your brand, and your competitors, and then get updated in real-time about any mentions over the web and social networks. Take action to react, collaborate, and get analytics about your online presence.With over 200,000 professionals using the app in 125+ countries, including companies such as GitHub, Spotify, MIT, Microsoft and Century21, Mention is focused on helping Marketing teams in Fortune 500 and Fortune 5,000,000 companies.","Mention changes the way you monitor the web. Create keyword-based alerts on your company name, brand, competitors, or industry trends for real-time updates on any mentions over the web and social networks. Take action to react, collaborate, and analyze your online presence.With over 250,000 professionals using the app in 125+ countries from companies such as Spotify, Airbnb, MIT, Microsoft, and Etsy, Mention is focused on helping SMBs and marketing teams know whats being said about their brand, competitors, industry, etc.We are looking for an extraordinary talented Product Manager to help us build a product our customers will love, even more.MISSIONBuild, prioritize, drive, and execute the product roadmap based on Mention team's vision, quantitative/qualitative customer feedback, and deep data analysisManage projects from inception to completion, working closely with every part of the business (tech, mobile, design, marketing, and sales)Develop a deep understanding of our customers pain points, goals, and interests to build solutions, prototype, iterate, and launch frequentlyTimely delivery of the roadmap by managing resources thoroughly with a “do whatever it takes” attitudeKeep up-to-date with industry best practice and new trends","3+ years of product management experience building great software productsTechnical background that allows you to understand the challenges of the product roadmap and communicate them with the tech teamStrong communication skills to collaborate and execute with all product stakeholders: customers, designer, marketers, sales, engineers, etc.Strong managerial skills to manage your team and ship the roadmap on timePassion for our industry (social media and media monitoring tools)Great attention to details and experienced with agile methodologies, such as scrumNever ending motivation to bring the product from good to greatPro-active, fun, and get shit done attitudeBONUS:BtoB and mobile product management experienceStrong engineering skillsGIF lover :)",Working in a fast growing startup with highly talented people Competitive salary + Mutuelle + Tickets restaurantSpotify subscription,0,1,1,,,,,,0
9580,International growth strategy consultant - Contract position,"US, GA, Atlanta",Katapult Group,40000-100000,Katapult Group - We leverage technology and relationships to accelerate profit generation. ,"Katapult Group builds global businesses. We help entrepreneurs across small and large organizations create and grow companies. See our website here:#URL_5c443da84bc26c27a8747d06dfa375f8844e0b78dd33ffada247fa513d6237a5#. Our team is working with a portfolio of internationally based technology companies to help them assess and grow in the US market. We currently have an immediate opening for a Consultant to join our team in Atlanta to support the project. We are looking for a polished individual with previous go to market and internationalization expertise who will be able to take the lead and act as Project Manager and Lead Consultant on the project. This is a contract opportunity that could turn into employment with our firm. About the project:Goals: The goal is to assist the foreign based technology firms with assessing the US market and defining the go to market approach in the US market. The final outcome is to develop a number of go to market insights and recommendations that can be taken action on. Deliverables:Facilitation, leadership and recommendation summary of a number of end-customer and re-seller/distributor meetings (phone and face to face meetings)Pre-meeting market analysis summary reportsPost meeting preliminary go-to-market recommendation document Working processes and approach:You will be exposed to C-suite executives to include CEO, VP Sales and Owners. We utilize a proprietary framework based on Lean Startup principles to rapidly gain actionable market insights and deliver high value recommendations.","Rapidly understand the client's business model through the Business Model Canvas framework to enable discussion of possible opportunities and challenges of going to market in the USAFacilitate, lead and summarize on phone calls and face to face meetings with highly relevant (and preferably pre-screened for interest in our client's products) End-users, Influencers, Decision makers and Financial Buyers within end-customer organizations (US based Fortune 1000's and mid-size companies). Securing good meetings is the most critical aspect of this project!Leverage an existing rolodex of contacts and find additional ways to reach target meeting prospects within US based Fortune 500 and mid-size organizationsAttention to detail: The candidate will be required to update client files and CRM Act as Trusted Advisor to Katapult Group's international clients by offering experience based advice as it relates to evaluating the market and finding the optimal go-to-market approachCreate presentations in Keynote/Powerpoint Outbound calling to secure meetingsLeveraging LinkedIn and other tools to identify and connect with relevant meeting prospects Facilitate strategy sessions with our clientsYou will be expected to Project Manage the engagement acting as Lead Consultant. You will be exposed to C-suite executives to include CEO, VP Sales and Owners and coordinate and facilitate necessary communication with said.It is vital that you've previously managed international market assessment and go-to-market projects. The perfect candidate will be a polished individual with previous go to market and internationalization expertise and with a solid understanding of what it takes to bring to market enterprise type technology products. Securing good meetings is core to delivering on this project why we are looking for a candidate with a strong rolodex of contacts across a variety of industries. ",Compensation: Compensation based on experience.This is a contract opportunity that could turn into employment with our firm. ,0,1,1,Contract,Associate,Bachelor's Degree,International Trade and Development,Consulting,0
4991,Star (Retail Associate) - Kenwood Towne Center,"US, OH, Cincinnati",Retail,,"Ready to let your star shine? Discover the career youve been dreaming about at AvaStars where… The Star Inside is YOU! At AvaStars, were dedicated to making dreams come true and creating entertainment retail that is highly personalized and aspirational. Were currently looking for “A” players to capitalize on this once in a lifetime opportunity. Our senior leadership team is known for the success of Mattel, Pokemon, Build-A-Bear Workshop, LeapFrog and Waterbabies. Join us by getting in on the “ground floor” in the development and implementation of the “next big thing” destined to change the face of retail and the way children play. AvaStars is an equal opportunity employer committed to providing a diverse environment.#URL_77edd0eb5baaf205d0de93d32fc2471370b249e83cdfaacc8c0a5f00c51b8e15#","Ready to let your star shine? Discover the career youve been dreaming about at AvaStars where…The Star Inside is YOU! At AvaStars, were dedicated to making dreams come true and creating entertainment retail that is highly personalized and aspirational. Were currently looking for “A” players to capitalize on this once in a lifetime opportunity. Our senior leadership team is known for the success of Mattel, Pokemon, Build-A-Bear Workshop, LeapFrog and Waterbabies. Join us by getting in on the “ground floor” in the development and implementation of the “next big thing” destined to change the face of retail and the way children play.Were currently looking for Part-Time Stars to join our team at the Kenwood Towne Centre.          Our Stars enjoy … Creating memorable guest experiences for children and families, not just selling products.Being part of a team that brings the vision of the company to life.Working in a fast paced environment.Creating a fun work environment for themselves and their team.Using technology.A day in the life of a Star includes … Creating and delivering stellar guest experiences.Reaching for the stars in achieving business goals and performance.Representing our core values to our guests and your AvaStars team.Following direction provided by the AvaStars leadership team.Adhering to company policies and procedures.","Our Rising Stars possess …Exceptional interpersonal skills.High energy and results oriented.Excellent communications skills.Ability to work collaboratively to achieve goals.Basic computer skills, including Microsoft Office knowledge.Must be able to work evenings, weekends and holidays as needed.Everyone has a dream. Everyone has a star inside. At AvaStars, The Star Inside is YOU!AvaStars is an equal opportunity employer committed to providing a diverse environment.", Employee discounts.,0,1,1,Part-time,Associate,High School or equivalent,Retail,Sales,0
6388,Acquire Users / Customers (Marketing & Sales),,,,"Point Nine Capital is a Berlin-based venture capital firm focused exclusively on early-stage Internet investments in areas like SaaS, marketplaces and mobile. The fund and its managers, Pawel Chudzinski and Christoph Janz, have backed a number of highly successful Internet companies such as Delivery Hero, Clio, Shiftplanning, Vend, Westwing and Zendesk from their earliest stages.If you are interested in one particular portfolio company, it is best if you check out their respective job pages or our portfolio job section. If you are just browsing, this is the right place as all our portfolio companies will have access to your application. Please choose what you are looking to do below and you will hear back if there is a fit. ",Sounds like what you are looking for? Then apply to the marketing &amp; sales talent pool and one (or multiple!) of our portfolio companies will get back to you if there is a fit. ,,,0,1,0,,,,,,0
1384,Leeds Fragrance and Beauty Promotional Staff,"GB, , Leeds",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","We are currently recruiting for an exciting Sales &amp; Customer Service role based in Leeds City Centre. We are looking for someone with a passion for Sales, beauty and fragrance who is enthusiastic and driven.The ideal candidates will have the ability to demonstrate a fresh approach to Sales and be able to work in a fast paced and versatile environment.If you are looking to build your career in Beauty, Promotional work, Sales and Customer Service apply now.The role would suit anyone with the following experience:* Great communication skills* Positive attitude* Be able to hit targets and achieve goals* Be able to work in a customer facing role* The ability to build and maintain strong relationships with clients and customers* Beauty training or make up artisty* Fragrance or cosmetic counter experienceFull product training will be available to all successful candidates.",Experience in promotional work fragrance and make up.Sales experience.,Bonuses may be given.,0,1,1,Temporary,Associate,Unspecified,Cosmetics,Sales,0
14986,Junior Freelance Designer,"GB, LND, London",Marketing,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Big Data Engineering:Qubit are currently seeking out a digital native to take our design to the next level.Youll have several years of digital pure-play experience, have lots of examples of digital design in your portfolio including websites, infographics, illustration for web, iconography and maybe a little animation too. Furthermore you'll be highly engaged with the digital design community and passionate about user experience and responsive design.What youll be doing:Youll own the design and presentation of the Qubits websiteYour aim is make ours the most admired site in the website optimization and A/B testing space, in terms of appearance, interactivity and user experienceYoull ensure that all the research and case studies produced by the rest of the team are works of artYoull be doing a range of work for our clients, showing how our web personalization solutions can be brought to life on their sitesA few more detailsAs the web continues to evolve and websites become more sophisticated, were looking to constantly evolve our website so that is it the most contemporary around. Youll be plugged into the latest trends and helping us stay a step ahead of our competitorsWere also constantly releasing new products and evolving our offer to keep up with market demands. When we release something new, youll be heavily involved with bringing it to life in the most compelling way possible.Youll be happy working with responsive design and will be used to thinking about how your designs will live across all devices.In terms of design skills, well need you to prepare things right to the point of development. Any animations, flash or parallax that youre able to create will help us develop faster and push our budget further.","What you'll need:Ideally a degree in a related fieldExceptional skills with the Adobe Creative Suite - Photoshop, Illustrator and InDesignYoull be plugged into the latest trends and helping us stay a step ahead of our competitorsYoull be happy working with responsive design and will be used to thinking about how your designs will live across all devicesIn terms of design skills, well need you to prepare things right to the point of development. Any animations, flash or parallax that youre able to create will help us develop faster and push our budget further","As well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Contract,Entry level,Bachelor's Degree,Internet,Marketing,0
12348,iOS Developer Intern,"US, FL, Gainesville",IT,,"MyTime Apps is seeking motivated people to join team. We know your time is valuable, which is why at MyTime we strive to provide the best possible working environment to enhance your knowledge and skill set. We are seeking people with a passion for technology, who want to gain experience in software design and development while working in a professional setting.Our offices are within walking distance from UF main campus, and we provide snacks, drinks, and pizza on Fridays for our team members. We are looking for elite individuals who want to share our passion and be apart of our team. At MyTime our college-friendly work environment is fueled by collaboration and openness to new ideas.","MyTime Apps is seeking motivated interns that have experience with iOS application development to join our development team. We know your time is valuable, which is why at MyTime we strive to provide the best possible working environment to enhance your knowledge and skill set. We are seeking people with a passion for technology, who want to gain experience in software design and development while working in a professional setting.",Requirements:* Proficiency in Objective C.* Some experience with iOS application development.* Passion for implementing beautiful user interfaces.* Experience using iterative approach to building software.* Expert ability to get things done efficiently within a team.* High attention to detail.* Ensure overall quality of implementation and support features during testing/bug fixing phase of the software development lifecycle.Preferred:* Expert knowledge of Objective C.* Strong experience with iOS application development.* Strong Command of Object Oriented Programming.* Experience building mobile applications.* Knowledge of HTML5.* Knowledge of iOS UI frameworks.,,0,1,1,Part-time,Internship,,Information Technology and Services,Information Technology,0
13652,English Teacher Abroad ,"US, GA, Atlanta",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
6011,Data Sheet Technical Writer,"US, TX, The Woodlands",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","We have a great contract role for Data Sheet Technical Writer. This project is expected to last from 6 weeks to 2 months.Duties include:Researching, developing, and writing content for datasheets for chemical company.May need to develop and write content for manuals, documentation, and supporting materials.Gathering and researching technical information for use in content development activities.Reviewing, editing, and proofreading materials written by others.Functioning as safety manager for production lab; managing waste disposal operating procedures.","Prior experience with oilfield chemicals.Experience writing GHS, OSHA Hazcom &amp; FIFRA compliant SDS.Experience with Transportation classifications for DOT, IMDG, and IATA.Experience with GHS, NFPA, and HMIS hazard classifications.Experience with data required on SDS ( NTP, IARC, P65, ACGIH, Acute Tox,  Ecological tox, etc).Experience with GHS labeling.",,0,1,1,Contract,,,Oil & Energy,Writing/Editing,0
14162,Web Designer - Netlog Arabic site (Dubai based),,,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"After gathering 7 million members in our Arab community over the last 2 years, Netlog is taking things to a next level in the MENA region!We aim to further develop our success story in the region by starting local operations and build a much more focussed and localized product offering for our Arab users. In this regard, a key position we want to fill is a Web Designer.Do you live and breathe HTML5, CSS3 and usability?    You like your markup to be POSH?    Are you always test driving the latest browser nightlies and you know your way around in Javascript libraries?    Do you thrive in an agile and fast-paced development atmosphere? Do the words excellence, passion and respect dominate your tag cloud?    Does your all-seeing eye have the same sensitivity when it scans Right-to-Left and Left-to-Right?If you answered ""YES!!"" to all of these questions a job as Web Designer at Netlog is your thing.Apply now and become part of a young &amp; dynamic team that builds the foundation of our social community!",,,0,1,1,,,,,,0
1614,Auditor,"US, CA, Los Angeles",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)The Senior Accountant will contribute to and/or lead client engagements and will supervise the field work of junior staff accountants on assurance &amp; audit related initiatives. Client engagements will vary in size, scope and industry and may include the involvement of managers and partners.Job Requirements:A strong desire for continuous learning and career advancementAbility to travel regionally for client engagements as neededExperience working with clients from a variety of industriesQualifications Required:Bachelors degree in Accounting or FinanceCPA certification or JD requiredJob Responsibilities:BudgetingSEC FilingsAnnual ReportsJob Title: Jr. Auditor - 3 years accounting experience - Cincinnati, OHJob Location: Job is in Cincinnati, OHVisit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,Accounting,,0
13627,Customer Support & Operations Technician,"CA, BC, Vancouver",,,"Keycafe is the leading key exchange solution for Airbnb hosts, property managers and real estate agents.  We have over 100 cafe partners in Vancouver, New York, and San Francisco and are adding new cafes every week.  Keycafe allows anyone to share their keys securely and conveniently and is empowering the sharing economy.  Keycafe is headquartered in Gastown.  We are growing our team rapidly and can offer growth opportunities to individuals with a passion for business and technology.  At this stage, every team member plays a critical role in the company.  We are looking for candidates who are committed to excellence and excited about the potential for our technology.","Come be part of a team that will shape and influence the future of the sharing economy.  This a twofold position that comprises customer support and product operations.The majority of your time will be spent as a support representative, in which you will help customers with general questions and resolve service issues by telephone and email from our Vancouver head office.You will secondarily receive and send shipments, monitor inventory and assemble products.This is a demanding role that is essential to the success of this rapidly-expanding company.  We are looking for someone with strong customer service skills and a desire to help build something new.","Individuals interested in this position should have practical experience in customer support and genuinely enjoy helping people.Ability to solve customer problems and answer product questions remotelyStrong problem solving and technical troubleshooting skillsTech and web savvyExcellent communication and organization skillsYou can provide on-call support some evenings and weekendsBonus assets:Prior experience with email or phone-based customer support for a web applicationExperience with Airbnb, working in a cafe, or property managementPost-secondary degree, especially in a related field ",,0,1,0,,Entry level,Bachelor's Degree,Information Technology and Services,Customer Service,0
10113,Subsea Process Plant Layout Designer,"US, TX, Houston",,,"Aker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.","Corporate overviewAker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.We are looking for individuals who are prepared to take a position. Not only a position within Aker Solutions, but also a position on the exciting challenges the global oil and gas industry faces now and in the futureWe are looking for individuals who are prepared to take a position. Not only a position within Aker Solutions, but also a position on the exciting challenges the global oil and gas industry faces now and in the future. Aker Solutions is a world leading supplier of subsea pumping, compression, processing and power distribution systems for the subsea oil and gas industry. Currently we are realising the Åsgard Subsea Compression System ready to start up in 2015. We see a development of market opportunities, where even more processing systems are moved subsea establishing the future subsea factory. Aker Solutions will split into two companies, one that will retain the Aker Solutions name and will focus on the subsea business and field design and a new oil-services investment company named Akastor consisting of six businesses that will be run independently.Aker SolCorporate overviewAker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.We are looking for individuals who are prepared to take a position. Not only a position within Aker Solutions, but also a position on the exciting challenges the global oil and gas industry faces now and in the futureWe are looking for individuals who are prepared to take a position. Not only a position within Aker Solutions, but also a position on the exciting challenges the global oil and gas industry faces now and in the future. Aker Solutions is a world leading supplier of subsea pumping, compression, processing and power distribution systems for the subsea oil and gas industry. Currently we are realising the Åsgard Subsea Compression System ready to start up in 2015. We see a development of market opportunities, where even more processing systems are moved subsea establishing the future subsea factory. Aker Solutions will split into two companies, one that will retain the Aker Solutions name and will focus on the subsea business and field design and a new oil-services investment company named Akastor consisting of six businesses that will be run independently.Aker Solutions subsea power and process unit is continuously improving solutions realising the subsea factory. We are looking for highly motivated and creative engineers capable of shaping this future.We have several open positions at Houston, TX within subsea processing - separation, compression, and power systems. We need you to join the team in developing subsea processing solutions including separation, compression, flow assurance and pumps.Responsibilities and tasks • Take lead and be a driving force within Subsea Factory technology and concept developments from idea to market.• Lead the subsea process plant discipline in projects and studies. • Develop conceptual subsea process system layout and modularisation philosophy in projects and studies. • Present and promote subsea process plant layout solutions internally and in customer meetings.","Qualifications &amp; personal attributesQualifications:• Preferably subsea processing layout experience. • Understanding the drivers for subsea process system modularisation philosophy.• Understanding of subsea process system requirements impact on subsea process station layout.• Understanding and experience with subsea mechanical design requirements.• Experience with Solid works. • Master of Science or corresponding education level.• Oil &amp; gas experience (5-8 years) within subsea or offshore industry, preferable within processing. Candidates with less experience but with strong qualifications may be evaluated.Personal qualities:• Ability and drive to improve existing and explore new solutions. • Creative, open minded, innovative and conceptual mindset. • Result orientated. • Ability to turn around quick and work under pressure and on own initiative.• Team player but also self-managed, independent and pro-active.","We offer• Friendly colleagues in an industry with a bright future.• An environment where you are encouraged to develop your skills and share your knowledge with your colleagues.• Competitive benefits and strong focus on work-life balance.• A good and creative working environment in a technical driven organisation.• Interesting and challenging work tasks in one of the leading subsea technology companies worldwide.• Good career development opportunities nationally and internationally.• An expansive company under constant development. • Good personnel and pension insurances and welfare benefits for all employees.utions subsea power and process unit is continuously improving solutions realising the subsea factory. We are looking for highly motivated and creative engineers capable of shaping this future.We have several open positions at Houston, TX within subsea processing - separation, compression, and power systems. We need you to join the team in developing subsea processing solutions including separation, compression, flow assurance and pumps.Responsibilities and tasks • Take lead and be a driving force within Subsea Factory technology and concept developments from idea to market.• Lead the subsea process plant discipline in projects and studies. • Develop conceptual subsea process system layout and modularisation philosophy in projects and studies. • Present and promote subsea process plant layout solutions internally and in customer meetings.• Friendly colleagues in an industry with a bright future.• An environment where you are encouraged to develop your skills and share your knowledge with your colleagues.• Competitive benefits and strong focus on work-life balance.• A good and creative working environment in a technical driven organisation.• Interesting and challenging work tasks in one of the leading subsea technology companies worldwide.• Good career development opportunities nationally and internationally.• An expansive company under constant development. • Good personnel and pension insurances and welfare benefits for all employees.",0,1,0,Full-time,,,Oil & Energy,Engineering,1
10841, Senior PHP Developer,"DE, BY, München",tech,,hello worldtalents23_ drives the change in digital recruitment and develops the best quality filters for the selection of top talents.,"We are one of the worlds fastest growing eCommerce companies: Backed by well-known investors with over EUR 150 mn. in funding, we are the leading eCommerce company in the 11 countries we serve. Every day, we inspire more than 13 mn. members with stylish products and accessories from well-known brands they love. In 2013, we surpassed EUR 110 mn. in annual sales and continue to grow rapidly.Our international team is the secret to our success. We balance style expertise and creativity with a deeply data-driven business mindset. We are proud to be working with inspiring colleagues who are smart, fun, ambitious and looking for the challenge to transform an industry.Do you think this could be you? To pursue our ambitious expansion strategy, we are looking for a Senior PHP Developer (m/f). As a senior developer, you will design and develop complex web applications and modules for our ecommerce platform. Furthermore you will optimize our platform for scalability during peak traffic hours. Adhering to agile SW-development methodologies you will also ensure code quality and enforce best practices by communicating and sharing them to team members.Keywords:PHP 5 OOP, Zend Framework, Yii framework, MVCHTML5, CSS, Sass, jQuery, ExtJS, AjaxPHPUnit, PHP CodeSniffer, phpDoc, PHP Depend, PHPMD, SeleniumREST, JSON, SOAPDebian, Apache, Nginx, MySQL, Memcache, SolrGit, SVN","You come with:You are familiar with most of the keywords described aboveYou successfully finished your academic studies in the field of computer sciences or similarYou have several years of experience in development with PHP5 OOP (Zend Framework experience is a plus, Yii, Symfony, CakePHP and CodeIgniter are also valued)You are experienced with MySQLYou are proactive, goal-oriented, reliable and a have a self-structured way of workingYou are fluent in English",Everyday use of modern development tools/frameworksHigh degrees of responsibility and autonomyGreat opportunities for personal and professional growthAttractive working environment in a dynamically growing E-Commerce sector involving steep training curves and flat command structure,0,1,0,Full-time,Entry level,Bachelor's Degree,,,0
11067,Customer Success Manager - Conferences i/o,"US, IL, Chicago",Conferences i/o,30000-30000,"The story of the Great Chicago Fire of 1871 isnt really about the fire. Its about what happened next: A remarkable moment when the most brilliant engineers, architects and inventors came together to build a new city. Their innovations  born of passion and practical ingenuity  shaped not just Chicago, but the modern world. What started 140 years ago continues to this day.Chicagos brightest digital designers, engineers and entrepreneurs are shaping new technologies, disrupting old business models, and resetting the boundaries of whats possible. If that sounds like you, join us.Come to a place where you can share ideas, make mistakes, work hard, build your business and, with a little luck, change the world.Welcome to 1871.From photo-editing apps to interactive healthcare programs, 1871 has a member company that fits your interests and expertise! Whether youre a designer, a marketing expert, or software developer, we have a startup looking for you. Each company is looking for a way to innovate their industry and is looking to do so in creative and original ways. We are looking to match you with one of our amazing member companies based on the information you provide to us and their current needs. If you believe that you have the skills and vision to help digital startups get their start, 1871 is the right place for you!","About Conferences i/oConferences i/o is a web­-based audience response system that improves audience participation and engagement at events / meetings. Conferences i/o allows attendees to interact with presenters in real-­time via Q&amp;A, Polling, and Survey features, all from their mobile device (smartphone, tablet, etc.).Our technology has been used by over 200,000 presenters and attendees across 100 different countries around the world. We were the winners of the 2011 Chicago Lean­ Startup Challenge, and recently named one of the “Top 5 Coolest Startups in Chicago” by the Chicagoland Entrepreneurial Center.The RoleThe Customer Success Manager will play a crucial role to our success as the lead support person for any new, existing, and potential customers. The event industry is fast paced and exciting but is also high pressure. Our customers spend countless hours planning the execution of an event and our product is often just one of many moving parts involved. It is crucial that we make the experience as easy, painless, and flawless as possible...The Customer Success Manager is responsible for making that happen.Responsibilities include:● Provide new customer onboard training● Answer support emails / calls● Develop and implement best practices for customer events● Track and coordinate the success of hundreds of events per year● Maintain company blog and newsletter campaigns● Manage social media presence","Requirements:● Bachelors Degree● Friendly, outgoing personality● Outstanding communication skills ­­ both verbal and written● Highly organized● A passion for building relationships and making customers happy● Ability to learn our product inside and out● Graphic design experience / skill is a plus",Benefits● Bonus potential● Medical &amp; Dental Healthcare Contributions (optional)● Access to 1871 coworking space ­­ located on the 12th floor of the Merchandise Mart● Flexibility to work from home,0,1,0,Full-time,Entry level,Bachelor's Degree,Information Technology and Services,Customer Service,0
1433,Software Developer,"US, CA, Palo Alto",,,"Declara is focused on bringing data to life. Our technology platform uses machine learning, search, algorithms and recommendations to develop learning paths for individuals, making learning a constant discovery.Learning isn't just our product, it's what we do everyday. Work with a small focused team. You'll push and be pushed. Tackle the toughest technical challenges as you create products that can transform organizations across the globe. Do something meaningful. We're changing how the world nurtures and develops talent. We are located in Palo Alto, Boise and Mexico.",Do you love building great products? Declara is hiring a Software Developer to handle a broad range of responsibilities related to the development of our products.,"Good to strong understand of data scalability techniques.Strong experience using and developing on an open source stack (Apache, Nginx, Python, Linux).Strong experience in a typed language (C, C++, Java) and solid analytical, debugging and problem solving skills.Good to strong experience in a dynamic language (i.e., Python, PHP, #URL_83ae520386ded6258d877a9fff0b0a63b8809ec57eb94d5aa6ae885521c53c5e#, …)Strong RDBS experience (MySQL, PostgreSQL, etc.) and understanding of advanced query design and optimization.Strong experience with the software development experience in full product cycle: design, development, release and maintenanceYou learn fast, and dive into new things with enthusiasm.You love writing code, and want to work with and develop others that do too.You will engineer new application features and the data processing to support them designing scalable, efficient data storage and retrieval systemsYou can write scalable and maintainable code with tests to prove itBONUS POINTSExperience with AWS and Boto in a production environment..Experience with ElasticSearch in a production environment.Experience delivering video over the web.Gamification, Badging, alternative credentialing within education makes sense to you.Youve helped scale a high-traffic website.You love data — you want to measure everything, and have a nose for what questions we should be asking to get the data we needYoure experienced with performance, ops, systems, or networkYou have a Math-y background, eg. working knowledge of statistics or machine learningFamiliar with NoSQL data stores",,0,1,1,Full-time,,,,,0
8278,Internship in Content Team ,"GR, I, Athens",,,"#URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7# is a modern online travel agency that was founded in Athens in 2005. Today, our company is one of the leading online travel agencies in Greece with presence in over 25 countries in Europe, Asia and America; offices in Athens, Bucharest and Sao Paulo.","As a Content Trainee, you will join our Content Team and you will be responsible to update and maintain hotel content on our website.Responsibilities-       Manage and update our websites hotel content.-       Optimize existing and assist in creating new information for our websites hotel section.-       Conduct mapping of hotels across multiple regions.",-       Degree in Marketing/Communication or Tourism would be considered an asset (Priority will be given to students from TEI/IEK/Colleges). -       Fluency in English.-       Excellent computer skills.Skills-       Creative writing skills will be considered an asset.-       Team spirit and excellent communication skills.-       Passionate about online technology and geography.-       Desire for continuous learning and skills improvement.,"-       Remuneration Package based on payment regulations of internship.-       Work in an international, dynamic and fun atmosphere among young and smart people.-       Huge learning experience in using best practices and cutting-edge technologies.-       Challenging marketing projects in an interesting and complex travel industry. ",0,1,1,,,,,,0
11837,New Product Development Project Leader,"US, GA, Atlanta",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500 Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Location - Atlanta, GA, USAJob Type  PermanentJob Requirements &amp; Responsibilities SPECIFIC RESPONSIBILITIESCoordination and project management of New Product Development projects:Act as team leader and perform project management duties on New Product Development projects by leading cross functional teams through a defined and gated NPD process.Lead cross functional teams through the development of a project timeline and maintain it throughout the life of the project. Periodically present the status of the project to management.Facilitate and coordinate project activities to minimize project timelines.Assign to alternate members find alternative resources or personally complete project tasks for team members who are absent or unable to complete tasks per the timeline.Develop and manage the project budget with the team including development expense capital tooling and expense tooling.Guide the team to develop new products that meet cost targets. Primarily responsible for creating preliminary product cost estimates in conjunction with engineering. Primarily responsible for the accuracy of preliminary cost estimates and final costing of products.Define roles &amp; responsibilities for team members in undefined processes when new processes are created or unique instances occur.Manage the collection of project data for NPD process gate exits and release for shipment documents.Facilitate team meetings and record meeting minutes.Connect members to appropriate personnel including manufacturing test labs legal etc.Drive the team manufacturing personnel and supply chain towards on time completion of the pilot build and inventory build.EDUCATION AND EXPERIENCE REQUIREMENTSBachelor of Science degree in Engineering (or other applicable four year degree) and a minimum of eight years of experience in project management product design process engineering or manufacturing engineering. Alternately fifteen years of experience and proven ability in related field or work environment. Experience in managing projects working in cross-functional team environment and/or functioning as project team leader required. Strong organizational and communication skills required.Visit: #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,,,0
8760,Web Designer - Netlog,,,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"Do you live and breathe HTML5, CSS3 and usability?You like your markup to be POSH?Are you always test driving the latest browser nightlies and you know your way around in Javascript libraries?Do you thrive in an agile and fast-paced development atmosphere?Do the words excellence, passion and respect dominate your tag cloud?If you answered ""YES!!"" to all of these questions a job as Web Designer at Netlog is your thing. Apply now and become part of a young &amp; dynamic team that builds the foundation of our social community!",,,0,1,1,,,,,,0
951,Sales / Customer Service,"US, TX, Dallas",Sales,,"Across USA Moving &amp; Storage has run a family owned and operated moving company since 2006. As an experienced moving company, we offer professional, reliable and friendly moving and relocation services for both local &amp; long distance moves. Our professional moving services include loading and unloading of the goods, wrapping all furniture with protective moving pads, disassembly and reassembly of all basic furniture, fully equipped moving trucks and climate-controlled storage.We are expanding our business and I am looking for reliable Sales Professionals to join my team.  We offer a compensation package that includes base pay, commission, and performance bonuses.  We work in a fun and relaxed office environment and are looking foward to another amazing year of growth.  I am looking to hire immediately so apply today!","We are a locally owned and operated Moving and Storage company and are currently seeking a sales professional to join our team. We have been doing business for over 6 years. We provide relocation services for local, interstate, and international moves.We maintain a fun, professional, relaxed work environment.","-Excellent verbal and written communication skills-Strong computer, internet, and office equipment knowledge-Background in office &amp; administration, customer service, or a working knowledge of the moving industry-Must be professional, people friendly and a positive team player-Self-starter and highly motivated-Reliable, honest and hard-working-Strong organization skills-Must be able to work in a fast-paced environment-Ability to work Full Time",Benefits available after 90 days,0,1,1,Full-time,Associate,High School or equivalent,,Sales,0
7273,Amazon Web Services (AWS) Administrator,"GR, I, Athens",,,"Hellas Direct is a new insurance company founded in 2011 with the view of changing the way car insurance companies service their clients. We embrace transparency in everything we do and we believe that the Greek consumer deserves a better customer experience.The phrase 'Rethink Insurance' captures the essence of why we exist as a company. Our mission is to change the Greek insurance market, one policy at a time. To do so, we must challenge all assumptions and revisit the way things are currently being done. We are starting with a blank piece of paper and - with the help and support of our strategic partners - we want to redefine what customer service really means. We invite you to join us in our journey and to get to know us along the way.Hellas Direct is the trade name of HD Insurance Ltd, a Cyprus non-life insurance company. HD Insurance Ltd is regulated by the Cyprus Superintendent of Insurance and authorised by the Bank of Greece to carry out insurance business in the Hellenic Republic.","Role ContextHellas Direct (HD) is a well-funded insurance start-up that is replicating the direct-to-consumer motor insurance model in Greece.Having analysed and evaluated 65+ off-the-shelf software systems, HD has decided that the best systems procurement strategy is to develop its own systems in-house.HD already has an excellent in-house development team and the systems needed to support HDs innovative product offering and customer service have already been up and running (with all the basics that were needed to launch a direct-to-consumer on-line motor insurance sales website) since the 6th August 2012 with 99.97% availability.HD now requires an experienced and knowledgeable AWS and Linux systems administrator to maintain and manage the companys AWS infrastructure, all Amazon Linux-based servers and all associated infrastructure (e.g. VPC, EBS volumes, AIM, Security Groups, and so on).Role DetailThe AWS Administrator will join the Technology Team and will work closely with the development team to ensure that all AWS-based systems that the rest of the HD team depends on to carry out their duties are working optimally with the availability levels set by the business.Some of the activities that will need to be performed and/or managed include (but not limited to):Managing all AWS services HD uses;Managing all HD AWS Linux instances;Managing all VPN connections between Amazon VPC and all other HD locations in Cyprus, Athens and London;Maintaining all bash shell scripts that automate many processes such as instance setup, software deployment, backups, and so on;Ensuring all instances and data are regularly backed-up and that data integrity is guaranteed;Working closely with the development team to ensure that AWS changes necessitated by enhancements to HDs websites are performed and tested in a timely manner.","Education and Work ExperienceAt a minimum an excellent (2:1 or higher) undergraduate degree. A technical BEng/BSc/BA degree in a Computing or Engineering based discipline is preferred but not required if substantial experience in the activities described in Role Detail above can be demonstrated;A minimum of 5 years of hands-on experience in Linux-based Systems Administration;Some demonstrable experience of AWS and AWS instances administration.Essential skills and hands-on experienceFluent in spoken and written Greek and English;Self-starter, with demonstrable ability to take initiative and work without detailed guidance;Structured problem-solving and analysis;Excellent interpersonal skills including the ability to identify and challenge assumptions intelligently and critically without causing offence;Strong interest in and deep knowledge and understanding of IT;Deep demonstrable knowledge and experience of bash shell scripting;Demonstrable experience in networking technologies and related AWS networking;Demonstrable experience in managing AWS Linux virtual machines (AWS instances);Demonstrable experience in managing a non-trivial VPC;Demonstrable experience of ensuring data security and integrity (backups etc.).Desired (but not required) skills and hands-on experienceSophos UTM;Apache httpd (basic administration as well as advanced configuration);Apache tomcat (basic administration as well as advanced configuration);Google mod_pagespeed (basic administration as well as advanced configuration);Management and installation of SSL Certificates.","Very competitive salary depending on skill level and experience.As this is very much a “start-up environment”, it provides an opportunity for successful applicants to be more directly involved in the general shaping and direction of the AWS infrastructure of the company, to experience a well-structured agile development environment, pick up some useful general business skills and to interact with end-users directly.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Insurance,Information Technology,0
9832,Plant Operator,"US, CA, Bakersfield",Oil & Energy,55000-68000,"Macpherson Oil and Refined Resources have partnered up in an effort to streamline the hiring process and provide a more efficient and effective recruitng model.  Our focus is to help develop and achieve your career goals while makeing a solid geographical, cultural and professional fiit when leveraging your career into your new and exciting professional venture!  Please keep all communications directed towards the Human Resources department within Refined Resources (#URL_80d75e0d07ca8b108539318a0443bfe5d1ff472afa0c4540b77079c5d5f31eee#) #EMAIL_0b13a2cfd4718ce252c09b2353d692a73bd32552e922c5db6cad5fb7e9a2c6c3#Darren Lawson | VP of Recruiting | Refined  Resources | #EMAIL_395225df8eed70288fc67310349d63d49d5f2ca6bc14dbb5dcbf9296069ad88c# | #PHONE_70128aad0c118273b0c2198a08d528591b932924e165b6a8d1272a6f9e2763d1#"," Qualified candidates are encouraged to apply directly to this job posting.  Direct email and phone calls are not being considered.  Thank you for your cooperation.  Please no recruiters. JOB DESCRIPTIONWe are adding a Plant Operator to our client's Bakersfield Operational team.  Primary job duties include providing operational, technical, troubleshooting, and surveillance support for all producing oil wells, oil treating, , water softening/disposal, steam generation facilities and CVR systems for oil field operations located near Bakersfield CaliforniaA typical day on the job will most likely entail the following duties:Dehydrate produced oil too sub 3% BS&amp;W. Identifying production process problems and taking safe corrective actions in order to meet business targets and HSE goals. Assist in the preparation for repair, installation and maintenance of typical oilfield production. Create job plans and execute jobs safely and on time. Experience in operation/trouble-shooting of typical oilfield production equipment and systems. Knowledge of safety procedures and practices for working in a hydrocarbon environment. Strong communication skills with the ability to accomplish assigned tasks within a reasonable time frame. Effective interpersonal and strong team work skills. Manage maintenance crews and contractors when building, fixing equipment on location. Noticing problems or potential problems, diagnosing and developing plan to fix problem. Diverting flow and isolating lines for equipment tie in or maintenance. Enforcing safety policies. Writing permits to allow safe work. Maintain steam and water flood targets. Softening and cleaning produced water before sending to steam generators. Functional computer and software skills to include MS Office Suite (Especially Excel) and SCADA. Collecting plant readings and producing daily/weekly reports.Standard Duties/Responsibilities:•Operate oil treating, water treating/disposal, steam generation and distribution, vapor recovery facilities.•Operate oil wells, AWT and well testing tanks, vapor recovery system.•Monitor safe operations utilizing the SCADA systems and onsite surveillance. This includes taking readings, testing fluids and piping and valve alignment reviews and adjustments.•Insure compliance with all company and government safety, environmental and health rules and regulations. ","Required Qualifications:•2-5 years Oil treating, water plant and Steam Generator experience, preferred.•Good understanding of oilfield production and process equipment.•Good communication, organizational, and interpersonal skills.•HS Diploma or equivalent or greater.  Any relevant, formal training or certifications a plus.","What is being offered: World renowned leadershipOpportunity for advancement (quickly as the company is undergoing significant growth)Annual raises and performance reviews Annual base increase, percentage above cost of living increaseFull Benefits Package Retirement Fund matched 100% by the company Great culture and working environment Significant bonus structure Qualified candidates are encouraged to apply directly to this job posting.  Direct email and phone calls are not being considered.  Thank you for your cooperation.  Please no recruiters.                                                                                        ",0,1,1,Full-time,Mid-Senior level,High School or equivalent,Oil & Energy,Other,1
8896,INSTALLERS NEEDED,"US, MN, MINNEAPOLIS ",,,,"We are seeking motivated individuals ready to install gutter protectors.Must have a good understanding of a home's exterior. We can train you to install in the field today!!You will start making money IMMEDIATELY.In order to qualify you need to have the following:- A good work truck.- A good set of tools.- A set of ladders in different lengths.- A professional demeanor.- Good communication skills.- We provide all materials!Training class start tomorrow...We offer full Medical, Vision and Dental benefits.***1099 contractor***We pay for hotels if travel is needed.We pay your mileage",,,0,0,0,,,,,,0
6844,Hotel Night Auditor,"US, VA, Harrisonburg",Front Desk,,"Quality accommodations, exceptional service, memorable destinations, Beck Company Hotels has been committed to excellence in hospitality since its founding over 40 years ago. Beck Company Hotels is proud to be affiliated with several of the largest hotel chains in the world. Many of our hotels and restaurants have been recognized and awarded achievements by their brands and the local community. Throughout the years, Beck Company Hotels has enjoyed this success by providing outstanding service to our loyal customers and guests.  The Beck Company philosophy and values are based on creating results-oriented workplace culture that is diverse, family-oriented and supportive.  Beck Company associates have tremendous opportunities for cross-property/ brand training, transfers, and career advancement that include branded hotels with Marriott, Hilton, InterContinental Hotels, and Choice.   The many benefits of a career with Beck Company include:A Family CultureCompetitive WagesTrainingCareer development and advancement opportunitiesHealth Insurance and welfare benefits401(k) retirement program with employer matchTravel benefitsHolidays and paid leaveService award programsPart-time employment benefitsBonus ProgramsVIEW JOB OPPORTUNITIES#URL_e1a28cd6379dc31fbf7b91eb94b30b495117df2904fdbe4ba0c1d3050248eba0# Learn More About our Company#URL_bada1d1a369e063f416b1503c6916fc7019047c0cfe635b495482a72c20fa947#1323 Jamestown Rd, Ste 101,  Williamsburg VA 23185 Phone : (757) 565-4100 Fax: (757) 777-9812The Beck Company Portfolio of HotelsMarriottFairfield Inn &amp; Suites South Hill, VA 23970HiltonHampton Inn Emporia, VAHampton Inn South, Harrisonburg, VAHampton Inn University, Harrisonburg, VAIntercontinental Hotel GroupHoliday Inn Express, Newport News, VAHoliday Inn &amp; Suites, Newport News, VACandlewood Suites, Harrisonburg, VAChoice Hotels InternationalSleep Inn &amp; Suites, Harrisonburg, VAIndependentPoint Plaza &amp; Suites, Newport News VAMulberry Inn, Newport News, VA","Responsible for the promotion of a safe and professional workplace through adhering to policies and procedures as outlined in the Beck Company handbook.&nbsp;Welcomes guest by greeting, answering questions, responding to requests.&nbsp;Registers guest by obtaining or confirming room requirements, verifying pre-registration, assigning room, obtaining information and signatures, issuing guest room keys.&nbsp;Conveys information to guest by receiving and transmitting messages, mail, facsimiles, packages, etc.&nbsp;Collects revenue by entering services and charges, computing bill, obtaining payment.&nbsp;Makes hotel and other reservations by entering or telephoning requirements, checking availability, confirming requirements.&nbsp;Reconcile pay-per-view movie and game system with property management system.&nbsp;Print appropriate reports and distribute to management.&nbsp;Print express check out folios to be distributed by security to departures.&nbsp;Completion of Manager on Duty training.&nbsp;Assist accounting in the completion of researching any out of balance amounts each night.&nbsp;Batch credit cards and gift cards; research variance each night.&nbsp;Ensure operating systems (OPERA) balance each night.&nbsp;Research any balances in PI accounts nightly and check them in and out each night.&nbsp;Organize credit card receipts by type nightly.&nbsp;Participates fully in Beck Company, our company-wide environmental sustainability initiative, which includes, but is not limited to, recycling, waste reduction, and energy and water conservation.",Previous hotel and OPERA experience would be preferred.&nbsp;Must be flexible regarding scheduling as it is based on business demands.&nbsp;Successful completion of criminal background check and drug screen.&nbsp;PHYSICAL REQUIREMENTS:&nbsp;Lift up to 10 lbs.&nbsp;Sit and/stand for long periods of time.&nbsp;Work 3rd shift-must be flexible regarding scheduling as it is based on business demands.&nbsp;,,0,1,1,,,,,,0
7772,Angular.js Frontend Engineer,"DE, BE, Berlin",,,,"Avuba is looking for its lead front-end developer to craft the most sophisticated application interface banking has ever seen. Our developers are passionate about delivering a state of the art solution and strive to push the envelope of whats possible in a mobile application. We look for individuals with strong design sensibilities and an in-depth know-how of #URL_9078e8368d89dcdbf1ed26064be556e5b47144133bf647a7deaa954f8ec0bdb4#, Phonegap, HTML5 APIs, SASS and Grunt, so you can take lead on front-end software at Avuba and European banking. Youll be one of the first 10 team members to join. Your contribution shapes the future of the company and product and we make sure youre rewarded for it.Starting time: Today!","Good tasteCoding is a passion, not a professionYou have a min. of 5 years of experience writing code, professional or otherwiseExpert knowledge of JavaScript and HTML5 APIs, proven experience in developing, deploying and maintaining non-trivial #URL_9078e8368d89dcdbf1ed26064be556e5b47144133bf647a7deaa954f8ec0bdb4# applicationsA passion for speed and scalability as well as a strong attitude to build well structured code with high test coverage (Karma, Jasmine)Familiarity with the most common #URL_9078e8368d89dcdbf1ed26064be556e5b47144133bf647a7deaa954f8ec0bdb4# modules and Javascript libraries (jQuery, #URL_7582fd295c5720d299b5a5db4f327d238b5129c6ab4dc29e8b0178299fca9775#, etc.) and experience in writing and packaging your ownYou're used to working in small and agile teams, enjoy responsibility and know how to get stuff doneYou're always informed about the newest technologies to stay ahead of the gameBackend experience (#URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#, MongoDB) and native (iOS, Android) experience is a plus",A CEO that can code!Be part of Berlin - the most vibrant &amp; creative Tech-Hub in EuropeInspiring working environment at the Rainmaking Loft.Bring your dogFree drinks (super pro coffee maschine ;)Home cooked team lunch once a week24 days paid leaveConference ticketsStock options,0,0,1,Full-time,Mid-Senior level,Master's Degree,Banking,Engineering,0
1138,3 Full-Time Caregivers for an Independent and Compassionate Woman ,"US, OR, Portland",,,"United Cerebral Palsy of Oregon &amp; SW Washington (UCP) supports adults with all kinds of developmental disabilities (not just cerebral palsy), so that they can live the life of their dreams.We're growing, so you will see lots of exciting job openings in the next few months!WHY WORK FOR UCP?As an employee of UCP, you'll be proud of the work you do, every day! Our employees assist the people we support to live independently in their own homes and apartments, find and keep their dream jobs, make community connections, serve as their own advocates, and make their own choices. You'll get the chance to work for one of the 100 Best Non-Profit Employers in Oregon. We're fun and flexible, and there's plenty of room for advancement and growth.","Are you looking for a full-time job where you get to make a difference every day? Would you like to work for a thriving non-profit that was recently ranked as one of the 100 Best Non-Profit employers in Oregon?Then United Cerebral Palsy (UCP) might have the perfect position for you! We are currently seeking 3 caregivers (“Personal Assistants”) for an adult woman who experiences developmental disabilities and lives in her own apartment near the Gateway/Mall 205 neighborhood.She is a sweet and easygoing person with a good sense of humor. She enjoys spending time at home, hanging out with her pets (a cat and a dog), and listening to rock n roll or the blues.She will work best with people who like a quiet pace, and who are laidback, patient, and confident in the care they provide. These are overnight positions, but you will be able to sleep through the night on most occasions. HOURS: Position 1 Hours (42 Hours Total):Monday, Tuesday, and Wednesday overnights (starting at 6pm and working through 8am the next day).Work your regular hours on agency holidays.Position 2 Hours (40 Hours Total):6pm on Thursday through 10am on Friday.6pm on Friday through 6pm on Saturday.Work your regular hours on agency holidays. Position 3 Hours (40 Hours Total):6pm on Saturday through 10am on Monday.Work your regular hours on agency holidays.DUTIES:Do chores such as cooking, cleaning, doing laundry, and running errands.Administer medications and monitor on-going health concerns.Using a Hoyer lift, assist with bathing, dressing and toileting.Assist her to deal with her anxiety.Build a relationship as she pursues her interests, such as watching basketball games and TV shows about animals, or listening to music. TO APPLY: Apply by sending a resume and cover letter via the link provided. UCP is an equal opportunity employer, and actively seeks applicants from diverse backgrounds.No calls, please.","Be at least 18 years old.Have a minimum of a high school diploma or GED.Must be able to pass a physical with a lifting test of 75lbs, drug screen, reference check, and criminal history background check.Experience in mental health or developmental disability field preferred but not required.","$9.47/hour, 5% increase to $9.95/hour after successful 90-day Trial Service Period.Full medical benefits (including medical, vision and alternative care) for employee, spouse/domestic partner and dependents after 90 days.Paid time off.401k plan with company match.Holiday pay.",0,1,1,Full-time,Entry level,High School or equivalent,Civic & Social Organization,Health Care Provider,0
11635,Quality Assurance Specialist,"NZ, N, Auckland CBD",,,"CallCentre People Recruitment is recognised as being specialists within the CallCentre industry.  We provide permanent, temporary, contract and management staff for a number of large national and multi-national businesses in various industries.","Our client is New Zealands largest privately owned and out sourced call centre. They are looking for an experienced Quality Assurance specialist to join their company and work on the Insurance team. This position is for maternity leave cover with the view of going long term.The Quality Assurance Specialist is responsible for all activities involving quality assurance, compliance and complaint investigation with applicable regulatory requirements. The role will analyse call conversations, data, and documentation to ensure that all products/controls/processes are in accordance with the company's and clients quality assurance program. ACCOUNTABILITIESResponsible for the daily monitoring, reporting, and strict adherence to a quality assurance programIndependently, retrieves reviews and analyses call conversations and data to ensure compliance to internal policies, client needs and governmental regulationsRegularly participates and/or conducts calibration sessions (internal / external) in order to identify differences between established standards and actual practices. Collects data and provides written documentation, call recordings and is able to verbally support and communicate any concerns/issues/exceptions identifiedIdentifies barriers and root causes of QA issues and performance gaps.  Provides recommendations/solutions to issues as identified.  Suggests modifications to processes/procedures to conform to standards/expectationsReviews and reports quality control problems/concerns with the Quality Assurance Manager and others including clients, customer call observations, team leaders  and agentsDocuments, reviews and periodically updates the QA standards and procedures for QA functions performedIs responsible for receiving, reviewing and reporting customer complaints by completing an investigation report that may be subject to internal or external reviewMay provide training of new QA Specialists when needed","The ideal appointee should be able to demonstrate:A proactive and open-minded consultative approach.  Can be directive when required in order to achieve the desired resultIntegrity  his/her words and actions can be trusted and relied uponA passion for excellence and a commitment to high standardsA genuine customer service focus, both internal and externalInitiative, judgement and ability to solve problemsAbility to accept responsibility and self manageAbility to work under pressure with an achievement focus and to manage conflicting expectationsBe detail consciousHigh levels of energy, enthusiasm, and a thirst for learning and self-developmentAn Insurance background is required",Central Auckland Location - only 5 minutes from BritomartBe part of an ever expanding company that promotes withinCompetitive pay packageMonday to Friday working hours,0,1,0,,,,,,0
5816,Operations Specialists,"EE, 37, Tallinn",Operations,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"TransferWho?Co-founded by Skypes first employee and backed by some of planet's most experienced innovators, including PayPal founder &amp; Facebook's first investor Peter Thiel, we're disrupting the world of currency &amp; international money transfer. That means flipping a gazillion dollar industry on its head and taking power away from banks and the establishmentLocated in one of Tallinns start-up and design hubs, were growing at an extraordinary rate and looking for exceptional talent to jump on board.Were currently 60 people strong and handling more than €3,000,000  in customers money every day.TransferWise is a 100% boredom-free zone. If youre looking for a stuffy financial company, the back button beckons, if you want to be part of something innovative and truly exciting, read on.Our fast-growing Operations team is looking for new members! So, whats the role?You will work with US and European banks.Executing bank payments for customers.Resolving payments' related problems.Dealing with customer identity verification procedures.Working closely with Customer Support team to keep our customers happy.","Is this you?Financial services or operations background.At least 3 years of work experience in responsible specialist role.Flexibility in working hours - the specialists will work in two shifts to cover also US banking hoursGood communication skills, fluent in English.Ability to take charge in critical situations and work on resolving problems.Punctual, independent, proactive and willing to get things done.","Did someone say benefits?Apart from all-expenses-paid company days twice a year, stock options in one of Europes most hotly-tipped startups and team lunches, you wont get much in the way of extras.However, we will give you a fun, friendly atmosphere, plenty of opportunities to grow and the chance to be part of our little revolution. Oh, and coffee, theres plenty of coffee.",0,1,1,Full-time,,,,,0
9128,LIVE-IN CAREGIVER WITH TIME OFF,"US, AZ, BUCKEYE",,,,"Agency interviewing for live-in caregiver with one 24 hour per shift off a week. 2 day possibility if you are willing to share room on off days.Duties include:Bathing, dressing, grooming, changing adult briefs, cooking, light housekeeping, other adls as needed. Pleasant client.",Requirements:CPR and First aid certified. Able to pass criminal backgroundFingerprint clearance a plusResponsible and ReliableMinimum of 6 months verifiable caregiver experienceExp with dementia,1 day off per week (24 hours) in which you may stay on or off the premises.Free room and board plus compensation. ,0,0,1,Full-time,,,,,0
6374,Senior Software Developer,"US, CT, Westport",,,"•Founded in 2003•10+ years of proven expertise in providing a complete range of IT servicesCore competency: Designing and developing innovative technology solutions using  a global delivery model, proven framework / methodology and deep subject matter expertiseServices offered:•Application Development•Quality Assurance•Technology Infrastructure•Data Analytics / Business Intelligence•Staff Augmentation","   Normal  0          false  false  false    EN-US  X-NONE  X-NONE                                 MicrosoftInternetExplorer4                                                                                                                                                                                                                                                                                                                          /* Style Definitions */ #URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0in 5.4pt 0in 5.4pt;mso-para-margin:0in;mso-para-margin-bottom:.0001pt;mso-pagination:widow-orphan;font-size:10.0pt;font-family:""Times New Roman"",""serif"";}Role responsibilitiesHands-on development of new functionalitySupport testing activities including diagnosing and fixing defects and supporting environment setup/configurationHelp get the system into a production ready state &amp; transition it to the support team: failure mode analysis, exception handling, monitoring, application documentation, security, performanceHelp refine and implement SDLC processes for asset: release management, testing, developmentInvestigate, learn, absorb, and put to use new technologiesValues:OpennessTruthExtremely high standards; cant tolerate low quality workHas a high degree of technical curiosity and a real passion for technology and solving hard problemsAppreciates beautiful engineering, but motivated by having a tangible impact on real outcomesAbilities:Is a highly intelligent and driven individual with a strong foundation of common sense Logical, detail oriented, thorough, organized, self-starterSolid problem solving skillsAbility to pick up new technologies quicklyIs personable, open, and engaging, with a customer-facing attitudeFeels comfortable giving and receiving criticism, self-managing, and taking charge when neededAbility to work within a team, good communicator","   Normal  0          false  false  false    EN-US  X-NONE  X-NONE                                 MicrosoftInternetExplorer4                                                                                                                                                                                                                                                                                                                          /* Style Definitions */ #URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0in 5.4pt 0in 5.4pt;mso-para-margin:0in;mso-para-margin-bottom:.0001pt;mso-pagination:widow-orphan;font-size:10.0pt;font-family:""Times New Roman"",""serif"";}Skills:Financial experince (trading, investment)•             Has a Computer Science, Electrical Engineering, or similar degree•             Is familiar with Object-Oriented development, with specific experience in at least one major OO language (e.g., Java, C#, C++)•             Understands general software design principles &amp; patterns•             Understands systems integration principles &amp; patterns•             Strong relational Database/SQL experience•             ETL (nice-to-have)•             MarkIt EDM experience (nice-to-have)•             Experience in finance industry (nice-to-have)","Work in an environment that values truth and openness in the pursuit of innovation, excellence and quality relationships.Excellent compensation package.",0,1,1,Contract,Director,Master's Degree,Banking,Information Technology,0
10966,Customer Service Associate ,"US, OH, Fairfield",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Farifield, OH. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","The ideal candidates must be able to demonstrate the following qualifications:High school diploma or equivalent (GED) requiredMinimum of six months customer service related experience requiredAdministrative support experience in the insurance industry strongly preferredKeyboarding and windows environment PC skills strongly preferredStrong working knowledge in Word, Excel, Adobe and OutlookExcellent communication skills both verbal and writtenAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyLifting up to 55 pounds with or without accommodationSitting / Standing for long periods of time",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
14842,Sales Representative EMEA,"GB, LND, London",Careers Sales,30000-35000,"Stack Exchange is a fast-growing network of over 100 question and answer sites on diverse topics from computer programming to photography and gaming. Our primary Q&amp;A site is Stack Overflow, the world's largest online community of computer programmers. Stack Overflow hosts a hiring platform; Stack Overflow Careers which helps users connect with top employers all around the world to find new programming opportunities.Why work here?Simple, were a group of people who are trying to make the internet a better place. We are building an incredible team and we work hard to make sure every new hire is the best fit possible.You should work in the best environment, with the smartest people, doing challenging and different things everyday. You'll be happiest if you receive stock options, free lunches, and top-notch benefits, so we'll give you those things too. In fact, we'll give you whatever you need to get your job done.","We are:Stack Exchange is a fast-growing network of over 100 question and answer sites on diverse topics from computer programming to photography and gaming. We are one of the 50 most visited websites in the world! Our primary Q&amp;A site is Stack Overflow, the world's largest online community of computer programmers.Stack Overflow hosts a hiring platform; Stack Overflow Careers which helps users connect with top employers all around the world to find new programming opportunities.You are:Youre a “Smart &amp; Gets Things Done” sales minded individual who can customise your sales approach when dealing with clients ranging from venture startups to the Fortune 500. You always put the best interests of your clients first. You want to be with a collaborative team where your experiences, your effort and ideas will matter. You dont feel that a suit &amp; tie translates to success in the workplace and youre perfectly fine wearing flip flops &amp; shorts to work. Most importantly, you want to believe in the product youre selling and take a personal interest in the growth of Careers.As a representative of Careers, youll be responsible for the full sales life-cycle and will grow business through the sale of digital job advertisements and subscription access to our candidate search database.What youll do:Develop new business on Careers and sustain a stable sales pipelineProspect for new business via phone, email, social media and networking Tailor proposals for each prospect based on their specific needsManage existing accounts and develop them to their full potentialAct as a humble and level headed advocate always acting in the best interest of each customer","What youll need to have:University degree or equivalent qualification1+ years of related experienceExperience with digital advertising, web based service sales or IT recruiting is desirableStrong cold calling, networking, and business development skillsAbility to maintain accurate documentation in a web based CRM (salesforce)Excellent written and oral communication skills","What youll get in return:Competitive Base Salary + Uncapped Commission25 days annual leaveStock Option PlanGreat start-up office including a professional barista espresso machine, table-tennis table, lunch area, etc.Gym membership reimbursement (Up to £100 per month)Free catered lunch dailyStack Exchange, Inc. does not discriminate in employment matters on the basis of race, colour, religion, gender, national origin, age, military service eligibility, veteran status, sexual orientation, marital status, disability, or any other protected class. We support workplace diversity.",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Sales,0
11723,UI/UX Designer ,"US, ND, Fargo or Bismarck",,,"Todaymade is a fun and growing software and website development company in Bismarck, North Dakota. We offer a creative environment, exciting challenges, and the daily opportunity to learn something new.We work hard, fast, and with a level of excellence that our competitors only dream of. If youre the type that loves to do what you do so much that you would do it for free, then you'd fit in well around here. And, dont worry, we will pay you. More about Todaymade.","Todaymade is a fun and growing software and website development startup in Bismarck, ND that is looking for a web designer and front-end developer. We pride ourselves on a high level of design quality that allows our work to stand well above traditional standards. We believe that design is much more than how a site looks. Usability is baked into the design, and a well-designed site must work and look great. If this describes you, you may be just what we are looking for.Primary responsibilities for this role will include the design and development (front-end) of client website projects and additional online marketing materials. Applicants must have a well-rounded design portfolio that includes interactive and website design experience. Experience with Photoshop, Illustrator, HTML, and CSS3 is required. Dreamweaver experience is not necessary.Salary is negotiable based on experience. Fargo or Bismarck location preferred. ","The Musts:A design expert, and the portfolio to prove it.Experience with Adobe Creative Suite.Experience with HTML5 and CSS3.Some experience with WordPress.Good eye for design and ability to create attractive websites.Motivated to keep up with design and web development trends.Ability to troubleshoot and problem solve.Loves to work on a team. You would love to build websites start to finish  while sleeping.The Thatd Be Greats:Four year degree in Graphic Design or related fieldExperience with PHP or similar language.Familiarity with LESS CSS processing.Experience with JavaScript/jQuery.Macintosh expert.Experience with content management systems.Experience with Sublime Text 2You can spot the differences between Arial and Helvetica.You believe that the greatest web invention of all time was the border-radius property. You dream in hexidecimal. Paul Rand &amp; Ethan Marcotte. You know... We live and breathe by the do what you love, love what you do philosophy. We do everything we can to create this type of environment.","The Perks:Fast-paced startup atmosphere.Benefits package, with vision, dental, and medical available.Free parking.Free coffee, tea, soda, water -- all the hydration you need.No limits on sick or vacation days.Yearly learning allowance.Company-supplied MacBook Pro.Casual dress.We hold an annual Bratwurst blowout that usually includes pellet guns and go carts.Unpredictable appearance of breakfast pastries.Free faxing! (For all your faxing needs.)",0,1,1,Full-time,Mid-Senior level,,Computer Software,Design,0
16875,Want to work at Global Beach?,"GB, LND, London",General,,"WE ARE GLOBAL BEACHIndustrys most established digital marketing agency providing strategic planning, creative direction and pioneering technologies that combine into highly effective solutions.Our client portfolio includes many of the world's leading brands such as; Bentley, Hewlett Packard, ITN, PayPal, CASIO and Sony.We are an exciting agency that truly believe in excellence and strive for work that is fresh, highly visible and talked about. We place strong emphasis on teamwork and you will be working collaboratively with your colleagues to brainstorm ideas, develop creative design solutions and work with global luxury brands.For vacancies for our other companies, Victor and #URL_8b5fe34131f015b09e2b4b01097392477242e0de74786a62a71e91e1e5dbfba7#, please click on links below:http://fly-#URL_c71c0be64832a6a1fb14adad83242756a48e26a0d5fcdd04c7a121c1ca35540c##URL_c31909b471fce3a2c3ea962a074a37e919edf78b8dfb90c4a3a9ace570542adf#","Global Beach is always looking for creative and talented individuals with a passion for delivering an excellent service If this is you, tell us why and apply below",,,0,1,0,,,,,,0
17258,Oracle DBA,"US, PA, Mechanicsburg",LOgistics,85000-90000,,"This engineer will administrator our enterprise database solutions and will routinely work with vendors, project managers, system administrators, application developers and end users in order to maintain and improve the performance of our servers, databases and applications.","Must meet one or both of the following:Bachelors DegreeRelated certifications such as Oracle DBA10+ years of Oracle DBA experience 5+ years experience with multiterabyte Oracle RAC, Grid and ASM database experience Experience in Oracle Database Performance and Tuning using:StatspackAWRADDMASHUnix toolsBuilds and manages Data Guard Physical/Logical Standby Databases using Data Guard BrokerManages Database Backup &amp; Recovery Procedures/Disaster Recovery exercises using RMAN and other tools such as Net Backup, NetSnap Manager, etc.Programming and database performance tuning using: PL/SQLTriggers Stored procedures ",,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Logistics and Supply Chain,Information Technology,0
1815,Performance Marketing Manager,"US, NY, New York",,,"Shapeways is the leading 3D printing marketplace and community, empowering designers to bring amazing products to life. By giving anyone the ability to quickly and affordably turn ideas from digital designs into real products, Shapeways is fundamentally changing how products are made and by whom.Through Shapeways, designers gain access to the best industrial 3D printing technology, capable of manufacturing products with complex designs in a wide range of high-quality materials. 3D printing turns raw materials into original products, from wedding rings to rocketships, model trains to iPhone cases, and prototypes to industrial engineering parts. The Shapeways community can sell their products, share ideas, and get feedback from creative consumers and other designers around the world.Headquartered in New York, Shapeways has factories and offices in Eindhoven, Queens, and Seattle. Shapeways is a spin-out of the lifestyle incubator of Royal Philips Electronics, and our investors include Union Square Ventures, Index Ventures, Lux Capital, and Andreessen Horowitz.","We are seeking a data-driven marketer with 4+ years experience to join our Performance Marketing &amp; E-Commerce team. You have an insatiable desire for growth and are a pro at pairing the right message with the right customer at the right time. You balance creativity with analysis, are comfortable in fast-changing environments, and are willing to bootstrap your ideas to deliver results. You're excited to take on the challenge of performance marketing in a long-tail marketplace, and are deeply passionate about enabling designers to bring amazing products to life with 3D printing.ResponsibilitiesLead performance marketing initiatives, including acquisition and retention campaigns, across key digital marketing channels:Paid (SEM, Google Shopping/PLA, Facebook, and general display ads)Targeted marketing email and #URL_3bcf495563dd1a42da2b0f0a397ccd58bbebd07346dce0b87377a2ec769b77c8# messagingPlan, implement and optimize campaigns through offer, copy, and creative testing to drive site traffic, conversions, and revenueIdentify opportunities to support broader marketing campaigns &amp; launches across performance marketing channelsCollaborate with Design, Product, and Engineering teams to extend impact of performance marketing initiativesConduct comprehensive analysis to target and evaluate campaigns (i.e., forecasting, attribution modeling, ROI) and provide weekly updates to Shapeways leadershipManage and develop agency relationships to support implementation and optimize paid initiativesStay abreast of competitive programs and industry best practices, and identify new growth marketing channels and partners","4+ years of experience in digital marketing, with a focus on direct response or ROAS focused campaigns.At least 1 year of direct experience managing paid campaigns for an e-commerce business through Google AdWords and Google ShoppingVery strong analytical skills to identify and scope opportunities, measure campaign and channel performance, understand key drivers to optimize results, and forecast business impact at the customer and channel levelStrong writing skills and the ability to motivate both creators &amp; buyers to actEntrepreneurial and fearless, with a knack for building campaigns from scratchExperience working cross-functionally in a dynamic and interdependent environmentHighly organized and self-motivatedGold StarsBasic HTMLExperience with Facebook advertising (power editor, custom and lookalike audiences)Experience with retargeting platformsWorking knowledge of MySQL queries","Why join our team?Shapeways is breaking new ground in the field of 3D printing. With our website and marketplace for designers, our goal is to give everyone access to the revolution of additive manufacturing. This isnt your typical web business  we have a physical product at the back end. If youd like to work in a dynamic, collaborative, respectful environment where colleagues share ideas and encourage each other to think creatively, Shapeways is just what youre looking for. Fun is also part of our DNA  a sense of humor is a must! Youll also have the coolest desk toys anywhere.Stock options in the companyMedical Benefits (individual at 100%)Vision insurance at a cost to the employee.Dental insurance at a cost to the employee.Flexible Spending AccountCommuter Benefits401(k)Four weeks of PTO (20 days total/year) in addition to national holidaysFive sick days/yearFlexible schedule and work-from-home optionStocked fridge (free food, drinks, beer etc), free lunch at LICWorking with some of the most talented, passionate, creative innovators in NYC. Best culture out there.",0,1,0,Full-time,,,Consumer Goods,Marketing,0
9434,Graduates: English Teacher Abroad ,"US, WA, Seattle",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
10510,Web Developer,"GR, G, Patras",Engineering,,"Transifex is a SaaS continuous localization platform powering the process of launching products into multiple languages for companies with rapid development cycles. To date, Transifex has simplified the localization process for over 15,000 projects and more than 150,000 users. Customers include Coursera, Waze, Eventbrite, reddit, Disqus and Firefox.","Transifex is seeking an experienced Web Developer to join our team and help add new features to Transifex making it possible for our customers to go global faster. As part of the team you will have the chance to work in a fast-paced environment and experience first-hand what it feels like to work in a start-up. We look for people that get things done and are not afraid to take initiatives.This is a full-time position.Specifically, you will:Design and develop new features.Maintain and improve existing features.Work closely with the UX team to make the Web Interface as intuitive as possible.","Programming abilities in Python and JavaScript.Knowledge of HTML and CSS.Excellent communication skills in English, particularly written communication.Experience with SQL and database design.Experience with software testing.Previous experience in software development.Experience with Django or #URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399# is a plus.",,1,1,1,Full-time,Entry level,Bachelor's Degree,Computer Software,Engineering,0
16478,Intelligent Data Analysis,"US, MA, Cambridge",EC,,"MERL's internship program gives students excellent opportunities to work in an industrial research lab environment side-by-side with world-class researchers.A primary intent of the program is to provide interns with experience that could help them enhance and accelerate their professional career, while also contributing to new or ongoing initiatives at MERL. Interns will be exposed to relevant industrial problems ranging from speculative and exploratory research to more practical engineering tasks. We hope that all interns have a chance to become familiar with our organization and the open research culture at MERL, produce publishable work, and develop an appreciation for how breakthrough research makes an impact on future products.MERL considers graduate students from all over the world. As many of our projects benefit from specialized knowledge in a given field, graduate students pursuing a Ph.D. typically fill the majority of internship openings.The duration of a typical internship varies from 3 months to 1 year, with the majority of interns being employed during the summer months. As the summer is a very busy time, we encourage applications for non-summer internships and also prefer early applications for summer internships. Hiring decisions for the summer are typically made around February/March to allow enough time for any necessary paperwork (such as visa applications or other work eligibility forms) to be completed.","The Electronics and Communications group at MERL is seeking a highly motivated, qualified individual to join our 3-month internship program of research on time-series data analysis for multi-modal sensor's signals. The ideal candidate is expected to possess an excellent background in data analytics, machine learning, sensing algorithms, and man-machine interfaces. Candidates who hold a PhD or in their senior years of a Ph.D. program are encouraged to apply.Research Area: Electronics &amp; CommunicationsContact: Toshi Koike-Akino",,,0,1,1,,,,,,0
17407,Assembly Technicians,"US, TX, Houston",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Our client, located in Houston, is actively seeking experienced Assembly Technicians that has a strong work ethic and works well with others. Dependability and flexibility are a must. The idea candidate will assemble oil and gas process equipment packages, which requires a working knowledge of piping components, valves, instruments, pressure vessels, heat exchangers, and pumps. Responsibilities:Read and interpret technical drawings.Locate, verify, and properly identify materials supplied by the supervisor for the project.Layout and set major equipment.Assemble piping components and valves utilizing hand and power tools.Cut, thread, and assemble screw-piping systems.Review finished work to ensure compliance with drawings.Report missing or defective materials, equipment, or questionable conditions to the department supervisor.Maintain equipment and work area in a safe, clean, and orderly condition.Perform related work as required.Frequent lifting or moving of materials.","Working knowledge of piping components and valves.Knowledge of threaded piping and experience operating a rigid-pipe threader.Ability to read drawings, utilize measuring tools, and perform simple math.Experience with forklift and overhead crane operation.Ability to lift up to 75 lbs.Possess personal tools and toolbox, including hand wrenches 1-inch wrenches and below.Qualifications:High school diploma or equivalent.3+ years' related experience.Company Overview:Our client is a technological leader of various services in the oil and gas industry. The company values innovation and creativity, and seeks to empower employees.",,0,1,0,,,,,,0
17659,Quality Manager Automated Manufacturing   2141,"US, NC, Garner",,,,"2141Job Title: Quality Manager Automated ManufacturingRole: ManagementRelocation Available: Yes, but local or closeby is idealIndustry: ManufacturingLocation: North CarolinaTown / City: GarnerJob Type: Permanent full-timeJob description:We are looking for a Quality Manager with Automated Manufacturing Experience.Worldwide manufacturing company with operations in North Carolina.  The strength of the organization is a focus on continuous innovation and investments in both product and technological developments is looking for a Quality Manager.The Quality Manager serves as a liaison between the customer and the production departments to ensure that manufactured, or purchased, products meet all the functional, appearance and reliability requirements to promote the high quality standards.  The QM responsibilities include maintaining control over material/process changes, consistent surveillance of manufacturing processes, proactive product/process audits and annual reductions in the Cost of Poor Quality.MAJOR ACCOUNTABILITIES:Quality Control*           Establishing, communicating and promoting Product Quality Standards that will promote the brand to customers and consumers.*           Design and manage a quality control (QC) program by establishing operational techniques and activities aimed at monitoring processes and eliminating causes of unsatisfactory performance at all stages of the manufacturing operation.*           Develop, implement and manage new or improved quality systems to ensure that the quality control program is effectively maintained and that the production and lab staff is achieving established quality requirements.*           Evaluate current manufacturing processes and provide recommendations to the manufacturing management team with the aim of adding value to product quality. Provide guidance in the process improvement activities of other departments within the organization as needed.*           Establish qualification criteria for suppliers/materials and ensure the criterion is met. Manage vendor relationships to drive continual improvements*           Develop and direct Design of Experiments (DOE) and statistical analysis to improve processes.*           Direct and manage an efficient team of quality specialists to achieve objectives.MINIMUM REQUIREMENTS:*           Four-year degree in Quality, Mechanical, or Electrical Engineering required.*           Minimum of five years of experience as a Quality Manager, Supervisor or Engineer in a highly automated, state-of-the-art manufacturing environment.*           Minimum three years of experience in the direct management of others.*           Successful track record leading complex projects.*           Knowledge of Six Sigma, Lean and ISO9000  highly preferred.OTHER COMPETENCIES:*           Able to make decisions on available information and take action; make commitments and not change decisions when challenged; deal with emergencies as necessary to support 24/7 operations.*           Able to clearly present information through the spoken word; influence or persuade others through oral presentation in positive or negative circumstances; listen well. *           Able to create positive energy (motivation) in both individuals and groups.*           Able to use a systematic approach in solving problems through analysis of problem and evaluation of alternate solutions; use logic, mathematics, or other problem solving tools in data analysis or in generating solutions.*           Able to define realistic, specific goals and objectives; to prioritize objectives.*           Able to write clearly and effectively present ideas to document activities; to read and interpret written information.*           Able to organize or schedule people to tasks; to develop realistic action plans while being sensitive to time constraints and resource availability.*           Able to develop unique and novel solutions to problems; use intuition and a new way of thinking to give birth to new ideas; to present information in an attention-getting and interesting manner.*           Able to take action in solving problems while exhibiting judgment and realistic understanding of issues; able to use reason, even when dealing with emotional topics.*           Able to withhold action or speech in the absence of important information; deal with unresolved situations, frequent change, delays or unexpected events.*           Able to maturely express one's opinions and feelings in spite of disagreement; accurately communicate to others regardless of their status or position.*           Able to influence the actions and opinions of others in a desired direction; to exhibit judgment in leading others to worthwhile objectives.*           Able to work with people in such a manner as to build high morale and group commitments to goals and objectives.Bottom Line Requirements:1.  Bachelor's degree in Quality, Mechanical, Electrical Engineering, or similar.2.  5+ years as a Quality Manager, Supervisor or Engineer in a highly automated, state-of-the-art manufacturing environment.3.  3+ years of experience in the direct management of others.4.  Experience with Six Sigma, Lean and ISO9000  highly preferred.","Bottom Line Requirements:1.  Bachelor's degree in Quality, Mechanical, Electrical Engineering, or similar.2.  5+ years as a Quality Manager, Supervisor or Engineer in a highly automated, state-of-the-art manufacturing environment.3.  3+ years of experience in the direct management of others.4.  Experience with Six Sigma, Lean and ISO9000  highly preferred.",,0,0,0,Full-time,,,,,1
7335,Data Scientist,"BE, VOV, Gent",,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"We want to add some fresh talent to our data team to make sure it can fully continue its mission of turning the huge amounts of data we gather into gold.Are you fascinated with big data technologies such as Hadoop and HBase?Can you impress us with a solid technical background and substantial Python and SQL knowledge?Are you familiar with the UNIX shell and common web technologies like Javascript and HTML?Did you get blessed with a healthy interest in data visualisation, statistics and machine learning?Does an agile and fast-paced development atmosphere sound like your perfect work environment?Do you have the creativity, drive and discipline to get things done?If your answer to all of these questions is ""Yes, show me the data!"" then we have a great job for you. Apply now and become part of an exceptional team of data scientists who are determined to teach you everything there is to know in one of the most exciting areas of computer and information science!",,,0,1,0,Full-time,,,,,0
14939,Outbound Appointment Setter,"NZ, N, West Auckland",,,"CallCentre People Recruitment is recognised as being specialists within the CallCentre industry.  We provide permanent, temporary, contract and management staff for a number of large national and multi-national businesses in various industries.","Our West Auckland based client are looking for passionate, skilled appointment setters with demonstrated sales records to join their top performing direct marketing team.Our clients are a world leader in their respective field; they are always busy and have a fantastic, supportive team environment. You will be joining a highly successful team who continuously exceed sales targets.",• Are confident in their ability to make outbound marketing calls to potential customers• Have a positive attitude with high levels of motivation to succeed• Have clear and professional communication skills• Have strong sales experience (required),• Competitive hourly rates plus incentives• A fun and dynamic call centre environment• On-going training and development• Monday to Friday position with opportunities to work part time. Hours Monday to Thursday: 11.30 - 8 and Fri: 9 - 5.30.,0,1,0,Full-time,,,,Sales,0
13527,Graphics Engineer,"US, TX, Austin",Engineering,,"MaxPlay™ is a cross-platform digital publishing company focused on revolutionizing the games as a service market. You probably havent heard of us yet, but thats about to change. Recently spun out of Technicolor Ventures, were busy building a world-class set of tools and technologies that enables game developers to reach larger audiences and provide the best gaming experience to their consumers. MaxPlay has a great team of veteran game publishers, engineers, and consumer product folks but we also need you to reach the next level.Its not easy disrupting the game publishing business. Each day were tasked with building a broad range of products and services, and were looking for talented people in Austin, Los Angeles, and San Francisco that are excited to meet those challenges. Even if you're not a gamer, but love technology and creating new tools and platforms, we want to hear from you.",The Graphics Engineer will be responsible for working closely with our Lead Graphics Engineer to implement key components of our rendering technology.,"At least 5 years of graphics related C++ experienceAt least 3 years of experience working directly with DirectX 11 or similar interfaceStrong 3D Math skillsExperience with SM 5.0Experience writing multi-threaded softwareExperience with multi-platform software developmentExperience with SIMD instructionsFamiliar with version control, such as PerforceExcellent written and verbal communication skillsBachelors Degree or equivalent experience Bonus Points:OpenGL 4.xOpenGLES 2OpenGLES 3GLSL 1.xGLSL 2.xAndroid or iOS development experienceConsole Development experience ADDITIONAL INFORMATIONAll information will be kept confidential according to EEOC guidelinesMaxPlay and the Technicolor Ventures Group are an EOECandidate must be eligible to work in the United StatesNW Austin office location",,0,1,0,Full-time,Mid-Senior level,,Entertainment,Engineering,0
7676,Graduates: English Teacher Abroad (Conversational),"US, AL, Marion",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Excellent for student loans/credit cardsHousing provided (Furnished/Private)Airfare ReimbursedRemind us when you wanted to start when applying :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
2051,Customer Service Associate ,"US, NJ, Jersey City",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Jersey City, NJ. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Ability to lift /carry boxes. Prepare materials for delivery including: addressing, stuffing envelopes and making labels.Sort, deliver and pick-up mail and packages according to established time frames and service standards throughout the building pushing a mail cart when required.Maintain all logs and reporting documentation as required.Assist with loading and unloading of deliveries as neededReceive and log all incoming items, letters and packages, including certified, registered, return receipt, Federal Express.Keep manager advised of all client related matters.Perform other duties out of scope of position as assigned by management.Ensure client building is properly replenished with mail and shipping supplies (i.e. FEDEX/UPS/DHL envelopes, interoffice envelopes, business envelopes and etc) Ability to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyLifting up to a maximum of 55lbs. or the maximum allowed by current State law with or without accommodationsAbility to stand, sit, and walk for long periods of time with or without accommodations","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy ",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
4239,"International Broadcaster, Urdu Language","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The International Broadcaster shall have at least five (5) years of current experience. The International Broadcaster shall perform a combination of services that will include researching, interviewing, writing and voicing original news stories and reports. The International Broadcaster shall have the ability to adapt material for radio, television and/or internet about events in the target region, the U.S. and other countries. The individual shall produce and edit reports using compatible digital technology and shall be able to record and process interviews by phone, live face-to-face or in the field. The individual shall have extensive knowledge of the target region, its people, culture, institutions and political situation, and the ability to adapt English material to the target language and adapt the target language to English. The International Broadcaster shall possess and employ basic multimedia skills as required in the completion of each assignment, including the ability to take still photographs and record video via smartphone during field reporting assignments, and to upload photos and videos as needed to agency-based file servers. He or she shall assess different information to validate the comprehensiveness, accuracy and balance of news products, and shall demonstrate high standards of journalism and news experience. He or she shall have the ability to function as news announcer on live or pre-recorded news bulletins or newscasts; for video assignments the International Broadcaster shall wear attire suitable for the assignment. The International Broadcaster shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies. ",The International Broadcaster shall properly complete all daily tasks. These daily tasks include but are not limited to: preparing for assignments (fails not more than once per week); revising video packages (fails not more than twice per week); correcting production errors in stories (fails not more than twice per week); properly creating line-ups and logs (fails not more than once per week).Knowledge of Urdu language required.,,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Broadcast Media,,0
1198,"TV Video Editor, Dari and Pashto Language","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.",The Video Editor shall be familiar with nonlinear editing system Final Cut Pro (FCP) and shall be familiar with other editing systems that may be introduced during the course of the contract. The Video Editor shall have expertise working with single as well as multi-platform editing and online/post editing. The Video Editor shall provide temporary news/post editing and post-production editing support as required. The Video Editor shall work cooperatively and efficiently with broadcast producer customers. The Video Editors shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies.,The Video Editor shall properly complete all daily tasks. These daily tasks include but are not limited to: setting up prior to editing session (fails not more than once per month); and providing a broadcast quality video product (fails no more than twice per week).Knowledge of dari And Pashto languages required. ,,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Broadcast Media,,0
5261,C++  GRAPHICS PROGRAMMER ,"BY, HM, Minsk",Programmer,,,"We are looking to hire a C++ Graphics Programmer We are looking for persons with excellent rendering knowledge (Direct X, OpenGL) supported with strong mathematical background.  We invite you to join a global project which gains momentum and is advancing in its further development, setting a model of mastery and professionalism.  You will be part of the excellent team of professionals who accepted the challenge and worked around the initial limitations of the game engine.  ","Requirements:∙ 2+ years of experience in graphics programming.  ∙ Excellent knowledge of C++.  ∙ In-depth knowledge and experience in developing 3D graphic applications with utilization of MS DirectX 9/10/11, OpenGL и HLSL.  ∙ Ability to read borrowed code.  ∙ Strong mathematical background.  ∙ Initiative and excellent communication skills, result-oriented approach to work.  ∙ Excellent knowledge of the Russian language.  ∙ Good knowledge of English (enough to understand technical documentation and conduct correspondence).  Desirable: ∙ Experience in working on projects with multithreading rendering.  ∙ Experience with IntelGPA, NVIDIA PerfHUD.  ∙ Skills in productivity optimization of complex high load graphs",,0,0,0,,,,,,0
477,Electrician,"US, NY, Niagara Falls",Maintenance,,"Niacet is a leading producer of organic salts, including propionates and acetates, serving the Food, Pharmaceutical and Technical industries. With two longstanding and fully automated manufacturing sites, located in Niagara Falls, NY USA, and Tiel, The Netherlands, Niacet offers world-class quality products to a global market. Our products fill vital needs in a broad range of applications that are essential to everyday life including food preservation, antibiotic formulation, dialysis treatment, energy production, and more.At Niacet all employees share in the growth and prosperity of the corporation. We want our employees to take pride in their personal and corporate accomplishments. Safe working conditions are achieved through continuous education of our  employees and improved facilities. We aim to provide job and financial security for all employees.","DEPARTMENT:       MaintenanceREPORTS TO:       Maintenance ManagerLOCATION:            Niagara Falls, NYPOSITION:             Electrician   About us: Niacet is a leading producer of organic salts, including propionates and acetates, serving the Food, Pharmaceutical and Technical industries. With two longstanding and fully automated manufacturing sites, located in Niagara Falls, NY USA, and Tiel, The Netherlands, Niacet offers world-class quality products to a global market.Our products fill vital needs in a broad range of applications that are essential to everyday life including food preservation, antibiotic formulation, dialysis treatment, energy production, and more. Electrician Position: Niagara Falls chemical manufacturer is looking for experienced electrician. General Job duties include, but are not limited to:--Maintenance of power distribution system, maintenance of instrumentation and control systems, electrical repairs to equipment, building service and repairs, installation of equipment in a chemical plant environment, housekeeping.--Must be able to read electrical diagrams, analyze problems and troubleshoot equipment operation; strong PLC and control system troubleshooting skills a plus.--May be required to move or lift up to 50lbs.--Good oral and written communication skills, experience with use of personal computers and prior chemical plant experience preferred.--Position requires support of plant maintenance needs on overtime and call-ins outside of regular hours and on weekends.--New York State Journeyman Industrial Electrician or Instrument Tech certification or equivalent experience required. We offer competitive compensation and one of the best benefit packages in the industry...",,,0,1,0,Full-time,Mid-Senior level,,Chemicals,Manufacturing,0
7573,SharePoint Developer,"RO, B, ",,,"Skyconsult is a global consulting company with highly professional international standards; we focus on leading edge technologies, best practices and work solely with companies with large budgets. When you work with us you're part of the full scale development, training is perpetually stressed and upward mobility is inevitable. Skyconsult provides a dynamic atmosphere; our services include but are not limited to Business Consulting, IT Operations, Critical Stabilization and Improvement, Infrastructure and Cloud. ","The SharePoint Developer will provide SharePoint administration and development support for complex technical SharePoint incident resolution and have responsibility for ensuring requirements are being met in SharePoint areas of development, design, installation/ configuration and maintaining. The Main Duties and Responsibilities will be:• Participates as a team member for the operations of the company infrastructure• Perform programming, testing, and implementation tasks of SharePoint Portal and Windows SharePoint Services• Support code changes and support for updates of the webpages in order to answer the customers requirements• Managing troubleshooting requirements  (IIS, SP, Website Performance, Administration)• Performs operational support for application releases into production of varying levels of complexity• Ensuring each reported problem is resolved in a timely manner and the infrastructure is secure, reliable, and well maintained • Participates in project team activities prior to release and contributes to documentation requirements consistent with methodology• Tracks feature and bug requests for prioritization","If you have the following Competences and Qualifications: O Degree in computer science, Information Systems or related fieldo  Minimum 5 - 8 years experience in a related fieldo  Specialized knowledge in multiple technical areas and technical experience with Windows Server administration, SQL Server administration, Internet technologies, Microsoft SharePoint Platform technologies and desktop operating systems requiredo Experience in development across various platforms (HTML experience and programming experience (C#, VB.net, HTML))o  Experience with server-side object model development, like: custom fields and content types, custom list definitions, event receivers, web parts, timer jobs, workflows, page layouts and master pageso Experience designing, installing and maintaining a SharePoint Topology (2007 required/2013 Preferred)o Experience planning, configuring and maintaining a SharePoint Online (Microsoft Office 365) deployment and SharePoint Securityo Knowledge of LAN/WAN networking concepts  and experience using Windows Active Directory, with SQL Server Administration • Experience Preferred:o Planning and implementing business continuity management of SharePoint environments§ SQL high availability and disaster recover y§ SharePoint High availability§ Backup and Recoveryo Planning, configuring and Maintaining a SharePoint environment§ Social workload§ Search workload§ Web Content Management (WCM) workload§ Ability to write code for webpage changes (intermediate level) is key§ Enterprise Content Management (ECM) workloado Upgrading and migrating a SharePoint Environmento Creating, configuring and maintaining service applications, work managemento Planning, configuring and maintaining a BI infrastructure • Certifications Required: o MCSA: Windows Server 2012 (70-410, 70-411, &amp; 70-412)o Core Solutions of Microsoft SharePoint Server 2013 (70-331)• The individual in this position will be required to be MCSE: SharePoint certified by self-study within one year of being in the position. • Certifications Preferred:o MCSE: SharePoint Certificationo Advanced Solutions of Microsoft SharePoint Server 2013 (70-332)If you are a team player, able to work with global teams outside your time zone And if you have:• Good critical thinking skills• Strong problem solving and customer service skills• Abilities to effectively communicate technical and business problems in a non-technical manner • A professional attitude…….we are waiting you to submit your application and to join our team.",What we offer to you?• Career development opportunities both locally and abroad• A competitive salary package• A friendly working environmentWe will be glad to receive your application or recommendations for our opening to #EMAIL_d88f2cd24494dd5c96e2e00223c5db704891547858fa52f9212f1afbc89d6d62#,0,1,0,,,,,,0
14312,Report specialist,"LT, , Vilnius",Client Services,,,"As Report Specialist you will become guru of Adform reporting modules.  As one man in a field is not a warrior you will be working alongside with awesome colleagues from other teams in Client services and Development departments. Your daily responsibilities will involve delivering reports to our customers  media agencies, distributed cross-border in Europe. Nonetheless big part of your responsibilities will be solving reporting mysteries and guiding our customers to the solutions.Report specialist will be responsible for:• Managing reports from Adform system and delivering them to our customers. • Providing general insights and help to understand reported data. • Finding solutions to reports related issues as well as and guiding clients to those solutions.","Requirements:•    Be FUN and have great communication skills •    Higher education in exact sciences, might be a student, with possibility to work full time •    Excellent knowledge of Microsoft Office Tools, specifically of MS Excel •    English language  fluent •    General understanding of web-technologies/ experience with Google Analytics or similar Analytical Tools OR work experience in digital marketing would be a strong advantage •    Attentiveness to details and highly analytical skills •    Ability to work in dynamic multitasking environment •    Good team player","We offer:•    Full-time (40 hours per week) job •    Dynamic, inspiring and international working environment •    Opportunity to work with state-of-the-art development technologies and teams •    Great opportunities to grow professionally •    Extremely friendly atmosphere, informal style of communication and management",0,1,0,Full-time,Entry level,,Online Media,Customer Service,0
16900,***NEEDED 15-20 CUSTOMER SERVICE REPS,"US, NC, Raleigh",,55-105,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.",Due to our expansion into 5 more cities we have openings in our Customer Service department to be filled this week. Promotional Home Division Needs: Customer Service Reps Sales Professionals Appointment Setters Management,Requirements: Must be a hard worker People skills Problem solving capabilities Good work ethic Reliable Transportation Office Dress code: Business Casual attire Call Today to set up an Interview Those who qualify can start as soon as MONDAY!!!!,Position: Entry Level available Permanent Full Time Starting Potential $15-$18 an hour PLUS commissions,0,1,0,Full-time,Entry level,,Consumer Services,,0
10967,Outside Sales | Design Consultant,"US, CA, San Jose",,,,"We are seeking career minded and driven sales professional who knows how to capitalize on our 40 year reputation in the industry. We transform kitchen and bathroom dreams for our customers into reality.  We are a fast growing and forward thinking business and this position is critical to that growth and success. PROFESSIONAL SALES TRAINING!  The company provides professional sales and product training during initiation and ongoing to help ensure your success. Quality sales leads are provided and generally two (2) confirmed consulting appointments are set daily. Legitimate SIX FIGURE annual opportunity!  Responsibilities include:Pursuing sales opportunities directly in our customer's homes.Keeping appointments assigned to you through the leads generation system.Meeting or exceeding sales goals expectations.Completing paperwork as required; completing customer quotations, as well as recording sales performance metrics on company systems.Travel to/from prospect's homes and the office.","You must have Drive, Determination and Desire to succeedAn optimistic, cannot-lose attitude balanced with realityThe ability to work independently in the field with some supervisionExcellent communication and time-management skills are essentialExperience in the construction and remodel industry is helpful, but not requiredPrevious sales experience is helpful, but not necessary",Professional Sales TrainingCar allowance and fuel Medical Benefits Plus more...,0,1,0,Full-time,Not Applicable,High School or equivalent,Building Materials,Sales,0
572,Data Architect - Cloud and Scalability,"US, NY, New York",Engineering,120000-170000,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","We are looking for an amazing senior (server side) big data engineer to lead the development of blippers global system architecture.We are going through an exciting stage of growth here at Blippar, and as our app continues to expand its user base across the world we need someone who is passionate about helping us scale our technology suite and push the boundaries of augmented reality advertising.In this senior role you will work alongside our global Head of Servers and CTO to define, develop and manage the key server-side areas of our augmented reality mobile app. You will be involved in all aspects of the technology, from analytics to scalability, and help us develop a more efficient global API for Blippar.","We need an experienced big data engineer who understands the complexities of developing large, cloud-based mobile and SaaS applications. You will confidently shape the development of our global platform while managing a growing team. Your knowledge and experience will be vast and cover areas including but not limited to:High-scalability, high-performance big data architecture (Cassandra, Hadoop)Mobile app statistics and data infrastructureCollective intelligence/machine LearningContent management and content deliverySecurity and infrastructure monitoringA number of other skills will be required in this role, so please apply for more details and a full job description.","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter &amp; Gamble, Conde Nast, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesOur office dog (London)International travel opportunitiesChance to develop groundbreaking techWork with state-of-the-art hardware and softwareAccess to new wearables including Google GlassA challenge to change the worldChance to shape a new industryCreative freedom and controlExperience with all mobile operating systemsCollaborative opportunities with huge brandsPlease apply now for more information about this role, or check our careers page for details of other opportunities to work with us.",0,1,0,Full-time,Director,Unspecified,Internet,Engineering,0
11184,Digital Marketing Manager,"US, CA, Santa Monica",,,"TaskUs provides outsourced customer care and back office support to more than 100 of the worlds fastest growing companies, allowing them focus on their products and services while we scale their operations. By helping our clients grow, we offer a competitive advantage that is second to none. Founded in 2008, TaskUs employs more than 1,000 people internationally, including a team of consultants at its headquarters in Santa Monica, Calif. And teammates in the Philippines. In 2013, Inc. Magazine recognized TaskUs as the fastest-growing outsourcing company in America.","Are you fed up with marketing programs that don't value data and analytics? Are you obsessed with digital analytics and measuring the performance of marketing? Is your idea of a great time finding ways to acquire new customers and optimizing channel performance?TaskUs is growing quickly and becoming the primary customer care and back office support provider for high-growth businesses in all of today's most exciting internet companies. We have no plans to slow down and we're investing in building a marketing team that will power the next stage of our growth.We're looking for a digital marketing specialist who wants to play an active role in implementing a dynamic marketing program. You'll own the performance of marketing at TaskUs and contribute to informing the composition and scope of our program by providing the insight and evidence to help make calculated changes to our demand generation.In this role you will manage and implement tools, relentlessly look to evolve and optimize our marketing and contribute to building a sophisticated marketing program that will allow you to build the foundation for being a powerful technical marketer.","EDUCATION: Bachelors degree in Marketing, Business or related field preferred.EXPERIENCE: 2-4 years of experience in marketing analytics and automation.Experience and familiarity with marketing automation tools.Experience with lead nurturing and lead management preferred.Intermediate experience with Salesforce, Google Analytics, AdwordsExperience or understanding of metrics-software like Mixpanel, KissMetrics preferredExpert SEO skills, 2-3 years SEM experience (preferably in B2B)Ability to create intelligent, user-friendly analytics reportsB2B email marketing experienceContent marketing experience preferredExperience with conversion optimization (landing pages, websites)Previous experience managing paid digital display advertisingAnalytical mindset with a deep understanding of the conversion funnelExperience in an agency or similar client services environment ","TaskUs is where people who are fed up with the status quo come to thrive. We are a collective of doers who believe that even if something isnt broken, it could still do with some fixing. Our attitude to continuous improvement is just one of the beliefs that emanate from our core values  the backbone of our company and our culture.Together we believe we can create an organization that is unlike any other were well on our way to doing it. From Washio credits to mindfulness meditation lessons, an environment of total transparency and a relentless focus on experimentation, were creating a 21st Century organization destined for big things. Come join us.  Highlights:TaskUs is Powering LAs Tech BoomThe StartUp Worlds Little SecretThe Anonymous App PoliceOur Story",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Marketing,0
337,Sales Trainee,"BE, BRU, Brussels",Sales,,"Optimy is a fast-growing company that develops and sells a unique solution (as a SaaS web- application) for sponsorship, partnership and donation management. The Optimy solution helps brands and foundations to optimise performance across the lifecycle of a project.Our Optimy users are market leaders in their sector, and include BNP Paribas Fortis, BMW, L'Oréal, ING, Volvo, Carrefour, Unipol Gruppo, Audi, Décathlon, GDF Suez and many others. ","Optimy is a fast-growing company that develops and sells a unique software (as a SaaS web-application) for sponsorship, partnership and grant management. The Optimy software helps brands and foundations to optimise performance across the lifecycle of a project.Our Optimy users are market leaders in their sector, and include BNP Paribas Fortis, BMW, L'Oréal, ING, Volvo, Carrefour, Unipol Gruppo, Audi, Décathlon, GDF Suez and many others.To support its Sales Team we are looking for  commercially oriented Sales Trainees, who wish to do an (unpaid) internship as part of their studies and for a minimum duration of 4 months. The successful candidates will work from the Head Office in Brussels.The Sales Trainees will work closely with the account management team, reporting to the Head of Sales. The role of the Sales Trainees will be to:- do market research on assigned countries- source new prospects and contacts in multiple countries and markets- assist account managers with the implementation of their marketing plans- assist in maintaining the sales report and CRM- follow-up with customers for POs and contract signatures- support the account managers through the full sales cycle","Our ideal candidates have:- the ambition to gain experience in a start-up- a high level of enthusiasm and independenceWe are looking for native French, German, Dutch and/or Italian speakers with strong English. ","An exciting work experience in a young and fast-growing companyAn opportunity to have a direct impact on, and be part of, the growth of the companyStrong international sales training experienceDepending on circumstances, a part of accommodation (foreign students) or transportation cost (belgian students) may be covered.",0,1,0,Other,Internship,High School or equivalent,Information Technology and Services,Sales,0
17331,Administrative,"US, NJ, Trenton",,,,"The administrative assistant will be responsible for providing clerical and administrative support.Operates in a manner that ensures compliant, ethical practices. Good verbal, written and interpersonal skills. Strong organizational skills, attention to detail and accuracy. Strong negotiation skills.","Strong written and spoken communication #URL_faedcd694481343c9a9e145c5f7ab5784f7385d1437fb5e7a0348cc1179484a6# ability managing multiple priorities and systems in a fast-paced environment. Self-directed and #URL_b436b03401b881b726656ad50ef808062ca24f86851e7367ecbe9e88067a00e0# in the use of Microsoft Office, i.e. Word, Excel and Outlook. Must be organized with strong verbal and written skills.",,0,0,1,,,,,,1
7203,Executive Chef,,1221,75000-80000,,"Responsible for all food production including that used for restaurants, banquetfunctions and other outlets. Develop menus, food purchase specifications andrecipes. Supervise staff. Develop and monitorfood and labor budget for the department. Maintain highest professional food qualityand sanitation standards.Duties &amp; Responsibilities:* Plans menus for all food outlets in the Hotel. * Schedules and coordinates the work of chefs, cooks and other kitchen employeesto assure that food preparationis economical and technically correct and within budgetedlabor cost goals. * Approves the requisition of products and other necessary food supplies. * Ensures that high standards of sanitation, cleanliness and safety aremaintained throughout all kitchen areas at all times. * Establishes controls to minimize food and supply waste and theft. * Safeguards all food preparation employees by implementing training to increase their knowledge about safety, sanitation and accident preventionprinciples. * Develops standard recipes and techniques for food preparation and presentationwhich help to assure consistently high quality and to minimize food costs;exercises portion control for all items served and assists in establishing menuselling prices. * Prepares necessary data for applicable parts of the budget; projects annualfood, labor and other costs and monitors actual financial results; takescorrective action as necessary to help assure that financial goals are met. * Attends food and beverage staff and management meetings. * Consults with the Food &amp; Beverage Director about food production aspects ofspecial events being planned. * Cooks or directly supervises the cooking of items that require skillfulpreparation. * Ensures proper staffing for maximum productivity and high standards ofquality; controls food and payroll costs to achieve maximum profitability. * Evaluates food products to assure that quality standards are consistentlyattained. * Interacts with food and beverage ma nagement to assure that food productionconsistently exceeds the expectations of members and guests. * In conjunction with F&amp;B management team, assist in maintaining a high level ofservice principles in accordance with established standards.","* Must have a passion and love for food* Excellent communication skills both written and oral* Public relations skills* Excellent management and organizational skills* Works well under pressure* Good attention to detailExperience:Previous experience with control food and labor cost, demonstration cooking, menudevelopment, and pricing and development of culinary team preferred. Premise andliability accountability and contract-managed service experience is desirable.Education:The ideal candidates will possess a bachelor's degree or related culinary degreewith four or more years of industry and culinary management experience.",,0,0,0,Contract,,,Hospitality,,1
6417,Network Engineer,"US, CT, Wallingford",,,,"ChimeNet, an affiliate of the Connecticut Hospital Association, is a data networking service provider offering innovative information technology (IT) solutions and 24/7/365 support for businesses where data transfer and security cannot be compromised. ChimeNet delivers unsurpassed security, reliability, and cost effectiveness to its healthcare, education, municipal, and other business clients who need to share content-sensitive information, access the Internet securely, and deploy state of the art networking equipment. ChimeNets co-location facility supports customer disaster recovery and business continuity planning. EOE.JOB DUTIESAssist in the development, design, implementation, testing, and maintenance of solutions based on clients business, and technology needs. Uses knowledge of LAN/WAN systems to help in the design and install of internal and external based networks. Will also support and independently resolve Helpdesk tickets in a tier 2 capacity. ","Strong sales orientation and have demonstrated customer service skillsStrong basic knowledge in IT infrastructure technologies, including the configuration and implementation of routers using various routing protocols including EIGRP, BGP, RIP, and OSPAbility to configure and implement Cisco routers, Ethernet switches, ASA firewalls, IPsec VPNs, wireless access points and wireless LAN controllersStrong knowledge of Telco/WAN services such as Metro Ethernet, MPLS, DSL, Lit &amp; Dark Fiber, and Cable Internet/Ethernet services preferredCisco certified network professional highly desiredCisco certified network associate designation required",Comprehensive benefits package available.,0,0,0,Full-time,Associate,Bachelor's Degree,Hospital & Health Care,Information Technology,0
17715,Payroll Data Coordinator Positions - Earn $100-$200 Daily ,"US, TX, Abbott ",,,,"We are a full-service marketing and staffing firm, serving companies ranging from Fortune 100 to new start-up organizations. We work with job seekers in an equally broad range, from light industrial temporary workers to executive level candidates.Are you looking for a Work from Home Opportunity where you can earn up to $2500 and more per week? Our Online Service Representative position would be perfect for you!- Set your own hours - Make money every time you decide to work - Work remotely from home - Get paid weekly - If you have a computer with internet, this is for you","RequirementsAll you need is access to the Internet and you can participate. Computer with Internet access, valid email address, good typing skills   ","This is an entry level position and we offer full online training. You do NOT need any special skills to get started.Earn as much as you can doing data entry.  Complete Training provided before you start working and its easy to start!  ________________________________________Dont let this opportunity pass you by.POSITIONS ARE STILL AVAILABLE - GET STARTED RIGHT NOWIf you fit the above description and meet the requirements, please apply stating your locationApply at: #EMAIL_c9b5d8a60f3d80be13dd02ffe5d212c047b92ba679c296dfae7c102952fbb534#",1,0,0,,,,,,1
2665,Engagement Manager (Nigeria),"NG, , ",Engagement,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","       Normal  0          false  false  false    EN-US  X-NONE  X-NONE                                                                                                                                                                                                                                                                                                                                                         /* Style Definitions */ #URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0in 5.4pt 0in 5.4pt;mso-para-margin-top:0in;mso-para-margin-right:0in;mso-para-margin-bottom:10.0pt;mso-para-margin-left:0in;line-height:115%;mso-pagination:widow-orphan;font-size:11.0pt;font-family:""Calibri"",""sans-serif"";mso-ascii-font-family:Calibri;mso-ascii-theme-font:minor-latin;mso-hansi-font-family:Calibri;mso-hansi-theme-font:minor-latin;mso-bidi-font-family:""Times New Roman"";mso-bidi-theme-font:minor-bidi;}The position reports to the Head of Engagement Management in the Mobile Operator Business Unit. It is a key role liaising between the client and Upstreams internal execution capabilities  ensuring the timely and successful delivery of complex mobile marketing campaigns throughout the world. This role is ideal for a candidate with high potential who wishes to be rewarded for outstanding performance, while having the opportunity to gain valuable international business experience on large mobile marketing projects. The role involves:Partnering with clients to deliver strategic interactive solutionsManaging client relationships and expectationsWorking with department managers to provide client deliverablesEnsuring the delivery of key projects on time and on budgetDeveloping technical and marketing concepts to meet client requirementsNegotiating contracts with clients and suppliersGuiding strategic implementation of recommendationsIdentifying and stewarding client/brand objectives and needsEnthusiastically representing Upstreams strategy and creativityLiaising with worldwide partnersPreparing and defending business casesAssisting with RFP responses and proposal writingThe position will be based in Nigeria, with extensive travelling according to project requirements.","       Normal  0          false  false  false    EN-US  X-NONE  X-NONE                                                                                                                                                                                                                                                                                                                                                         /* Style Definitions */ #URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0in 5.4pt 0in 5.4pt;mso-para-margin-top:0in;mso-para-margin-right:0in;mso-para-margin-bottom:10.0pt;mso-para-margin-left:0in;line-height:115%;mso-pagination:widow-orphan;font-size:11.0pt;font-family:""Calibri"",""sans-serif"";mso-ascii-font-family:Calibri;mso-ascii-theme-font:minor-latin;mso-hansi-font-family:Calibri;mso-hansi-theme-font:minor-latin;mso-bidi-font-family:""Times New Roman"";mso-bidi-theme-font:minor-bidi;}RequirementsThe ideal candidate will be bright, ambitious, self-driven, hard-working and flexible, and have the following qualifications:Excellent client-facing and internal communication skills in EnglishAble to perform under pressure and deliver results in a demanding and fast-paced environment that requires fresh thinking and innovationExcellent written and spoken communication skillsStrong quantitative, analytical and computer skillsVery good educational background, preferably in a numerate disciplineAttention to detailTech-savvy and comfortable in a field that combines elements of multiple disciplines (technology, marketing, mass psychology)International perspective and cultureOther Key Considerations3-6 years of work experience in the fields of consulting, marketing, telecoms or information technologyMBA or postgraduate business degree (or like experience) a plusExperience with budget management and cost controlBusiness development or account management experienceUnderstanding of Mobile, Web, and online advertisingMarketing thinking and creative capability","       Normal  0          false  false  false    EN-US  X-NONE  X-NONE                                                                                                                                                                                                                                                                                                                                                         /* Style Definitions */ #URL_22932ad710cc8bab5012d10e1dc768a71064c391fef21e0fceddb0e7a66f97b6#{mso-style-name:""Table Normal"";mso-tstyle-rowband-size:0;mso-tstyle-colband-size:0;mso-style-noshow:yes;mso-style-priority:99;mso-style-parent:"""";mso-padding-alt:0in 5.4pt 0in 5.4pt;mso-para-margin-top:0in;mso-para-margin-right:0in;mso-para-margin-bottom:10.0pt;mso-para-margin-left:0in;line-height:115%;mso-pagination:widow-orphan;font-size:11.0pt;font-family:""Calibri"",""sans-serif"";mso-ascii-font-family:Calibri;mso-ascii-theme-font:minor-latin;mso-hansi-font-family:Calibri;mso-hansi-theme-font:minor-latin;mso-bidi-font-family:""Times New Roman"";mso-bidi-theme-font:minor-bidi;}The opportunity to learn and grow in a world-class business environmentExciting and challenging work at the cutting edge of marketing and technologyInternational career development prospectsCompetitive remuneration and additional employee benefits ",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Telecommunications,Sales,0
293,English Teacher Abroad,"US, AL, Troy",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
10752,Beauty & Fragrance consultants needed,"GB, , London",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury beauty &amp; fragrance consultants needed!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary &amp; permanent beauty, fragrance, fashion &amp; retail staff. We are recruiting now for beauty &amp; fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care &amp; perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to #EMAIL_11046aef5219327c0db0b1c912c1ba032e7a569ddfc256050c7a98cd16ae9e57#",,,0,1,0,,,,,,0
4394,EXPERIENCED WAITER/ESS NEEDED @ YOOBI - LONDON'S 1ST TEMAKERIA - SUSHI RESTAURANT,"GB, LND, London",,,,"STRONG COMMAND OF ENGLISH NECESSARY Here at Yoobi, we are looking to expand our team in order to accommodate for large customer demand. We have a simple mission – making London’s best temaki sushi with the freshest, most sustainable ingredients around whilst having fun together and with our customers. Role Description Customer service is where it all starts at Yoobi – it is the first step to building your career with us. Sharpen your people, and teamwork skills, and learn how to run every aspect of creating a great experience for our customers. Get ready to grow! We are looking for…: Passionate people. People who operate with a sense of urgency. People who smile uncontrollably. People who love to serve. Foodies, eaters, and sushi aficionados. Neat-freaks. People who are willing to learn from their mistakes. People who want to have a voice in their workplace. People who want to jump at the opportunity to join a rapidly growing company with extremely high standards. The ideal candidate will need to have: - Have excellent command of English - written, spoken &amp; comprehension - Experience in working in a restaurant - Have great customer service skills - Be able to work under pressure - Quick Learner - Have a position attitude In return, We will offer you: - Competitive wage plus cash tips - Free staff meal - Paid holiday - Help you develop your career 3 Quick Questions You Must Answer: 1. Who is the coolest person in the world? 2. What is your favourite current song? 3. Can you whistle? Send us a message, answer the questions and attach a copy of your resume with references. This is your first step to starting your career at Yoobi!",,,0,1,0,Full-time,Not Applicable,Unspecified,Restaurants,Customer Service,0
17705,Admin Assistant ,"US, MI, Grand Rapids",,,,"Job DescriptionAdministrative AssistantDescriptionAn insurance consulting practice is currently seeking a skilled Administrative Assistant to join its team.The Administrative Assistant will provide administrative and clerical support to the Insurance Compliance Department. Success in this role will depend upon the ability to manage multiple tasks, meet deadlines, and provide outstanding administrative and customer service support.Job Responsibilities include:Heavy data entryScanning and imaging of documentsOther duties as assigned by Department ManagerQualifications:Business communication skills, both written and verbal.Advanced level PC skills using Microsoft Office (Word, Excel, Access, PowerPoint and Outlook).Superior customer service skills.Must be willing to work holidays and weekends as needed.Individual must be self motivated with excellent time management, attendance, organizational and multi-tasking abilities.",,,0,0,0,Full-time,Entry level,,Hospital & Health Care,Administrative,1
2878,"Pharmaceutical Sales (Loudoun County, VA)","US, VA, Dulles",,,"As one of the nations leading compounding pharmacies, we offer patients and healthcare providers access to experts in pharmaceutical care, compounding and research. Our #1 priority is providing each individual patient with a safe and unique solution that will help them deal with their specific condition. From our state-of-the-art laboratory, to our caring and compassionate staff, to our clinical research program, the Medimix advantage is clear at every level of the organization.Our mission is to provide our nationwide customers with unique, customized and innovative prescription formulations prepared by highly trained compounding pharmacists. We are committed to improving the quality of life through comprehensive pharmacy counseling and optimal pharmaceutical care.Snapshot:Working with thousands of physicians across the US to improve patient treatmentBased in Jacksonville, FL with laboratories in Florida and TexasPCAB accredited and 5-star rating#URL_2fd69d0a2b6ecd189651bce9749aa1d59889b3ca5daa9b24593352914615a252#","Educate providers about Medimix, its benefits and focusDescribe and market Medimixs portfolio of products, emphasizing their features, benefits, and which products are best suited for specific patient profiles or circumstancesPromote the understanding and uses of compounded medications within a designated geographic territoryIndirectly works to achieve or exceed sales goals as pre-defined and pre-directed by immediate supervisorWork with the Director and VP of Sales &amp; Marketing to develop and implement geographic business plans to increase market volume by outlining strategic tactics, activities, and resourcesAnalyze and establish order of calls and routes that maximize opportunitiesDeliver informative presentations using tools and products provided by Medimix based targeted to customer needsDevelop creative strategies to reach “hard to see” doctors and other special customersWork collectively with other Medimix representatives and employees to achieve strategic goalsEnforce and follow Medimix, State, and Federal polices laws and practices in daily activitiesPromote products with integrity in the market place using tools and products provided by MedimixEnsure no materials are presented in the marketplace without prior approval of the document by at least one of the Operational Directors or one of the pharmacy ownersManage time and tasks to achieve maximum customer effectiveness and volumeUnderstand current market dynamics and healthcare economics with a working knowledge of their effects on businessAnticipate and respond to customers objections, problems, and concerns.Provide updates and informational reports back to manager/supervisor","A self-starter able to articulate effectively, evaluate options, and make sound independent decisions with minimal supervision2+ years outside sales experience including target development. Relationships in Specialities including Dermotology, Plastics, OB/GYN, General Surgery, Othropedics, IM/FP, ENT &amp; OncologyExperience developing and sustaining a customer base influencing a customer groupAbility to comprehend complex products of a scientific nature as well as mastering technical knowledgeDemonstrated ability to positively impact sales in a territory without authorityExcellent communication, interpersonal, influencing, and leadership skillsDocumented consistent sales successAbility to maximize market penetration utilizing time management and proper schedulingStrong computer and reporting skillsAbility to study, retain, and present product informationMaintain a valid drivers license and motor vehicle insurance and obey all motor vehicle lawsPrevious sales experience in the healthcare field (pharmaceutical, devices, medical, etc)",,0,1,1,,,Bachelor's Degree,Pharmaceuticals,Sales,0
9478,VP of Engineering,"US, NY, New York",Engineering,,"Boxbee is the Storage Valet Service. We are a startup and also a real, revenue generating business. We help people manage their stuff and live happier urban lives. Half the world live in urban environments, where technology is increasingly applied to solve the problems that come with this way of life. Boxbee was the first to apply technology to the old-school industry of storage. Space in cramped urban apartments is no longer the limit on how much stuff you can keep, and have access to. Businesses use Boxbee, giving them space in their store or high-rise office, without losing access to their stuff.We already work with a select group of recruiters. If you're a recruiter, we thank you for your interest but please do not contact us about these positions. ","Boxbee consists of a client-facing web app, a mobile app, and many pieces of logistical software and hardware needed to run and scale our daily operations. We deal with everything from web languages and large databases down to bar code scanners and boxes with GPS tracking. Boxbee's systems are responsible for the security of our customers' stuff, and for their happiness when we deliver it well. Technology runs through every aspect of Boxbee, and you'll be responsible for its architecture and for managing the team that builds it.This is a hands-on role: you'll be leading a team by coding yourself.Your responsibilities:Hiring and managing an efficient development teamBuilding complex functionality in our web appDesigning and maintaining the backend for our logistics systemsCreating systems for ordering, dispatching of pickups/deliveries under tight time constraintsPath optimization and routing for our deliveries, dynamically adjusting to daily order flowAPI integration with partner servicesDesigning and managing databasesBuilding relevant mobile appsWorking with UX and marketing teams to implement tests and measure data","Senior-level developer experienceExperience hiring and managing a teamExperience in logisitcs (FedEx, Amazon, Zipcar, etc.)Experience measuring and optimizing application performanceUnderstanding of large system scalingExperience coaxing functional requirements out of business requirements","We'll provide you with whatever tools (displays, ergo equipment, etc.) you need to do your job creatively and joyfully.Our options package is very competitive. We also make NY living easy for you by showering you with lots of Boxbee credits!Our New York office is centrally located in Lower Manhattan, is spacious, and receives lots of light for your inspirationSnacks, lunches and all the things you expect in a modern officeWe want you to be healthy and to feel great. We offer sponsored medical, dental, and vision plans",0,1,0,Full-time,Mid-Senior level,,Logistics and Supply Chain,Engineering,0
8858,Office Coordinator,"CA, BC, Vancouver",Administration,,"Pardon Services Canada is a national legal services company established in 1989.We serve as an advocate for Canadians with a past criminal record, who wish to exercise their rights under the Criminal Records Act of Canada and the Immigration &amp; Nationality Act of the United States, to obtain a Record Suspension (formerly called a Pardon) and US Entry Waivers. Also assisting with Temporary Resident Permits and Criminal Rehabilitation Status for Americans with a past criminal record.","A leading professional legal services firm is looking for an Office Coordinator.  Working directly for the President and his Executive Assistant, you will be responsible for ensuring the office runs smoothly. You should be assertive by nature, with lots of initiative and business acumen. You must be able to communicate effectively and write very well. In return you can expect a good salary, health care benefits and an opportunity to share in a growing company's successes. We offer a great team environment, friendly colleagues and bright open offices in downtown Vancouver.Responsibilities:Assisting the President and Executive Assistant with correspondence, filing and HR.Providing support to our client specialists with database input, outgoing mail and contacting delinquent accounts.Ensuring office supplies are ordered as needed.Responding to telephone inquiries and greeting clients.",A university degree or diploma in business administration.2-3 years office experience.Comprehensive knowledge of  Microsoft Office SuiteInitiative and follow-up to ensure tasks are completed efficiently.Ability to work independently.,Salary: $15/hour plus health care benefits after 3 months of employment.,0,1,0,Full-time,Associate,Bachelor's Degree,Legal Services,Administrative,0
4861,Front-end Developer,"PL, DS, Wrocław",,,,"Since Pilot is dynamically developing, we are constantly looking for new people to join our team. If you want to be a part of our unique company, check job description below.","2+ years of experience in HTML, CSS and object-oriented in JavaScriptexperience in Photoshopappreciated knowledge of Hamlfluent English - in written and spokenexperience in Gitattention to detailsself-motivated and able to work within a dynamic environmentlove working as part of a team, collaborating with technology and usability expertsunderstand that achieving brilliance is a team effort - collaborate, educate, learn and build on the team around you","absorbing international projectspersonal development opportunities (conferences, workshops, books)private health serivcegym membershipflexible working hourssalary 25-50 pln/hr",1,1,1,,,,,,0
13942,"Manager of Construction - Supervisory Exp - Houston, TX","US, TX, Houston",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Manager of Construction is required having responsibility to develop department staffing plans for construction activities and assign department staff, providing them with both technical and administrative support during their assignments.  Job Responsibilities: Develop department staffing plans for construction activities and assign department staff, providing them with both technical and administrative support during their assignments.Proper resource planning is critical to the success of these activities and is needed to effectively utilize the Department human assets.Monitor the progress of construction planning, scheduling and execution, providing guidance and corrective measures when performance targets are not being met and/or issues arise.Close monitoring and a quick response to issues, is necessary to achieve schedule, cost and quality targets.Work with TAR Managers to optimize the use of construction and turnaround resources.Will ensure we are leveraging the resources in the most efficient manner.Develop staff by providing performance feedback, career development advice, and competency development through training. Staff development is essential to building a high performance team.Provide constructability input in the early phases of project development as part of the Value Based Engineering process.Provide expert consulting during early project development stages.Such support includes development of conceptual construction schedules, construction strategies, construction North America Construction CoE by providing resources, leadership, and input as required.","12+ years experience in capital project management within a chemical plant environmentThe position must have strong communication and leadership skills3+ years of supervisory experience requiredLarge capital project experience requiredLeads by example and sets environment for taking risks within the organization by addressing constraints and approachesInspires others and takes accountability for an innovation pipeline by championing and discerning new and creative approachesFosters the integration of a diversity of perspectives across the organization  resulting in development of high performing teams and outputEducational Qualifications:A BS degree in mechanical, civil, electrical, construction or chemical engineering as requiredWe Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,Management Consulting,,0
13335,Croydon Customer Service Apprenticeships Under NAS 16-24 Year Olds Only,"GB, CRY, CR0 4XY",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Customer Service. During the first 12 months you will work towards a Level 2 Customer Service NVQ and then be kept on in a permanent position.You will be working for one of the UK's largest vehicle rescue organisations and the role will involve:-Being a vital team member-Making outbound calls to customers on behalf of their insurer-Asking questions to acquire all the relevant information-Inputting all of the information onto the sheet/data inputting-Ordering partsIdeal candidates will be happy to pick up the phone.If you are confident with a positive attitude please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Customer Service,0
3596,Network Engineer,"US, TX, San Antonio",R&D,,"WellAware is an oil field communications and software-as-a-service (SaaS) company based in San Antonio, TX that provides a seamless, complete monitoring and production management solution for its customers. Founded on the premise that well, pipeline, and safety data is often unreliable and difficult to obtain, WellAware has assembled a group of industry experts, automation specialists, and world-class software and network engineers to transform oil field communications and software.By strategically deploying the most advanced machine-to-machine network in the world over U.S. shale plays and creating a mobile production software to manage it, WellAware drastically increases the reliability and security of measurement data, while reducing cost and making data meaningful across your entire business.","The Network Engineer is highly experienced in architecting, deploying, troubleshooting and monitoring networks and network devices. You will be part of a team that is responsible for designing and developing scalable, maintainable, highly available network architectures that meet business objectives and SLAs. They will work on projects alone and in team environments to aid in the support of WellAware internal and external products. ","Essential Duties and Responsibilities:Configure and install various network devices and services (e.g., routers, switches, firewalls, load balancers, VPN, QoS)Perform network maintenance and system upgrades including service packs, patches, hot fixes and security configurationsWorks closely with other engineers to drive the evolution and expansion of our current network offeringMonitor performance and ensure system availability and reliabilityMonitor system resource utilization, trending, and capacity planningProvide Level-2/3 support and troubleshooting to resolve issuesWork within established configuration and change management policies to ensure awareness, approval and success of changes made to the network infrastructureSelect and implement security tools, policies, and procedures in conjunction with the companys security teamLiaise with vendors and other IT personnel for problem resolutionApply knowledge of infrastructure technologies, applications, methodologies, processes and tools to carefully analyze and monitor networks and network devices.Conceptualize solutions for the company that may expand the suite of products currently offered.Re-factor current infrastructure design and/or implementation to support application enhancement requestsEvaluate and research latest technologiesSupport production networking issuesResolve network performance and scalability issues by identifying non-best practice implementations and other key areas of improvementMaintain a functional network lab environment for internal development teamMinimum Qualifications:Experience with Cisco, IPSEC, MPLS, BGP, GRE, Vyatta5+ years experience in network design and monitoringDeep understanding of networking protocols (e.g., IPSEC, HSRP, BGP, OSPF, 802.11, QoS)Solid understanding of the OSI and TCP/IP modelHands-on experience with monitoring, network diagnostic and network analytics toolsFundamentals in RF communications systemsFundementals in one or more scripting languages including python, ruby, or shellExperience with M2M services from AT&amp;T, Verizon, etc. is a plusExperience with Agile Development methodology is a plusAbility to work independently as well as perform as part of a small, close knit development and operations team required",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Oil & Energy,Engineering,0
13621,Remote Part Time Recruiter,"US, PA, King",,,"Keen360 helps organizations to design strategies, develop operational efficiencies, and deliverinnovative solutions to achieve measurable results",Keen360 is looking for a remote part time recruiter to help us get our arms around managing the process of recruiting consultants. The ideal candidate will be familiar with vetting candidates for various job descriptions and identifying the best candidates for us to interview. ,Draft job descriptionsPost jobs to appropriate websites and engage business partners to perform additional recruitingReview incoming resumes and identify appropriate candidates for phone screenSchedule phone interviews with candidatesPerform 30 minute phone screens with candidates and record feedback in tracking systemDetermine candidates who warrant an interviewSchedule interview for Keen360 Partnersrecord interviewer feedback into tracking systemManage follow-up with candidatesFormat candidate resume into Corporate templateSchedule on-site interviews with Client as neededManage Client onboarding process,,0,1,0,Part-time,,,,Human Resources,0
4943,Sales Consultant,"US, NJ, Vineland",,,,"Sales Person Wanted Looking for a sales person who has experience, and is looking to grown with the company as we expand into new corporate territories and take over other franchise locations.Compensation is Commission based, so the sky is your limit, you work when you want and make what you want.Company website- #URL_d2e50312b18f8ada0f466bf4579994e61974454c4e7a6ad94764301863be4052#Corporate website- #URL_2293d33598f5e3e4184fa215e89614e04bb4d3f3b0f9a36415c60fd0d4cd4dbb# Ability to apply as college internship for school. Apply at #EMAIL_35f37c174a62c6980571ce9f84f6bf3673af0281ac0d5e86d5f83da443dbe8c8#            #PHONE_143bddf2d6816a8dc32a439e6b7f15f9dbd6d5fc286d4a036a53443e64eee15d# ","RequirementsSales experience of 1 year or more.HS Diploma or GEDCustomer Service skills BonusCollege studentBi-LingualWorked with Vector Marketing , Rainbow Vacuums, Brinks security systems sales, MCA .",Commision based,0,0,0,,,,,,0
16427,Cad Designer,"US, WI, Milwaukee",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Prepare drawings, layouts, schematic diagrams and three-dimensional models of mechanical and/or electrical products and components, as well as design and draw fixtures and gauges.Work of some complexity requiring a moderate degree of improvisation in the application of procedures and methods. Work performed under defined and uniform procedures.5.Select views to be used in drawings using judgment and discretion.6.Check procedures in preparing detail drawings.7.Incorporate changes or corrections to existing drawings as instructed.8.Prepare three-dimensional models from engineering data, sketches, and verbal instructions. Utilize solid modeling software to evaluate assembly techniques, required clearances, mechanical movements, etc.9.Perform layout design of components, assemblies, fixtures, gauges and tooling as needed.Minimum of five years of drafting experience in manufacturing environment and additional five years experience with progressively increasing design experience in manufacturing environment.Knowledge of detail and layout drafting techniques. General knowledge of engineering terminology and various manufacturing processes. Knowledge of mathematics sufficient to compute dimensions, allowances, and tolerances.Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#",,,0,0,0,Full-time,,,,,0
878,User Success Analyst,"GB, LND, London",,,"import•io was founded in 2012 by David White (CEO), Andrew Fogg (CDO) and Matt Painter (CTO) with a unique vision: to make the power of data available to everyone. Headquartered in both London and San Francisco, we employ a diverse team of individuals who are excited about bringing structure to the web and making web data more accessible.","import•io is on the hunt for a User Success Analyst to join our London based start-up.Were looking for someone who loves helping people understand and use new and exciting software and who relishes the challenge of the expressing complexity in a simple and effective manner.Responsibilities Include:Engaging with our users - in person, on calls, over emailAnswering support tickets quickly and effectivelyWriting, updating and improving our Tutorials and Help DocumentationUser outreach &amp; onboardingUnderstanding and working to solve user problemsQuality Assurance testing and bug huntingBe the first escalation point to all areas of the business for any product support issues","You should ideally have:Good task managementHelpdesk support experienceExperience using Uservoice (or equivalent)An interest in IT and customer service experienceExperience using JIRAA keen data brain!It is essential that you also have a killer attention to detail, bags of motivation, a proactive attitude, and the desire to offer up ideas for improvements.","This is a full-time position based in our Hoxton office.Your salary will be very competitive and commensurate with skill and experience, and we offer health &amp; dental insurance.",0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Customer Service,0
8886,Chief of Staff,"US, CA, Santa Monica",,20000-50000,"Were always looking for highly motivated “founder-types” to join us as we grow. Heres what you need to know.Our Core Values:Every member of our team brings something unique to the table, but we share the same values:Use your intelligence without arroganceTake initiative, and execute tenaciouslyPut the teams needs above your ownRespect customers, partners, investors, and co-workersFocus on results, but never compromise on qualityLive to learn, and share what you discoverBe honest, be transparent, and be goodNever, ever give up!Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort.Culture and Benefits:Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:Full medical, dental, and chiropractic coverage  even acupuncture.Daily company lunches catered in  plus snacks and drinks for days!Frequent team bonding events and company outings (including the occasional beach day!)Unlimited paid vacation time  because we trust you to hold yourself accountable.Gym membership with access to facilities all over LA.Flexible work hours  we know 9am isnt for everyone.Stock options  if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.A referral bonus program.Last, but definitely not least  dogs in the office! Bring your own furry friend, or feel free to cuddle with one of the The Mobile Majority pups.","Responsibilities:Communications duties - internal teams, external parties, investorsSchedule and prioritize appointments, meetings, meeting requests, calls, etc.Receive and analyze incoming communications (emails, calls, IMs, etc.) and prioritize accordingly.Assist with maintaining CEOs online persona by drafting, proofing and sending outgoing communications (emails, blog posts, tweets, etc).Help with company alignment - update org charts, update accountability chartsWork on special projects with the CEOSit in on calls and meetings to capture action items and then follow up on their progress.Delegate and proactively solve low-level project tasks as assigned and follow-up with other employees related to their projects and tasks.Conduct research, compile data and prepare papers for meetings and presentations.Proactively communicate progress and completion of tasks, as well as identify and raise potential issues and roadblocks.Handle occasional overflow work assigned by other departments.Perform minimal personal errands from time to time.ABOUT USThe Mobile Majority is a rapidly growing ad tech startup based in Santa Monica, CA, with offices in New York City, San Francisco, Chicago, Sao Paolo and Ho Chi Min City. We have been honored as the 'Best Creative Technology' by the American Association of Advertising Agencies and won 'Best Series A' By the Los Angeles Venture Association.  We are led by a team of experienced serial entrepreneurs, who are seeking intelligent, optimistic team members that love to learn and grow as people.Our patent-pending technology solves the problems that have prevented brands from real investment in mobile advertising: universal delivery across SDKs, a creative canvas, and 1 to 1 audience matching.Our goal is to be the next multi-billion dollar ad-tech company.We have raised $21M, and do business with some of the biggest brands in the world (State Farm Insurance, Hersheys, Clorox and Time Warner Cable, to name a few).Every member of our team brings something unique to the table, but we share the same set of core values:•    Use your intelligence without arrogance•    Take initiative, and execute tenaciously•    Put the teams needs above your own•    Respect customers, partners, investors and co-workers•    Focus on results, but never compromise on quality•    Live to learn, and share what you discover•    Be honest, be transparent, and be good•    Never, ever give up!If you are a good fit, you will be joining a team of passionate, happy entrepreneurs that are looking to build a new kind of company where people are happy and thriving. You will bea an early employee of one of the fastest growing companies in technology.Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means you need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort. ","Excellent verbal and written communication skills.Strong attention to detail and highly organized.Planning, coordination and project management skills.An ability to work independently with minimal guidance and oversight.An ability to work well under pressure, adapting to unforeseen circumstances and overcoming challenges with a calm demeanor.An ability to interact with people of all levels in a professional and personable manner, even in the face of challenges.Familiarity with or interest in learning and becoming more knowledgeable about the tech industry.","CULTURE AND BENEFITSIts no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:•    Full medical, dental, and chiropractic coverage - even acupuncture.•    Daily company lunches catered in - and snacks for days!•    Unlimited paid vacation time - because we trust you to hold yourself accountable•    Gym membership with access to facilities all over LA (and the occasional company hike!)•    Flexible work hours - we know 9am isnt for everyoneStock options - if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.",0,1,1,Full-time,Associate,Bachelor's Degree,Marketing and Advertising,Administrative,0
17264,Account Executive - Colorado,"US, CO, Broomfield",Esri,,"StartupTAP is not a conventional recruiting agency.  We're true Talent Acquisition Partners.We stand behind the belief that talent is the magical ingredient that fuels innovative ideas and builds successful startups. A great idea can only go so far without the right mix of talented, driven people working vigorously toward the same goals. We dont just provide resumes and candidates; well be your Talent Acquisition Partners in crime, doing all the dirty work to find those rare gems, the people that have that unique blend of talent, drive and passion that will bring fresh energy, ability and ideas to your team. So, while youre busy changing the world, let us be your Talent Acquisition Partners, its what we do best.","THE COMPANY: ESRI  Environmental Systems Research Institute (#URL_6b9c7dbc8de6a3e168e9c45ac1289630f08cb59eabb68aeaa926cdb16af18c48#)Our passion for improving quality of life through geography is at the heart of everything we do.  Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them.Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more.THE OPPORTUNITY: Account ExecutiveAs a member of the Sales Division, you will work collaboratively with an account team in order to sell and promote adoption of Esris ArcGIS platform within an organization. As part of an account team, you will be responsible for facilitating the development and execution of a set of strategies for a defined portfolio of accounts. When executing these strategies you will utilize your experience in enterprise sales to help customers leverage geospatial information and technology to achieve their business goals. Specifically…Prospect and develop opportunities to partner with key stakeholders to envision, develop, and implement a location strategy for their organizationClearly articulate the strength and value proposition of the ArcGIS platformDevelop and maintain a healthy pipeline of opportunities for business growthDemonstrate a thoughtful understanding of insightful industry knowledge and how GIS applies to initiatives, trends, and triggersUnderstand the key business drivers within an organization and identify key business stakeholdersUnderstand your customers budgeting and acquisition processesSuccessfully execute the account management process including account prioritization, account resourcing, and account planningSuccessfully execute the sales process for all opportunitiesLeverage and lead an account team consisting of sales and other cross-divisional resources to define and execute an account strategyEffectively utilize and leverage the CRM to manage opportunities and drive the buying processPursue professional and personal development to ensure competitive knowledge of the real estate industryLeverage social media to successfully prospect and build a professional networkParticipate in trade shows, workshops, and seminars (as required)Support visual story telling through effective whiteboard sessionsBe resourceful and takes initiative to resolve issues","EDUCATION: Bachelors or Masters in GIS, business administration, or a related field, or equivalent work experience, depending on position levelEXPERIENCE: 5+ years of enterprise sales experience providing platform solutions to businessesDemonstrated experience in managing the sales cycle including prospecting, proposing, and closingAbility to adapt to new technology trends and translate them into solutions that address customer needsDemonstrated experience with strong partnerships and advocacy with customersExcellent presentation, white boarding, and negotiation skills including good listening, probing, and qualification abilitiesExperience executing insight selling methodologiesDemonstrated understanding and mitigation of competitive threatsExcellent written and verbal communication and interpersonal skillsAbility to manage and prioritize your activitiesDemonstrated experience to lead executive engagements to provide services and sell to the real estate industryKnowledge of the real estate industry fiscal year, budgeting, and procurement cycleHighly motivated team player with a mature, positive attitude and passion to meet the challenges and opportunities of a businessAbility to travel domestically and/or internationally up to 50%General knowledge of spatial analysis and problem solvingResults oriented; ability to write and craft smart, attainable, realistic, time-driven goals with clear lead indicators","Our culture is anything but corporate—we have a collaborative, creative environment; phone directories organized by first name; a relaxed dress code; and open-door policies.A Place to ThrivePassionate people who strive to make a differenceCasual dress codeFlexible work schedulesSupport for continuing educationCollege-Like CampusA network of buildings amid lush landscaping and numerous outdoor patio areasOn-site café including a Starbucks coffee bar and lounge areaFitness center available 24/7Comprehensive reference library and GIS bibliographyState-of-the-art conference center to host staff and guest speakers Green InitiativesSolar rooftop panels reduce carbon emissionsElectric vehicles provide on-campus transportationHundreds of trees reduce the cost of cooling buildings",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Sales,0
16805,Part Time Office Assistant,"US, OH, Cincinnati",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","To perform general secretarial/receptionist duties and other duties, as appropriate, within the corporate office. Which includes answering phones, sending invoices, emails, contacting customers, other duties as requested by the office manager","REQUIREMENTSMust possess a high school diploma or its equivalent with classes in clerical areaMust have 1-2 years with a Customer Service backgroudMust be able to use a computer, all Microsoft ApplicationsMust have a positive attitudeA true desire to satisfy the needs of others in a fast paced environmentRefined verbal and written communication skills",Hourly pay based on experience,0,1,0,Full-time,,,Consumer Services,Customer Service,0
7926,Software Engineer-JAVA,"US, TX, Dallas",,,"Cigniti Inc. is a 15 year old Irving, TX based professional services and staffing company which helped companies like Trimac Transportation Services, The Freeman Company, Crowley Maritime Corporation, SUBWAY , Teletech Holdings, Heartland Payment Systems, Merrill Lynch, MoneyGram, Stroz Friedberg, HighRadius Corporation, Hertz, Lego, Exeter Finance, NQ Mobile, SecondStreet Media, IQ Interactive, Intuit, Kabam, Vungle, Winshuttle, Shazam Entertainment, Opera Software ASA, GXS India Technology Center, Commerce to identify the right talent for their ongoing and upcoming projects in multiple locations across the USA. The Cigniti Advantage: With a full time staff of over 500 certified consultants across the USA, Cigniti can be your go-to partner for all your staffing needsDedicated Talent Acquisition team(s) with over a decade and a half of experience and expertise in staffing IT/QA teams15% bench to meet those dynamic business and project demandsOnsite consultants working at client locations have access to a specialized R&amp;D team and senior leadership at no extra cost to our clientsDedicated account management that will take ownership of resource onboarding processes to ensure rapid induction of consultants to your projects Can we schedule a few minutes to talk about your recruitment strategy and plans?  We would like to explore opportunities to work in partnership with your team. We look forward to hearing from you.","This is a Java backend position with heavy database operation.  They need webserver experience, Corba, Hibernate, JAVA Framework , Agile. This team has 16 people in place with 10 developers offshore and onsite, 1 scrum master, 1 PO , 2 Bas, 2 Tech leads and a Manager above all. This person will be joining the devs onsite. And this is for Revenue group."," IT experience on multiple platforms/technologies Demonstrated problem-solving abilities Solid verbal communication skills Beginning to develop Leadership skills and success using skills Experience working through majority of Systems Development Life Cycle phases Demonstrated ability to work well with most Customers at multiple levels (Leadership and Non-Leadership) and proven success doing so Ability to work well in a Team environment Proven ability to work well in cross-organizational Teams experience in Java experience in HTML experience in Java Swing experience in OO Analysis and Design experience in Gap Analysis of existing software to current and future designs experience in Working with relational databases, preferably Oracle experience in UML",,0,1,0,Contract,,,Airlines/Aviation,Information Technology,0
4276,Account Manager,"US, NY, New York",,,"Were always looking for highly motivated “founder-types” to join us as we grow. Heres what you need to know.Our Core Values:Every member of our team brings something unique to the table, but we share the same values:Use your intelligence without arroganceTake initiative, and execute tenaciouslyPut the teams needs above your ownRespect customers, partners, investors, and co-workersFocus on results, but never compromise on qualityLive to learn, and share what you discoverBe honest, be transparent, and be goodNever, ever give up!Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort.Culture and Benefits:Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:Full medical, dental, and chiropractic coverage  even acupuncture.Daily company lunches catered in  plus snacks and drinks for days!Frequent team bonding events and company outings (including the occasional beach day!)Unlimited paid vacation time  because we trust you to hold yourself accountable.Gym membership with access to facilities all over LA.Flexible work hours  we know 9am isnt for everyone.Stock options  if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.A referral bonus program.Last, but definitely not least  dogs in the office! Bring your own furry friend, or feel free to cuddle with one of the The Mobile Majority pups.","Responsibilities: Identify and maximize growth opportunities from a portfolio of active Majority clientsUtilize acquired knowledge of Majority products and industry news/trends to answer client questions and speak to any concernsProactively create performance reports and proposals to educate clients on current campaigns and new Majority opportunities that align with their businessVisit clients regularly in their offices and in social settings to build relationships and trustMake outbound calls and send emails to reengage with that have been inactiveUtilize Salesforce to maintain account recordsCollaborate well with internal departments (including Client Management, Client Performance, Engineering, Product and Business Development)Understand internal resources needed to be able to help resolve hurdles with clientsAdvocate on behalf of clients, to internally escalate any concerns and reasonable requestsAnalyze campaign results and report back progress and well as new opportunities to clients at the end of a defined periodNegotiate contracts and pursue ways to secure longterm relationships with clientsThis position offers a competitive starting base salary with huge bonus potential, stock options and an amazing opportunity to work with experienced entrepreneurs building a fun and fast paced company. ABOUT USThe Mobile Majority is an intelligent mobile marketplace for brands, where innovative technology, validated audiences, and unbound creative come together in the form of powerful mobile ads. Our proprietary product suite ensures rich media ads are delivered universally, fraudulent impressions are detected and avoided, and ads are served directly to the consumers who will find them most relevant.Were led by a team of experienced serial entrepreneurs and media industry veterans - all with a shared passion for eliminating what clients have identified as the biggest issues in mobile today.  Our headquarters are located in Santa Monica, CA, with additional offices in New York City and San Francisco.Every member of our team brings something unique to the table, but we share the same set of core values:•    Use your intelligence without arrogance•    Take initiative, and execute tenaciously•    Put the teams needs above your own•    Respect customers, partners, investors and co-workers•    Focus on results, but never compromise on quality•    Live to learn, and share what you discover•    Be honest, be transparent, and be good•    Never, ever give up!If you are a good fit, you will be joining a team of passionate, happy entrepreneurs that are looking to build a new kind of company where people are happy and thriving. You will be an early employee of one of the fastest growing companies in technology.Things to Consider:1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means you need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. Startup life can be a rollercoaster, but we are led by a team of experienced entrepreneurs who have built and sold multiple companies. The opportunity for upward mobility at The Mobile Majority is tremendous, but only if youre willing to put forth the effort. ","2+ years Sales or Client relationship experienceDigital Media/Advertising experience requiredExperience in building and growing relationshipsMotivated individual, with a positive attitude, who can consistently strive to exceed expectationsExcellent communication skills, both written and verbalAttention to detailUse data to effectively tell a clear story","Its no secret that we work hard, but we also strive to create an office environment where the lines between work and play are blurred. This means we offer great perks, to help keep our team healthy, productive, and happy:•    Full medical, dental, and chiropractic coverage - even acupuncture.•    Daily company lunches catered in - and snacks for days!•    Unlimited paid vacation time - because we trust you to hold yourself accountable•    Flexible work hours - we know 9am isnt for everyoneStock options - if youve been with us for a year or more, we want you to experience the pride and rewards of ownership.",0,1,0,Full-time,Associate,,Marketing and Advertising,,0
10707,Social Manager - Social Media Marketer ,"US, PA, Allentown",,,"Our mission is to help create a strong connection between small businesses and the communities that they operate in. We believe in empowering local businesses with the power of social technologies and innovative applications coupled with our comprehensive services.Founded in 2011 in The Lehigh Valley, we have clients in several states. Were headquartered in Allentown, PA and a part of the amazing venture in City Center Lehigh Valley: Velocity Incubator&gt;Accelerator. Were a startup looking for bright minds, go-getters and individuals teeming with creativity and a passion for helping local businesses.","Our Social Management Team is at the heart of The Social Stations offerings. Social Managers should have a passion for social media and flow with creativity and energy. Social Managers are the voice of our small business clients. They engage with our clients customers, help increase word of mouth, provide exceptional customer service for them, and aid in amplifying their brand. Social Managers safeguard and enhance our clients online reputations by responding to customer reviews; develop authentic, intriguing content that keep our clients in the minds of their customers; and make contact online to foster relationships with potential patrons. Our team consists of dedicated individuals who are emotionally intelligent and possess an unprecedented devotion for aiding local businesses connect with their customers.What youll do:Compose content for a set amount of clients, increasing word of mouth, safeguarding their reputation, and gaining them new customers.Aid in updating clients on progress by monitoring and reporting social actions.Contribute to a results-driven atmosphere with awareness to account performance and a high degree of situational awareness for all clients.Implement advice from clients and other team members rapidly and effectively to guarantee client gratification.Any other responsibilities that may result in the environment of a fast-moving startup.","A bachelors degree.Phenomenal communication skills and exceptional writing ability.A high level of creativity.Proven success in a deadline and detail oriented atmosphere.A passion for local businessesAn affinity, basic understanding and interest in online communication channels like social media, customer review sites and others.A desire to work in a fast-paced startup environment.An excellent work ethic and “get things done” attitude.Steadfast principles and strong character",,0,1,1,Full-time,Entry level,Bachelor's Degree,Marketing and Advertising,Marketing,0
17,Hands-On QA Leader ,"IL, , Tel Aviv, Israel", R&D,,"At HoneyBook were re-imagining the events industry and building a product that is already changing the world for some of the top event planning celebrities in the nation. Were a well-funded and growing team of 20, passionate about creating the happiest customers in the world. Inevitably this involves a lot of champagne and macaroons, but its always our gorgeously designed product that generates the most smiles.","We are looking for a Hands-On QA Leader for our talented R&amp;D team, located in the Center of Tel Aviv.In this role you'll be responsible for leading and building our QA process. You will work closely with people across engineering, product, and community to help develop an amazing experience for our customers.","Previous experience in client &amp; server testingExperience in Leading QA team and processes Experience in Automation tools' usage and/or development - Must Proven experience with QA methodology, testing processes and documentation standards (STP, STD, STR)Proven strong technical skills; ability to deep dive into complex problems &amp; find their root causeGood understanding of internet technologies and the development cycle",,0,1,0,Full-time,Mid-Senior level,,Internet,Engineering,0
1382,Revenue Services Specialist,"US, FL, Clearwater",,,,"This position is responsible for executing billing, payment processing and accounts receivable management job tasks for the client's utility, mass market customer segment. Performs tasks necessary to comply with regulatory requirements and SOX financial controls. It operates under general supervision and on various work schedules to meet customer demands. The incumbent maintains a required level of expertise in the systems required, and uses this knowledge to ensure timely and accurate billing, payment processing and collections. Responds to and resolves customer inquiries and complaints. Interfaces with key internal stakeholders, including Customer Contact Operations, Metering Services, Distribution and Customer Service Support. Job tasks for individual performers may vary.25% Performs accurate and timely billing, payment processing and accounts receivable management for mass market residential and commercial customer accounts.25% Performs account maintenance activities for mass market residential and commercial accounts to ensure compliance with company policy, state and federal regulations.25% Performs tasks to comply with internal financial, operational and SOX controls for mass market customer segment.20% Interacts with internal stakeholders to respond to inquiries and handle escalated complaints for mass market residential and commercial accounts .5% Perform other duties as assigned.Job-specific knowledge, skills or abilities:*Demonstrated ability to work safely and knowledgeable of the companys safety requirements and regulations*Ability to analyze and compare data to ensure accuracy*Demonstrated problem solving skills*Written and oral communication skills*Ability to effectively research/investigate information needed and exercise good judgment in making decisions*Possess time management and personal organizational skills*Ability to give and receive positive and constructive feedback*Flexibility to accept and adjust to short timelines and operate with minimal supervision*Understanding of the confidential nature of information encountered while performing duties and the ability to treat it accordingly*Ability to sit for long periods for certain job functions*Ability to stand for long periods for certain job functions*Ability to lift mail trays, push mail carts, and large recycling bins for certain job functions;","Preferred Qualifications:*Working knowledge of Microsoft Office Products (Outlook, Excel, Word, PowerPoint)*Working knowledge of the applicable Customer Information &amp; related systems*Working knowledge of the applicable utility commission rules and regulations*Ability to provide quality service to internal and external customers;Education: High School Diploma or Equivalent; Years of prior work related experience in addition to a degree: 1  2 years in revenue cycle, customer service, or other industry-related areas ",,0,1,0,,,,,,0
17163,Business Development Executive,"US, IL, Chicago",Sales,,"BCV is the premier full-service social media provider in the hospitality industry. Our social media experts have developed proprietary services, methodologies, and tools to continually create significant value for clients. We are the only provider with the capacity to monitor the social space and manage potential crises 24 hours a day, 7 days a week. Our comprehensive management services are supported by a robust arsenal of cutting-edge tools and analytics designed to drive traffic, increase sales, create unforgettable experiences for guests, and mitigate negative customer experiences.","BCV is the premier full-service social media provider in the hospitality industry. Our social media experts have developed proprietary services, methodologies, and tools to continually create significant value for clients. We are the only provider with the capacity to monitor the social space and manage potential crises 24 hours a day, 7 days a week. Our comprehensive management services are supported by a robust arsenal of cutting-edge tools and analytics designed to drive traffic, increase sales, create unforgettable experiences for guests, and mitigate negative customer experiences.","Desired Skills and Experience Directly sell BCV services to hotel &amp; resort prospects in the luxury hospitality space.Meet or exceed annual and monthly sales targets and quotas.Execute a sales plan, generating, and qualifying leads.Build sales pipeline and win new business by identifying and closing new business opportunities.Build network of contacts to engage new prospects.Negotiate the terms of an agreement and close sales.Leverage sales experience to build alliances with hotel partnersResponsible for weekly sales reports and updates.Proactively track and maintain all prospect and client activity in Salesforce.Provide accurate sales forecasts to the VP of Sales on a weekly basis.Qualifications5-7 years experience in sales of services and related offerings  Hospitality experience a plus.Solid understanding of the digital marketing landscape including social media &amp; digital media.Proven strong track record for achieving and exceeding sales quotas.Demonstrated success of building a pipeline of new client prospects and sales opportunities and converting to booked revenue.","Monthly Gym MembershipMonthly Phone Stipend and new iPhone UpgradeHealth, Vision and Dental Insurance Monthly Ventra StipendRelaxed and fun environment ",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Sales,0
10912,Inbound Sales Representatives,"US, VA, Hampton",,0-0,"Delivering superior customer services for over 10 years, IBEX Global is a business process outsourcer offering an integrated suite of services that supports an expansive array of customer facing solutions.Headquartered in Washington, DC. IBEX Global delivers onshore, near shore and offshore solutions in 5 countries, across 18 call centers employing over 9000+ customer service professionals.  The recipient of several client and community awards such as the Goodwill Industries Employer of the Year, IBEX Global is one of the most forward thinking companies in the BPO industry.","Grow your career in an Entry Level Call Center Sales OpportunityAre you ready to start your sales career with a growing organization in a call center sales and customer service role, and then consider joining our growing inbound team at IBEX Global!IBEX Global is rapidly growing and were looking to quickly ramp up our Call Center Customer Sales &amp; Service Representative positions that will be responsible for taking inbound calls from clients, selling telecommunications products and servicesAt IBEX Global weve been delivering superior customer services for over 10 years, as a business process outsourcer offering an integrated suite of services that supports an expansive array of client facing solutions. Representatives are responsible for generating sales of telecommunications products in an inbound sales center.In this role you will be responsible for fielding inbound calls from customers providing quality support , making sales offers on every transaction and suggestive selling telecommunication products and services based on customers needs.","RequirementsAs a Customer Service Sales Representative you should be driven to succeed and exceed customer service and sales goals. In this role you should have strong interpersonal communication skills with a confident and outgoing personality.• Generating sales for our clients services and products and representing IBEX Global and its clients with theutmost integrity and professionalism• Providing exceptional service and maintaining established acceptance rating on quality monitoring tests• Resolving customer concerns immediately and effectively, displaying professionalism and courtesy at all times• Having full knowledge of features and benefits of products and services; quickly and correctly answering product questions seeking to stay informed of program changes• Attending and actively participating in all required training programs; showing eagerness and capacity to learn new products and programs.• 1 year of sales experience STRONGLY preferred• Proficient in computer skills• Excellent verbal and written communication skills• Ability to utilize basic math computation skills, preferred• Background and Drug screen required.","Benefits:We offer our employees the following comprehensive benefits plan:• Medical, Dental and Vision Insurance• 401(k) with company match• Paid Time Off• Paid professional training• Career AdvancementNote: Interested candidates must submit online application on the following link.#URL_e089fced0d4a47ba0cba718477e356d9aa2a1108dd0c66da14bba5314379d969#?__JobID=*EBEC6B#PHONE_9529d5852ff6f0a8d9fb4725a748f67d20c4f53b6fed4f8995ffe73ee30d1856#",0,1,0,Full-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
8397,Desenvolvedor Web,"BR, , ",Tecnologia,,Somos uma empresa de TI foda!,Você gosta de desenvolver? Essa é sua chance.#URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#jQuery,JavascriptSQLNoSQL,VRVTFériasFGTS,0,1,1,,,,,,0
2651,Part-time Local Coordinator for highschool Exchange Students,"US, AK, Juneau",,,"International Cultural Exchange Services (ICES) was founded in 1991 by John J. Crist in Atlanta, Georgia. John had previously worked in Youth Exchange Executive Roles for over 10 years in the United States, based in San Francisco and Santa Barbara, California and internationally in Brighton, England, Madrid, Spain and Stockholm, Sweden.For well over two decades ICES has promoted a more peaceful world by advancing international awareness and cultural understanding to thousands of exchange students from all over the world. ICES has become a leader in the field of youth exchange due to years of hard work, quality service, and caring hearts of our president and key ICES staff who have all been with ICES since its inception.","International Cultural Exchange Services (ICES) is a non-profit organization offering opportunities for young people from Europe, Asia, and South America to spend a school semester or year with a host family in America. We have been in the business for over 20 years and through international educational and cultural exchange, we are able to show young people from many nations a unique, eye-opening experience immersed in the family, school and society of a foreign country. The result is a real recognition of the value of diversity, an appreciation of the unique qualities of other countries and cultures, increased self-esteem and confidence and a better developed ability to recognize opportunities and solve problems with a multi-cultural perspective.We are looking for professional individuals interested in finding homes for these wonderful students. As a Local Coordinator you will be responsible for generating interest in the local community, completing all required paperwork, working with families interested in hosting, providing orientations for both families and students, guiding young, international visitors through personal and cultural adjustment, providing your community and the young people they host positive, life changing exposure to new cultures.ICES provides tons of support for our Local Coordinators. Each LC (Local Coordinator) is paired with a Regional Director who trains, develops, and coaches you throughout the placing season. They will answer any questions you might have, and they also guide you through the process of making a placement.We also provide Training with an online based training platform. We provide a conference training schedule that you can sign on and listen to information from a specified trainer, who will also provide numerous information and answer any questions. There are ample training guides and hands-on material for you to learn all about student exchange!LC's work from home and develop their own schedule to work in the field with families, students, and schools. This provides great flexibility to you to work on your own time, along with another job, or if you are a stay-at-home parent, etc.LC's receive placement and supervision fees for each student they place and supervise. In addition, ICES offers the opportunity to qualify for an international incentive trip and a national training meeting held once a year by obtaining a certain number of placements by certain deadlines.This is a part-time work from home position, great for stay at home parents, teachers, home business owners, anyone looking for a secondary income, retirees, and anyone else wanting to make a difference in their community.",Requirements:Must be at least 25 years or olderResponsibleResponsiveHave transportationHave an enthusiastic and caring dispositionBe an excellent communicatorHave an interest in foreign culturesEnjoy working with young people between 15 and 18 years of ageEducatedNetworking CapabilitiesComputer and Internet AccessCan pass a Criminal Background Check,"We offer $800 for placement and supervision fees per student placed, plus incentives for Domestic and International travel.",1,1,1,Part-time,Entry level,Associate Degree,Nonprofit Organization Management,Education,0
1586,Web Designer,"GR, I, Athens",Creative,,"We are a young, dynamic, fast-growing digital marketing agency established in February 2013 and headquartered in Athens, Greece. We are storytellers; we combine strategy with creativity and technology in order to create user-centric campaigns. We think of ourselves as Digital Brand-Builders; a team comprised of individuals with great experience in both digital communications and strategic marketing.  We offer our clients a 360 service that covers the entire spectrum of a brand's existence across digital channels. Our mission is to create world-class interactive experiences, empower audiences to connect and share, and thus, deliver measurable results through creative innovation.We are looking for the next passionate member of our team, so we can create interesting and innovative things together.","Interweave is looking for a Designer, a design Thinker, to create and execute designs across platforms and channels (products, platforms, websites, mobile, campaigns). You will collaborate with strategists, marketers, information architects and copy writers, and build with them great brand experiences online. Our goal, and part of your job, is to create user-centric campaigns, highly engaging, which exceed client expectations and objectives. Responsibilities•     Develop creative ideas and quality design•     Understand the brief and produce designs that are in keeping with the brief, brand and overall strategy•     Collaborate with internal teams, as well as, client teams when necessary•     Effectively articulate the reasons for every decision in your design and how they enhance the brand values•     Show attention to detail •     Communicate thoughts and expertise to the rest of the team•     Be up-to-date on new technologies and trends in your field and share them with others•     Respect deadlines and adhere to them  Mindset•     A passionate person, who loves what he/she does•     Positive attitude•     A combination of a thinker and a doer•     Team-oriented and work well with others•     Someone who likes to challenge him or herself in order to be better at his/her craft and thus, produce higher quality material•     Honest and fun-lovingQualifications•     5+ years of experience in visual design •     Experience in Web Design and Creating Brand Identities •     Exceptional design skills, with knowledge of Adobe Suite•     Speed and proven ability to balance multiple projects while meeting tight deadlines•     Knowledge of Responsive Web Design, UX Design, Progressive Enhancement and Parallax Scrolling •     Excellent English reading, writing and speaking skills•     Being able to write front-end code with HTML5, CSS3, Java Script and JQuery, is considered a plus About UsWe are a young, dynamic, fast-growing digital marketing agency established in January 2013 and headquartered in Athens, Greece. We combine strategy with creativity and technology. We think of ourselves as Digital Brand-Builders; a team comprised of individuals with great experience in both digital communications and strategic marketing. Offering our clients a 360 service that covers the entire spectrum of a brand's existence: from brand positioning, to brand expression, to their online presence. Our mission is to create strong brand experiences, empower brand teams and have fun in the process.We are looking for the next passionate member of our team, so we can create interesting and innovative things together. ",,,0,1,1,,,,,,0
14432,Administrative Assistant,"US, CA, Berkeley",,50000-60000,"De Novo Group is a non-profit organization based out of Berkeley and backed by Google and federal grants.  We turn cutting-edge research into sustainable solutions to impact developing communities in the US and abroad, and to expand human rights in oppressive regimes.We are a small and nimble multi-disciplinary team and operate like a typical startup. Our office is located on the beautiful UC Berkeley campus but we are also flexible and mostly work remotely from home or the friendly neighborhood cafe.","De Novo Group is a non-profit organization based out of Berkeley and backed by Google and federal grants.  We turn cutting-edge research into sustainable solutions to impact developing communities in the US and abroad, and to expand human rights in oppressive regimes.We are seeking applicants for an administrative assistant to join our exciting team and help us drive impact.Job duties include but are not limited to:Maintain appointment schedule for team by planning and scheduling meetings, conferences, teleconferences, and travel.Handling payroll and accounting tasks such as data entry to accounting system, disbursing contractor payments, expense reports and moreHandling HR tasks such as filing state forms, interacting with HR vendor and funneling team requirements to and from.Basic customer support such as answering or directing inquiries from customersExecuting purchasing requests originating from team and following through with vendors on shipping, delivery, etcManaging banking requirements and credit cards, etcReading and routing managements email correspondence, drafting documents and collecting signatures, etcMaking travel arrangement including hotel and flight bookings.","Minimum 2 years of administrative experience, preferably in a startupExcellent organizational skills, detail-oriented and ability to multi-taskExcellent team player and comfortable supporting multiple stakeholdersAble to demonstrate excellent communication skills, both verbally and written.Comfortable working in a multicultural environment with a very direct style of communication.Experience using Google Apps including Google Hangouts, docs, sheets, gmail, calendar, admin, etc.Quick learner of new technologies such as accounting software, project management software, etcResponsible and trustworthy - you will be handling payroll and payments to vendors and contractorsSelf-driven and independent - we work in a small distributed team that meets only once a week in person and mostly work from home so we are looking for mature people who know how to get things done quickly with little oversight.Flexible, results/action-oriented, ability to adapt to changing prioritiesPreferred but not required experience working for Internet Service Providers, e.g. cable, satellite or telephony companies.Preferably based out of Berkeley area and able to work at least once a week from the office.","Initially this position is for a part-time contractor (1099) and therefore benefits are not included. But if there is a fit we foresee moving to a part-time/full-time employee with full benefits including health care, PTO, etc.",1,1,1,Contract,Associate,Associate Degree,Nonprofit Organization Management,Administrative,0
11899,Shyp Hero Courier - Los Angeles ,"US, CA, Los Angeles",,,"Shyp is the easiest way to send anything, anywhere.Here at Shyp, were building the #1 brand consumers and small businesses trust for shipping their packages, and in the process fundamentally disrupting a 220 year old #URL_5e3cdedac8b912c62b6523c7af01360e03d0cb98631134035b2ddbaecdee14dd#re building a customer-centric organization that encourages customer service to permeate the decisions and attitudes of the entire company. Our culture is collaborative, focused and fast-paced. We have an incredible team and are well funded by some amazing investors. Come join us.","Trustworthy. Efficient. Friendly.Shyp is looking for reliable, safe and savvy car and bike messengers (Shyp Heroes) to collect items from individuals and business and safely transport to our warehouse for packaging. Shyp Heroes are the face of Shyp. They directly interact with our customers and bring the magical service to life for our users in Los Angeles and our other expanding cities.What is Shyp?Shyp is an on-demand shipping service that allows people to send items anywhere in the world. Simply take a picture with the Shyp mobile app, and within minutes, a Shyp Hero arrives at your location to collect the items.","Shyp is looking for hard working individuals with a positive, can-do attitude, who want to help change the world and provide a magical experience to our customers. We are building a high tech logistics operation, and we dont compromise on anything to make sure customers trust us with their goods. We offer competitive pay and flexible hours to build a team of the most dedicated and trustworthy Shyp Heroes.Our couriers and drivers are called Shyp Heroes, because they save the world from the pain of shipping.","Use your own bike, car, van etc.No passengers or messy/smelly foodFlexible hoursFun peopleBe part of a magical and revolutionizing service",0,1,1,Contract,Not Applicable,,,Customer Service,0
12330,Tax Clerk,"US, TX, Houston",Tax,,"Seismic Exchange, Inc. (SEI) is a prime source of premium 2D and 3D seismic data for the upstream oil and gas industry. We have an extensive proprietary seismic data library of: Over 1,650,000 miles of 2D data, Over 35,000 square miles of onshore 3D data, and -Over 20,000 square miles of offshore 3D data Over 20,000 square miles of offshore 3D data Our 2D and 3D onshore seismic library includes large quantities of data within the major oil basins, with the heaviest concentrations in the Gulf Coast area. Additionally, our 2D library includes significant volumes of data within the major shale trends. Our offshore library is heavily focused on the Gulf of Mexico, with data in the Atlantic and Pacific coastal regions as well. We are focused on continuing to grow our 2D and 3D libraries through a combination of strategic seismic data purchases and new seismic acquisition in active areas. We take pride in providing the following services to the upstream oil and gas industry: Seismic Data Marketing Services Seismic Data Licensing and Client Services Field Acquisition Management Services SEIs corporate headquarters are located in Houston, Texas, and SEI serves the oil and gas industry with offices located in New Orleans, Dallas, Denver, Tulsa, and Corpus Christi, and with a strong presence in Lafayette, Jackson, Midland, and Bakersfield. We stand ready, leading with integrity, a history of success, and a staff of experienced and innovative professionals. Wont you let us help you find one?","Job Description:The Tax Clerk will perform general accounting duties as well as office duties for the Tax Department.Ability to Deal with extremely confidential material.Perform General Ledger Duties.Bookkeeping duties on several smaller entities within the company.Assist with oil &amp; gas working interest accounting.Assist with the proper handling of oil &amp; gas joint interest billings and revenue checks, including proper maintenance of online and off line oil &amp; gas files.Reconciliation of bank statements: Various partnerships.Maintain Tax Files: Responsible to keep files in pristine order, make new files as needed and file all refiles in a timely manner.Carry out General Office Duties: These duties will include but not be limited to scanning, ordering supplies, making copies, shredding documentsProviding other assistance and support to the Tax Department as neededCompetencyTo perform the job successfully, an individual should demonstrate the following competencies:Organizational Skills  Prioritizes and plans work activities.Organizational Support - Follows policies and procedures; Completes administrative tasks correctly and on time; Supports organization's goals and values.Analytical - Collects and researches dataAttendance/Punctuality - Is consistently at work and on timeQuality - Demonstrates accuracy and thoroughness with particular attention to detailQuantity - Meets productivity standardsSafety and Security - Observes safety and security procedures","QualificationsTo perform this job successfully, an individual must be able to perform each essential duty satisfactorily. The requirements listed below are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions.Education/ExperienceAssociate's degree (A. A.) or equivalent from two-year college or technical school; or one to two years related experience and/or training; or equivalent combination of education and experience.Language AbilityAbility to read and interpret documents such as safety rules, operating and maintenance instructions, and procedure manuals.Ability to speak effectively before groups of customers or employees of organization.Math AbilityAbility to add, subtract, multiply, and divide all units of measure, using whole numbers, common fractions, and decimals.Ability to perform basic bookkeeping in keeping with accounting practices.Ability to compute rate, ratio, and percent and to draw and interpret bar graphs.Reasoning AbilityAbility to solve practical problems.Ability to interpret a variety of instructions furnished in written, oral, diagram, or schedule form.Computer SkillsTo perform this job successfully, an individual should have strong MS Word and Excel knowledge.",,0,1,0,Full-time,Entry level,Associate Degree,Oil & Energy,Accounting/Auditing,0
10125,Systems Engineer (Infrastructure),"IE, L, Dublin",,,"Intercom (#URL_cb674f93cbcd215a3a0a57a8615a565545949eead8adbbc73307c26d6a6af81b#) is a simple, personal messaging service for businesses and their customers. It provides a single, integrated platform for the whole company to use for support, marketing, product, sales communication and more.Were on a mission to make web and mobile business personal. We believe that the future of customer communication requires not increasingly complex, impersonal point solutions, but rather a simple, seamless platform that applies innovations found in consumer services like Facebook and WhatsApp.Founded in late 2011, Intercom has raised over $30 million in venture capital and is backed by leading investors, The Social+Capital Partnership and Bessemer Venture Partners. Intercom has been adopted by thousands of businesses, including Heroku, Hootsuite, Rackspace, Yahoo! and Perfect Audience. Our customers love the product: #URL_18cc302f4e0f1dac521853b2a6ae109ebbe44c6130d31eb0413535ba71e2f42f#We like big thinkers with small egos who believe in our goal to build a uniquely impactful, long-lasting tech company. Our vision is to fundamentally change forever how Internet businesses and their customers communicate.","About The JobThe infrastructure team are responsible for running our production infrastructure, including build and deployment systems as well as building back end services as we move from a monolithic rails app towards SOA. We're fans of immutable infrastructure and continuous deployment - currently we deploy up to 30 times per day. We have big plans to improve Intercom's availability and performance while handling very fast growth.To be a good fit, you should have:a passion for application stability, performance, and securitya strong bias for simplicityan interest in building and scaling distributed systemsTechnologies we use todayWe mostly use Ruby/Rails, storing data in MySQL, MongoDB, Redis, Solr, StatsD and Graphite. We make extensive use of AWS, including EC2/VPC/ELB/AutoScaling/S3/SQS/RDS/ElasticCache/Cloudfront - automated using an internally developed tool that manages our continuous deployment.Experience in our day to day toolset is a plus but is, by no means, a requirement. Good people will adjust quickly and have fun learning something new or helping us learn something new.Types of people we're interested inout and out systems engineersapplication developers interested in back end services and distributed systemsThe type of projects you could work onsplit the monolithic rails app in to discrete servicesredesign our data storage to handle the next 100x growthimprove fault tolerance within the application until there are no single points of failureshrink the time taken from a push to master until production deployment to less than 10 minutesbuild tools and processes to help us detect and respond to operational issues quickerincrease visibility in to app performance by working on our metrics and logging infrastructureAbout IntercomIntercom (#URL_cb674f93cbcd215a3a0a57a8615a565545949eead8adbbc73307c26d6a6af81b#) is a simple, personal messaging service for businesses and their customers. It provides a single, integrated platform for the whole company to use for support, marketing, product, sales communication and more.Were on a mission to make web and mobile business personal. We believe that the future of customer communication requires not increasingly complex, impersonal point solutions, but rather a simple, seamless platform that applies innovations found in consumer services like Facebook and WhatsApp.Founded in late 2011, Intercom has raised over $30 million in venture capital and is backed by leading investors, The Social+Capital Partnership and Bessemer Venture Partners. Intercom has been adopted by thousands of businesses, including Heroku, Hootsuite, Rackspace, Yahoo! and Perfect Audience. Our customers love the product: #URL_18cc302f4e0f1dac521853b2a6ae109ebbe44c6130d31eb0413535ba71e2f42f#We like big thinkers with small egos who believe in our goal to build a uniquely impactful, long-lasting tech company. Our vision is to fundamentally change forever how Internet businesses and their customers communicate.",,"Competitive salaryEquity in a fast growing startupThe oppurtunity to work with and learn from a fantastic teamFree breakfast, lunch, dinner and snacks, everydayPublic transport paid forHealthcare",0,1,0,Full-time,Mid-Senior level,,Internet,Information Technology,0
9569,Senior QA Member - Bulgaria,"BG, 23, ",,,,"We are searching for a strong professional QA member to Lead, Create and Develop test cases and procedures as derived from specified project requirements and/or collaborative design sessions. Responsibilities: Coordinate and set up appropriate test environments and perform integration, functionality, system, regression and acceptance testing using manual or automation methods and testing tools.Accurately report and track defects throughout the development life cycle.Analyze and research issues to assist in support of our production environment(s).Pro-actively report critical issues and risks to management via our Online Issue and Work Request Tracking System (JIRA).Calculate testing estimates and develop testing schedules for assigned projects.Update changes and maintain all documentation related to the manual/automated testing process.Coordinate the test set-up for assigned projects, including environments, change control, and pre-defined data.Attend product/project meetings and review as the Quality Assurance representative. ","Requirements: At least 5 years of experience in QA in general and specifically in:WEB/ HTTP/BI testingClient/Server testingAutomatic testing and toolsWriting and running automated tests in any current toolHigh level English  written and spoken.Experience writing QA documentation and methodologies.Well organized and pay attention to details.Experience diagnosing, reporting, tracking and resolving quality issues.Ability to solve problems creatively.Mange QA members  An advantage.Knowledge in JavaScript, Html, PHP  an advantage.Experience in the gaming arena  an advantage.Experience working in Agile environment  an advantage  ",,0,0,0,Full-time,,Certification,Gambling & Casinos,Information Technology,0
15379,Various GP locums make some $$ while you holiday !,"AU, QLD, Brisbane",,," Who is Ramberg Associates? Ramberg Associates is a specialist medical recruitment consultancy based in, Sydney, Australia, that is fast becoming a widely respected provider of health care professionals world-wide. Rambergs team works closely with public and private hospitals to deliver the best medical practitioners across a broad range of specialities and sub-specialities. Our commitment to excellence in supplying services to clients and candidates means we are rapidly expanding in the Australian and New Zealand markets. Our success has led us to plan office bases in the UK, North America and the United Arab Emirates in the coming year. Through our broad network of clients and candidates Ramberg Associates is building its reputation on the ability to place the right person in the right place on a consistent basis in as many places in the world as possible. Medical staff recruited by Ramberg Associates Medical staff on Ramberg Associates exclusive database range from resident house offices, senior consultant physicians and medical directors to allied health professionals and nurses. We recruit across all specialities and sub specialties. These include: AnaesthesiaAccident &amp; EmergencyCardiologyCardiothoracicENTGeneral SurgeryGeriatricsHaematologyObstetrician and GynaecologyOrthopaedicsOncologyPaediatrics/neonatologyPsychiatryNeurologyRadiologyRenalUrologyGPsRamberg medical staff have broad international experience with training and certification gained in the United Kingdom, United States, Ireland, South Africa, the Dominions, Canada, as well as Australia and New Zealand. These staff are rigorously screened and reference checked to ensure their qualifications are of the highest calibre. The Ramberg Associates team believed its a privilege to benefit the communities where our medical staff work, therefore we take the time to discuss with them their goals, aspirations and needs so they are placed in positions that bring the best outcome for themselves, their families and communities that employ them. Our services include: Sourcing appropriate medical staff for permanent and locum positionsThoroughly screening and reference checking candidatesEnsuring the integrity of our medical staffs intentions to commit to positions they acceptGuiding and coordinating medical council registrationAssisting with immigrationRetained search and selectionAssisting in guiding medical staff in their relocation",We require locum GP's for a variety of roles during the holiday season and beyond up to 80% in Billings.,"Various GP locums for the holiday Season!Far East Coast of Tasmania - 18 Jan 3months on goingThis area is renowned for its beautiful beaches, bushwalking, surfing and game fishing. What a great place to spend the summer. $1000 per day + $400 oncall week days, $350 weekday evenings on call as per roster.( Dates 25th - 28th Dec  $1500 per day on call). Plus $800 per weekend day on call. As per roster    - Or 80% of total billings generated on completion of assignment. Good ED skills needed. Currently a 3 Dr Practice, this clinic offers GP services to the local community and the surrounding areas. National economy flights, accommodation and vehicles are provided for assignments.Heart of the PilbaraThis is a sole doctor practice which offers both General Practice and Occupational Medicine support to the local and the mining community. Low work rate, low stress, high remuneration, what more would you want? 13th Dec   - onwards, Mon to Thursday only, $1500 per day for 2nd tier phone on call. Strong Emergency Medicine Skills would be essential. Interest and experience in Occ health medicine would be an advantage. National economy flights, accommodation and vehicles are provided for assignments.Victorian CoastlineAbout 3 hours drive from Melbourne with excellent swimming and surf beaches; this spot is great for seafood. 21st Feb onwards (come for a week or a month). $450 per clinic session, on-call $250 per weeknight, $700 per weekend day or public holiday on-call. On-call as per roster. This is a 2 Dr practice that offers 100% on call support to the local community and provides medical support for the many holiday makers who pass through the area. National economy flights, accommodation and vehicles are provided for assignments.West coast region of Tasmania The town is the perfect base for excursions to the stunningly beautiful natural wonders of the north-west, such as Cradle Mountain, the Montezuma waterfall, the Franklin River and the magnificent rainforests of the Hellyer Gorge. 20 - 24th Dec +17th Jan onwards (come for a week or two or a month). $500 per session (2 x sessions per day) Mon-Fri +$250 weekday on-call + 650 per weekend day on call  as per roster or 75% of total billings generated on completion of assignment, whichever is the greater. This is a 2 Dr Practice and sees a variety of patients. The population is predominantly employed within the local mining industry. National economy flights, accommodation and vehicles are provided for assignments.Tasmania's west coastThe town is surrounded by some of the most awe inspiring countryside Tasmania has to offer. Mountains, waterfalls and rivers and the famous West Coast wilderness railway are just some of the things to explore. Queenstown is now experiencing a revival, reflected in the popularity of its inaugural arts and heritage festival in 2010. The town is now home to a small but thriving arts community, and has inspired writers, painters, photographers and historians by both its unique beauty and history. 4th Jan onwards (come for a week or two or a month). $500 per session, + $250 on call (as per roster) and $650 per weekend day or public holiday day on call or 75% of total billings generated at end of assignment, whichever the greater. This is a 3 dr practice which offers both GP and Occupational Medicine to the local community. National economy flights, accommodation and vehicles are provided for assignments.Pilbara region of Western AustraliaThe location is 2 ½ hours from Karijini, one of WA's finest national parks. 80% of billings, this is a busy clinic so have excellent billing opportunities. Currently a 3 Dr practice providing GP, Occ health services to the community and to the largest open cut mine site in the world, along with providing ED cover for the very busy local Hospital. National economy flights, accommodation and vehicles are provided for assignments.",Up to 80% in Billings.,0,1,0,,,,,,0
8596,Clinical Director  ,"US, VA, ",,,,"Apply using below link#URL_b76b76a4d2bcc16ce99d1541679e0f2a4a0bc69701d85f1c71da00c5d5a3c68a#Clinical Director, Ambulatory Surgery Center, Fairfax VANorthern Virginia Eye Surgery Center is seeking a dynamic and energetic Clinical Director. This position is a leadership role and will directly manage the clinical and administrative staff of the center, and work directly with the medical providers in coordinating patient care.The ideal candidate for this position is an RN with the following skill sets:Inspires othersExcellent clinical knowledge and skillsLeads by examplePerioperative experience, preferably in an outpatient settingManagement experienceOphthalmic experienceCirculating RN experienceUnderstands the importance of safety and infection controlNorthern Virginia Eye Surgery Center is a well established, one OR ambulatory surgery center in Fairfax which specializes in ophthalmology care, delivered by the area's finest physicians. Recent growth includes opening a second operating room to further meet the needs of our patients. Our mission is delivering superb patient care in a healthy and efficient environment.The compensation and benefits package is competitive, and will depend on the experience and qualifications of the successful candidate. Advancing your career and achieving the work/life balance that is a benefit of the ASC environment makes this opportunity desirable.",,,0,0,0,Full-time,,,Hospital & Health Care,,1
1633,Junior Marketer,"GB, LND, London",MKTG,,"Social Media has the power to shape, educate and grow amazing organisations across the world. We're on a mission to make this a reality for everyone, from the street-side cake shop, through to global enterprises.Experiment.We are born experimenters. Everything we do revolves around testing, questioning and breaking away from the norm. Everything from cracking the latest ad formats to changing the way we work.Simplify.Digital media is often confusing, complex and scary when it doesn't need to be. We relentlessly focus on bringing simplicity and practicality to our tools and advice. No wishy washy mindset stuff, just results.Be good.We love nothing more than helping businesses discover an audience. Even the best ideas are nothing unless they are heard. Every month our team helps new startups and charities find their voice online.","Driftrock is a London-based startup on a mission to disrupt the world of Social Advertising. We are building a suite of powerful, intuitive tools that help our clients increase their conversions on social media. Were offering someone the chance to work with our marketing team and learn the secrets of epic Facebook and Twitter campaigns!The role is a paid 2 month placement with an opportunity to join our team full time at the end for the right candidate. Were looking for someone as passionate about digital marketing as we are, who is excited about working in a fast-paced startup environment.You should be an all-rounder who is already active on social media and knowledgeable about inbound marketing. Experience with paid acquisition channels is a plus, but not required as we will teach you all our secrets when you start.Some things you will work onCurating content for and increasing the following of our social media accounts.Writing and promoting blog posts on social media advertising.Running paid advertising campaigns on Facebook and Twitter for a mix of different products.Organising and running events.",Proven interest in online marketing.Confident self-starter.Ability to learn quickly in our fast-paced startup environment.Excellent verbal and written communication skills.A minimum 2.1 degree.Bonus: Know your way around excel,"The icing on the cakeA paid placement.Learn from our Social Ads experts.Free breakfast, lunch and drinks!",0,1,1,Contract,Internship,,Internet,Marketing,0
8655,Production Supervisor,"US, TX, Houston",,40000-45000,"Founded in 1993, our group has, in a few short years, earned an excellent reputation for performance &amp; consistent, repeatable quality.Our group is committed to reinvesting our profits to grow our product lines and to make Hascor the most efficient, responsive, customer oriented company of its kind anywhere. We have a clear agenda and belief: If we provide quality products and services to the customers we sell to, they will find reasons to buy from us again. We strive every day to make that our reality.Our strategy is to supply any customer at any location worldwide no matter the size of its requirement. Our motto is that we supply any customer from 1 kg up to 100000 kg. any time, any place.Our group has a worldwide presence through our company offices as well as our expansive agent network.We produce our materials under the ISO 9001-2008 certification approved by the quality department of Perry Johnson.We constantly strive to innovate under every situation and constraint, to offer our customers innovative, “tailor made” solutions. We welcome your inquiries and challenge to meet all your raw material needs. ","Oversee plant operations.Monitor and troubleshoot operating equipment.Load and unload trucks and containers.Oversee forklift operations.Perform general housekeeping.Ensure plant adheres to safety and environmental rules.Conceptualize, design, and implement plant improvement projects.Identify process deviations and perform root cause/corrective action.Handle material and cargo.Perform preventive and routine maintenance.Replenish inventory as needed.Hire, train, and supervise employees.Devise schedules and shifts.","Flexible ScheduleMinimum 5 years experience.Knowledge of excel, word and Power Point.Strong communication skillsTeam PlayerMechanical back groundInventory ControlLong term viewHands on supervisor willing to think outside the boxQuick learnerAble to communicate in SpanishAbility to operate forkliftsReferences",16.00/hr as starting pay + performance bonus,0,1,1,Full-time,,,Media Production,Manufacturing,1
14743,Office Manager/Executive Assistant,"GR, I, Athens",,1000-1400,"Optimal Business Action was created in 2005 in order to provide high quality, personalized HR services to medium and large-cap companies operating in Greece, the Balkans and the Middle East. Seven years later we are present in Athens, Bucharest and Dubai, and several of these companies are on our client list, including most major banks, pharmaceuticals, hotel &amp; retail chains, and large IT firms. We are one of only nine HR firms in Greece operating under the Temping Permit issued by the Labor Ministry and among a very few which offer employee evaluations based on the combination of the Minnesota Multiphasic Personality Inventory (MMPI) and Rorschach tests. Amongst our advantages is our extensive candidate network, the use of industry-specialized recruiters, our technology tools  including candidate pre-recorded interviews, and our competitive, on-success, fee structure. Our subsidiaries: Creative HR which was created in 2010 in order to undertake human-capital intensive projects, HR department restructurings, and provide HR consulting services. iTechScope Recruitment which was created in 2011 and is the first international recruitment company in Greece specializing in sourcing and placing personnel for companies operating in the fields of Information Technology, Telecommunications, and Engineering. Optimal HR Middle East FZC which was created in 2013 and is the groups entity in the UAE, providing our clients with Recruiting, Consulting, and Training products and services. Our partnerships: As of 2014, Optimal is the exclusive Gi Group (#URL_1458d4797348b1fe258e750e89ab66b11c9565a10abede82d761ce37c53395b6#) partner for Greece and the UAE, offering a vast array of products and services as well as sourcing access to candidates from 32 countries around the world. GI is one of the world's leading HR companies, providing services for the development of the labor market. It employs a staff of almost 2.500 people and its 2013 turnover approached $1.5 billion. We have an exclusive representation agreement with UK's APS (#URL_b40fd0caf83243a019915fa08fcf0afbb9f377d15194c88d4e4896e6848bbbbd#) for their on-line evaluation products, such as the TEIQue, Engagement Survey, and 360 Survey, and an exclusive collaboration with Chris Daffy (#URL_712f5a993f998f7aad7e3cc1b7d9c7d3eb17e8ffcace779065d1902e412e2c3d#) for his Customer Experience training programs. We also work with UK's Epigon Training &amp; Development (#URL_fe1f8ff39ad549be4c26f84c348c2d2dad85c84e6746f60140eb4392e652e543#) for NLP-based training seminars. Please contact us at: +30 210 32 59 350 GR &amp; +971 (0) 56 39 33 546 UAE or e-mail us at: #EMAIL_eb107d9d9176aa07bb1d808b882aacd15565df1b289ed0391e62d9bc7422d718# and #EMAIL_21116c53126810b34d578a02cb53ece4f520daa553e1b3ee91c614cc67d51c5d#","                                             Optimal Business Action on behalf of its client is looking to recruit                                                                    Office Manager/Executive AssistantMain Duties:Maintains office services by organizing office operations and procedures; controlling correspondence; designing filing systems; reviewing and approving supply &amp; stationary requisitionsPrepares correspondence, data reports and manage recordsArranges travel, meetings and appointmentsReviews and implements the company's health and safety policyContributes to team effort by accomplishing related results as needed","Native EnglishFluency in FrenchSimilar professional experienceOrganizational abilities, interpersonal skills, attention to detail and high level of accuracyComputer skills including the spreadsheet and word processing programs and email at a highly proficient level Stress &amp; time management skills",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Shipbuilding,Management,0
16376,"Senior Account Executive, Cloud Solutions","US, CA, San Diego",Sales,,"StayClassy is a fast-growing San Diego-based startup that develops an online fundraising product used by thousands of Nonprofit organizations around the world. The company was founded in 2006 by a couple of friends who were looking to fundraise for charity, but didn't know how to get started. They envisioned a platform that made it easy for Nonprofits to turn their supporters into fundraisers; and so, they set off to build one. After years of testing their concept with dozens of Nonprofits, their initial idea has evolved into what is now an industry-leading online fundraising solution for Charities, Foundations, Churches, Schools, Clubs and more. Recently, the founders were selected by Bloomberg Businessweek as one of the top 5 most promising social entrepreneurs in America. The company is also the host of the Classy Awards, the largest philanthropic awards show in the Country.","About Us:Classy is revolutionizing online fundraising  finding money and supporters that no other solution or strategy can. Classy is a VC backed startup with triple-digit revenue growth and customer retention that beats the worlds top SaaS companies. Were the market leader in peer-to-peer fundraising, and our products are used by thousands of Social Impact organizations, including Oxfam America, World Food Program and Heifer International. Our sales team is still small, but were hiring rapidly to meet enormous customer demand.The company founded the Classy Awards, the largest Social Impact awards show in the Country, and the UN Foundation has recently partnered with us to help manage future Classy Awards events. We care passionately about delivering the best possible product to each organization that we work with and helping the Social Sector grow its overall impact.Our culture is vibrant, fun, and performance oriented. We are creating a space, and rapidly taking share from incumbents.Position Description:We are looking for a dynamic, high performing salesperson with experience selling technology products and/or services. The ideal candidate has a history of exceeding sales quota, loves the thrill of the hunt, and is capable of building and growing a pipeline of business as a result of outbound prospecting and high activity metrics. They also have experience navigating through organizations, doing deep discovery and selling to multiple decision makers, and creating compelling events.Your day-to-day:Research, identify, and tenaciously generate weekly new opportunitiesMethodically qualify, build, and manage an accurate sales pipeline; maintain a high volume of activity including outbound calls, emails, &amp; social sellingStrategically attack a regional territory to close new business and grow existing accounts, working with all support staff and providing direction to outbound prospecting professionalsPerform deep, consultative discovery to meet customers goals and win their businessSkillfully deliver web based presentations, leveraging strong product knowledge and inside sales best practicesComfortably sell to VP &amp; C-Suite executives, navigating through multiple decision makers in complex orgs to create compelling events and secure all approvalsConsistently kill your quarterly and annual sales quota and be well-compensated for doing soThrive on change while remaining highly organized, optimistic, and coachableDrive to individually compete (and win) while still being a fantastic team player",What You Bring to the Table:3-10 years of inside sales or relevant experience selling over the phone/webStrong track record of achievement preferably selling multiple cloud solutionsPreviously trained in consultative / value based sellingTechnically savvy and specifically skilled in using CRM (preferably Salesforce) to manage sales cyclesNonprofit industry experience a plus4 year degree from a great college or university,"We would be remiss not to mention our awesome perks!2 weeks of paid-time off1 week of paid sick/emergency paid-time offFourteen (14) paid holidaysHalf-Day Fridays the last Friday of each month (except in December)Eligible for participation in the companys profit-sharing programMonthly parking pass or Car2Go AllowanceSupplemental Health/Dental Insurance401(k) planEmployee Stock OptionsYogaMonthly Social Hours and Team Building EventsLove the active life? We have company and team outings and participate in team sports.Compensation will be competitive and commensurate with experience, including equity in an early stage startup backed by top-tier VCs.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Sales,0
3924,Receptionist,"TT, CTT, ",Member Service,66000-84000,"VENTURE Credit Union Cooperative Society Limited is a member-owned credit union based in Trinidad and Tobago. We are committed to good governance, improvement in the economic well-being of our members, and the transformation of the credit union movement, while fulfilling our responsibility to our various publics. Our human resources are of strategic importance to us and we are invested in the development of our team members. We are looking for smart, focused professionals who share our vision and want to grow in a dynamic organisation.","Provide general information to members and the general public.Answering all incoming calls on a multi-line telephone, screening telephone call and/or transferring to the appropriate person, dealing with basic enquires, providing information and taking of messages.Process incoming mails (open, stamp, record and forward to the relevant department)Enrolment for TeleventureEnrolment for E-servicesFiling for all documents related to the desk.Process outgoing standing order cheques.Faxing and clearing of cheques listing twice daily.Data entry of all new membership applications and updating of sameComplete application for VCU Debit Card and Group Health PlanPreparation of letters requested by the general membership: (Letter Balances, Embassies etc)",At least five (5) ordinary level CXC / GCE subjects including English language and  Mathematics.Office procedures.At least one (1) years experience in a similar field in a related industry.,,0,1,0,Contract,Entry level,High School or equivalent,Financial Services,Customer Service,0
5280,Caregiver - Buchanan/Niles,"US, MI, Buchanan",,,"""Our mission to our clients is to preserve their independence, enhance their quality of life, promote health &amp; wellbeing and have them consider us a part of their family.""","Home Sweet Home In-Home Care is one of the fastest growing home care agencies in Southwest Michigan! Our constant growth is attributed to excellent client and employee service. Join our team and you will be treated as a valued employee, not just a number. We hold a strong belief that our caregivers are the heart of our company. We offer flexible schedules, a strong support team, and the ability to work from part time to full time.We are currently seeking responsible individuals with a heartfelt desire to assist seniors or disabled individuals safely perform activities of daily living. This position may entail:Assistance with walking and exerciseMenu planning and meal preparationGrocery shopping, errands and escorting clients to and from appointments, etc.Personal careLight housekeeping/ laundryCompanionshipMedication reminders Starting pay is competitive with 90 and 180 day performance reviews. It is important that applicants be familiar with and close to the service areas in an effort to schedule clients effectively and efficiently. Experience is preferred but we will train the right person.",,Competitive compensation with performance reviewsPaid orientation and trainingsMileage reimbursementFlexible schedules,0,1,1,Part-time,,High School or equivalent,Hospital & Health Care,Health Care Provider,0
207,Baby Care Advisor,"GB, , ",,,"HGS is a world leader in Customer Relationship and Business Process Management.  With a global footprint and an experience of over three decades, we have helped our clients deliver exceptional results.  We have developed and implemented some of the best-known processes and practices to deliver a comprehensive range of industry-specific solutions. Our operational excellence, combined with functional expertise, has made us the ""Preferred Partner"" for most of our clients.  We deliver seamless service to some of the world's most recognized brands out of and across North America, Europe, Asia, and Africa.","Do you always strive to go the extra mile? Giving customers more than what they expect and ask for in a caring manner? empathetic, articulate and a quick learner? Do you work well under pressure? Would you like to advise parents and expectants parents on various baby and toddler related issues?If youve answered yes to all the questions, then HGS is the place for you to start a satisfying career. About HGSHGS is a fast paced, vibrant, contact centre with Operations in 7 countries, 43+ centres, employing more than 22,000 professionals and supporting over 500 global clients. We have continued to grow year on year and with this growth we have seen plenty of opportunities. Majority of our employees have progressed internally and we are constantly evolving our talent management and training programs to ensure that we have the best and most skilled workforce. The RoleWe are currently looking for Baby Care Advisors on behalf of one of our clients to provide an efficient high quality service to new parents, expectant parents and health-care professionals across a wide range of pregnancy, baby and toddler issues.As a Baby Care Advisor you will be dealing with customer queries through a number of different channels i.e. live chat, handing inbound calls, responding to emails, letters, responding to social media forums, or making outbound follow up calls. Responsibilities include: Offering a multi-channel information and support service to new and expectant parents.Providing advice and guidance on a range of pregnancy and parenting topics as well as expert information about products.Handling inbound/outbound calls, handling live chats responding to emails and letters, social media forums with utmost empathy and care.Providing outstanding customer experience by offering reassurance, advice and information in an empathetic, enthusiastic and friendly manner.Striving for one call resolution whilst working with escalation teams to provide appropriate level of service when required.Proactively advising line managers of any developing themes in customer issues or concerns and inputting ideas to line manager for improving service offering.  ","•             Proven previous experience in a customer service role (face to face or in a contact centre).•             Empathetic, enthusiastic, friendly and confident.•             Excellent PC and typing skills.•             Team player and customer driven.•             Resilient and motivated.•             Flexible to work shifts.•             Childcare experience (Desirable).",,0,1,0,Full-time,Not Applicable,Unspecified,Consumer Services,Customer Service,0
11800,Community Manager - Social Media Executive,"AE, DU, Dubai",Marketing,,"MarkaVIP is the leading online fashion destination in the Middle East and North Africa. We build and curate amazing products and services for families that appreciate fine name brands at a reasonable price. Our team of talented stylists, technologists and creatives are leading the way in customer experience and innovative online retail solutions.","A day in the life of a Community Manager at MarkaVIP. They say every job is as unique as the company, industry and the individual that inhabits it! With this role comes a roller coaster of experiences and a tremendous opportunity to learn by interacting with a network of brands.Youll start your day by checking-in on all our current social media channels and making sure our customers, who we consider to be family, are happy and satisfied. Youll be acting as a representative of the company; by extension of our marketing and customer care teams. A coffee break and an occasional walk around our warehouse of never-ending product aisles is encouraged…anything to help inspire you to write or simply understand the way things get done around here!Were looking for someone with flair and personality that will shine through on the job! Just like we want our customers to be passionate about our brand, you in-turn need to have energy, passion and interest not only in our brand but in the fashion industry as a whole! Youll be the first point of contact with our online customers so effective communication skills in both English &amp; Arabic is required to cater to our 1.5+ million users from all over the world! In addition to these skills, a demonstrated talent in writing, editing and visual communication will help with developing and managing our editorial content calendar. Experience in utilizing monitoring and listening tools is a plus as youll need to summarize gathered insights and conversations to create actionable reports.","Displays in-depth knowledge and understanding of Social Media platforms, their respective participants (Facebook, Twitter, YouTube, Instagram, Pinterest etc.) and how each platform can be deployed in different scenarios.Proficient with social listening tools to monitor conversations and report on metrics. Bilingual - English &amp; Arabic is required. French is a plus.Excellent communication skills and creative writing skills.1+ years of experience managing social media platforms or communities for brands in a fast- paced industry.Undergraduate degree in communications, marketing, public relations, business or related field.Proficiency in PowerPoint and presentation tools and skills.Knowledge of the fashion or retail industry is preferred. ",Competitive SalaryFull healthcare benefitsOne free return ticket per year,0,1,1,Full-time,Entry level,Bachelor's Degree,Apparel & Fashion,Marketing,0
17788,CASH Paying Vacancies (Apply Today),"US, CA, Los Angeles",,,,"CASH Paying Vacancies (Apply Today)Work as many or little hrs as u like, No cost.You can earn $300 to $400 extra a day.No experience required for this job.Join Today And Start Earning.Suitable For Everyone.Apply Today, Visit Here:-#URL_4a2d8212dd8e2d137eb4fef70e3d337ef15511378ef82c6b6f2fa8a5bae7d92a#-rg.info",Only basic knowledge of computer and Internet required.,Free To Join.,0,0,0,Part-time,Entry level,High School or equivalent,Marketing and Advertising,Marketing,1
14112,PHP Developer,"IN, RJ, Jaipur",Software Development,,,"Job briefWe are looking for a PHP developer who is dedicated to his craft, writes code that is proud of and can hit the ground running. We need you to write beautiful, fast PHP to a high standard, in a timely and scalable way that improves the code-base of our products in meaningful ways.You will be a part of a creative team that is responsible for all aspects of the ongoing software development from the initial specification, through to developing, testing and launching.ResponsibilitiesWrite “clean”, well designed codeProduce detailed specificationsTroubleshoot, test and maintain the core product software and databases to ensure strong optimization and functionalityContribute in all phases of the development lifecycleFollow industry best practicesDevelop and deploy new features to facilitate related procedures and tools if necessary","2+ years of software development experience in PHPUnderstanding of open source projects like Joomla, Drupal, Wikis, osCommerce, etcDemonstrable knowledge of web technologies including HTML, CSS, Javascript, AJAX etcGood knowledge of relational databases, version control tools and of developing web servicesExperience in common third-party APIs (google, facebook, ebay etc)Passion for best design and coding practices and a desire to develop new bold ideasBS/MS degree in Computer Science, Engineering or a related subject",,0,1,0,Part-time,Mid-Senior level,Bachelor's Degree,Design,Production,0
1547,Business Development Director,"US, CA, Santa Clara",,0-0,"Human capital is usually the biggest asset and expense for a company. Making the right hire  the first time  is critical to an organizations ability to succeed in a competitive market. At Talence Group, we take the time to understand our clients and their mission, and match the right talent to this mission.For candidates, we want to make sure that the opportunties we present are a perfect fit for you.  Our goal is to match your core competencies, passion and career focus with great positions that our client companies offer.","This is an exclusive retained search being conducted by Talence Group for our Fortune 500 client.If you have a passion for technology and gadgets in sports and fitness and you have been working in this realm, read on… In this critical role as the leader of business development, you will be the innovator for conceptualizing and productizing wearable devices for the world of Sports and Fitness. You will work closely with the leadership team of this Fortune 500 company that is an innovative technology leader for mobile gadgets.  You will be instrumental in bringing together the leaders in technology with the leaders in sports and fitness to develop killer products! If this fits your background and you are an innovator, please contact me immediately.  This is a highly visible role where you will influence the direction of future consumer products.  If you are a dynamic leader with great communication and influencing skills then this could be a compelling career opportunity.What you will do…Bringing together the technology industry with the fitness and sports world to develop and grow new business opportunities by identifying and qualifying prospective B2B partners.Shaping the future of mobile devices for fitness and sports through innovative ideas and partnerships.Developing long-term strategic roadmap to develop market position and achieve financial growth.Building key customer relationships, identifying business opportunities, negotiating and closing deals.Establishing a well-qualified pipeline of prospects, cultivating business relationships and turning those relationships into long-term strategic partnerships.Presenting and consulting with senior executive team on new business development ideas, business trends and opportunities to develop new products.Building demand for wearable device technology within the sports and fitness industry and driving new product innovation.Networking and actively participating in industry conferences, trade shows and associations.Identifying trendsetting ideas and exploring opportunities through market research.  Building extensive internal knowledge and understanding of the market dynamics and trajectory.Developing negotiating strategies and managing clients to build successful partnerships. ","Business development experience in the world of outdoor, fitness and sports equipment.Proven track record of turning ideas into successful, profitable consumer products and understanding the value chain in the sports and fitness industry.Passion for technology in wearable devices.Strong influencer with outstanding communication and presentation skills.Ability to set strategic direction, manage to goals and drive business development to increase shareholder value.Track record of building strategic, long-term B2B partnerships across industries.Understanding of athletes at all levels as consumers and insight into what drives their purchasing habits.10+ years of experience in business development and product innovation.BS, MBA preferred",Executive Level Compensation 250K+,0,1,1,Full-time,Director,Bachelor's Degree,Consumer Electronics,Business Development,0
805,Street Team,"GB, LND, ",,,London's Going Out App! Explovia simply and brilliantly combines Group Chat with Event Booking.We're looking for people whose eyes widen and mouths open when they see the opportunity of local commerce powered by mobile and social.,"Explovia are looking for dynamic, friendly people who love going out in London. We're looking for fun, energetic, out-going personalities to join our team on a short-term basis during the summer.  Your overall aim is to engage potential users by delighting them handing out freebies, tickets, ice cream, coffee and much more whilst explaining why they should download our free app. What you will be doingYoull be the face of Explovia so youll need to be presentable, communicative and know everything about Explovia and how to represent our values to our target market.  Every time you reach out to the public representing Explovia, youll maintain a high standard of performance and appearance within the set guidelines.Youll be responsible for all event equipment and branding, and youll ensure that things are left ready for the next person to use when youre finished! What we expect from youThis is not a 9-5 gig!  We will offer you work when we need you and you can pick and choose whether you will like to work these hours  were very flexibleYou will love London and know it very well!Youll be a good communicator, very polite, extremely friendly and happy approaching and speaking with anyoneYou should be passionate about Explovia and going-outYou will have a “can-do “attitude combined with a common sense approach In return you get£9 per hourMonthly Explovia credit redeemable against all our eventsFree entry into Explovia “owned” eventsExplovia Overview:        London's Going Out App, available on Android and iPhone",,,0,1,0,Part-time,,,,,0
13343,Front End Developer & Architect,"AU, NSW, Sydney",,80000-140000,Balanced Labs exists to provide accountants and small businesses everywhere with a better life through intelligent and elegant tools.,"Balanced Labs is a brand new (and well-funded) company with teams in San Francisco and Sydney. We are creating new tools for modern accountants and the customers they serve. We are looking for a Front End Developer and Architect who will work closely with the CTO/Co-founder to design, architect and build a front end architecture / framework for this fast growing company. This is a rare opportunity to start on a product from the very beginning so you'll be able to significantly influence the direction and outcome.You Will:Be a JavaScript expert, have worked with large and scalable front end architectures, with an emphasis on performanceHave strong and broad knowledge of current front end technologies and the benefits and detractions of eachStrive to build elegant solutions our customers will loveHave a passion for responsive design &amp; browser performance optimisationBe independent and ambitiousBe enthusiastic about testingEnjoy working in an agile environmentBe able to multi-taskEnjoy working with open source technologiesEnjoy working on a close knit team of designers, product managers, testers and engineers","Tech requirements (you should be familiar with some of these)Client side MVC frameworks (Backbone, Ember, Angular)Client side templating (Mustache, Handlebars, Hogan,)Browser module loaders (RequireJS, Browserify)Polymer elements Unit testing frameworks (Jasmine, Mocha)Build tools (Grunt, Gulp)The usual JS libraries (jQuery, underscore)Browser dev toolsDOM event cycle (capturing, bubbling, delegation)AJAX and asynchronous control flow (callbacks, promises)HTML5, progressive enhancement and cross-browser compatibility and testingPrototypal inheritanceJS module pattern","We offer great salaries, share options, and a flexible approach to work hours and environment",0,1,0,Full-time,Director,Bachelor's Degree,Information Technology and Services,Engineering,0
10281,Customer Service Associate - Part Time ,"US, NY, New York",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in New York, NY. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Complete both hard copy and electronic projectsWork consistently and accurately Ipro, Nuaance, Word, Excel, PowerPoint programsAbility to process all binding types including wire, spiral, velo, and 3-hole punch pressAbility to complete both Black and White and Color bindary projectsProfessional Attireable to communicate both written and orallyFamiliar with Konica equipmentLift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Handle time-sensitive material like confidential, urgent packagesProvide backfill when needed","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredFamiliarity with Ipro, Nuaance, Word, Excel, PowerPoint programsLegal background experience preferredKeyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Excellent communication skills both verbal and writtenWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy ",,0,1,0,Part-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
2446,Mystery Shopper - New Mexico,"US, NM, Farmington",,,"SDI Marketing started in 1988 as a small marketing agency with one simple philosophy: make our client's business our business. Our approach is what makes us one of Canada's most innovative marketing companies, and we take pride in continuing to represent our original clients. Our dedication to maintaining and growing relationships leads to better access to markets, innovative programs and proven results for our clients.","Would you like to get PAID to use your SMART PHONE?SDI Marketing is currently looking for Mystery Shoppers to complete Mystery Shops in select high volume retail locations!We will provide you with a checklist to complete. You must have a data plan &amp; camera on your smart phone.We offer $20.00 for each store location you complete. The more locations you complete, the more for you!Title: Mystery shopperDuration: May 16th - June 6thLocations Available:- Farmington- Grants- Taos- Rio RanchoHow to Apply1. E-mail your resume &amp; cover letter in MS WORD or PDF format by replying to this job ad2. Please include "" (Your Location) + Secret Shopper"" in the subject line of your e-mail.",- Must have a smartphone with a data plan- Must be 18 years of age or older- Reliable access to a vehicle- Previous customer service or secret shopper experience is an asset,,0,1,0,Temporary,Entry level,High School or equivalent,Marketing and Advertising,Marketing,0
2301,Sr. Software Engineer for Javascript/Java/Android,"IN, AP, Visakhapatnam",Product Development Team,400000-650000,"Welcome to our Careers section. Please review the positions we are currently hiring for and apply to the ones that interest you.Founded in 2011, YantraNet enables organizations to connect, monitor and manage networked devices and applications and implement highly interactive and innovative Self-Service, Digital Signage and M2M solutions.","We are a team of  talented software engineers, spread between Visakhapatnam, India and Branford, CT, USA,  building a platform to manage the lifecycles of tens of thousands of internet enabled devices.  We are still a very small and agile company and right on the cusp of moving to the big leagues. As an early hire, you'll have the opportunity to make a meaningful impact in everything from our development process to our tech stack decisions.We are looking for a well-rounded software engineers  to help us get things done quicker. If selected you will be working from Visakhapatnam. We have openings for developers in Java, Android, Javascript and C++.","Required:• BTech in Computer Science or equivalent• Solid software developer with experience building scalable and maintainable software.• Knowledge about version control systems, build tools and testing frameworks.• Opinionated about the art of building software but not a jerk about it. • Experience in either Java/Spring, Javascript/AngularJS/ExtJS. Java/Android or C++/QtNice to Have:• Experience in Spring, Hibernate and the AWS stack• Experience in developing and publishing Android Apps on the Google Play platform.• Experience in writing production stage single page apps using any of the mature JS frameworks ( AgularJS , ExtJS, Backbone, EmberJS, etc ) • Experience writing production application in Qt.• Should be very nimble in using Linux• Experience with unit testing and end-to-end testing.• Experience with GIT, Grunt, Gradle or othr build tools",We offer highly competitve salaries and a nice environment to explore your interests.,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Engineering,0
8304,SAP BW Sr. Developer,"IN, TN, Chennai",,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI &amp; Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&amp;D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall &amp; excellence in the field of Business Intelligence &amp; Analytics by driving BI adoption with our innovative analytical solutions and applications.","In this role, you will be part of an elite team of professionals with established credentials in SAP Business Intelligence. Specifically, you will build best-in-class BI solutions by leveraging your technical expertise in the areas outlined belowBuild InfoCubes, ODS Objects, Multi-Cubes, InfoSources, PSAs, Multi-Providers, Info Packages, Update Rules, Transfer Rules, Start Routines and Process ChainsPerform transformations (BI7), DTP, Aggregates, Open Hub Service (Info Spokes), and Business Content activationExecute data loads including Info Package scheduling, Process Chain of events such as OLTP extractions, delta/full updates, ODS data activation, data load in cubes, aggregate roll-ups and index maintenanceActivate, Configure &amp; Customize (extending) Generic and Business Content Extractors by functional areaDrive Performance Tuning of Queries through Aggregates, Indexes, InfoCube Compression, InfoCube Partitioning, Statistics, and BW Accelerator as well as data loads using BW tools.Develop and trouble shoot ABAP programs including user exits, function modules and start/end/expert routinesBe on the forefront of learning and development by continuously acquiring and upgrading competencies in SAP BIEvaluate new SAP BI technologies by building proof of concepts and demonstrationsEnroll and get certified in a range of BI training programs organized by Visual BI for its associatesLead technology focus groups and conduct knowledge sharing sessionsBuild and nurture your expert profile online (through blogs and SCN) to establish yourselves as a BI Thought Leader in the industryBe a pillar of support for Visual BIs vibrant culture by always willing and eager to experiment, learn, and deliver customer value while having fun.","3 to 6 years of relevant, hands-on experience with one (or a combination) of the following specializations:BW Development (Backend and/or Frontend)BW Production SupportBW + BOBJ IntegrationBW + ABAPBW Security &amp; Administration / BW Analysis AuthorizationFollowing expertise, while optional, would be a plusBW Upgrade ExperienceBW 7.4 + HANA Optimization ExperienceExcellent teamwork, interpersonal and communication skills","Visual BI offers a generous package of benefits including 24x7 access to ALL SAP BI toolsets for learning, one of the best and comprehensive medical cover for self and eligible dependents, maternity/paternity leave, broadband reimbursements and more.",0,1,1,Full-time,,,,Consulting,0
16866,Mobile Networking Engineer,"US, CA, San Francisco",,,"Runscope is building tools for developers working on API-driven mobile and web applications. We have a clear vision for the future of service-powered companies and the tools that will be required to build the next generation of applications. We're an experienced team backed by top-tier investors looking for people who share our passion for building great tools and want to help shape not just our products, but the company as well.Proven Work/Life BalanceA lot of companies talk about work/life balance, but we've to the data to prove how important to us it is. We've posted a breakdown of over 10,000 commits over the first year of the company showing when we work. See the stats.","Broken services == broken apps. We're building tools to help mobile developers gain insight into how the private and 3rd-party web service APIs they use affect app performance and user experience. We need a mobile engineer who loves diving deep into the networking internals of iOS and Android to build world-class, rock-solid developer tools.","Extensive experience working with iOS or Android, with an interest in working in bothExtensive knowledge of TCP-based protocols like HTTP, DNS, etc.Can write an HTTP request by hand in telnet.Experience working with HTTP web service APIs.Familiarity with Runscope Traffic Inspector and Runscope Radar.Bonus points for:Experience building frameworks or libraries used by other developers.Experience building developer tools, either as a product or open source.Experience working on customer-facing products with real-world usage.Experience leading a team of developers.Experience working in a startup environment.","Be a part of an experienced team who have worked on some of the most popular web sites and developer tools.Competitive salary and meaningful equity.Medical, dental and vision insurance.401kFlexible working schedule and real work/life balance. Unlimited vacation and personal time.Casual work environment.Spec your own equipment — tell us what you need and it will be ready to go when you walk in on your first day.Spacious office space in the heart of San Francisco's SOMA neighborhood a short walk from BART or Caltrain.Relocation assistance.We laugh, a lot.",0,1,1,Full-time,Mid-Senior level,,Computer Software,,0
14887,Card Payments Manager,"EE, 37, Tallinn",Product,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"TransferWise is the clever new way to move money between countries. Co-founded by Skypes first employee and backed by some of planet's most experienced innovators, including Sir Richard Branson and PayPal founder Peter Thiel, we're disrupting the world of currency &amp; international money transfer. That means flipping a gazillion dollar industry on its head and taking power away from banks and the establishment.Located in one of Tallinns start-up and design hubs, were growing at an extraordinary rate and looking for exceptional talent to jump on board.Were currently 200 people strong and handling more millions in customers money every day.TransferWise is a 100% boredom-free zone. If youre looking for a stuffy financial company, the back button beckons, if you want to be part of something innovative and truly exciting, read on.","We are looking for someone who truly understands the ins and outs of debit and credit card processing, and can help us grow by providing world class payments to our customers.Your work would include:analysing and improving card authorisation rates, on a global view just as well as BIN range detailassessing market opportunities, given cost and fraud requirements - finding relevant partners and negotiating deals building relations with issuers and schemes - assessing alternative payment methods opportunitiesWe hope that youd be great at: optimising and routing transactions across multiple payment gatewaysevaluating local acquiring and processing - assessing interchange and scheme feesmanaging PCI DSS and its technical and operational requirementshandling large datasetsYoud be an even better fit if you:have experience of payment methods other than cardshave worked with high ATV transactions and high risk MCCshave worked tightly with engineers in the past - are always up to date with the latest innovations within payments","Apart from a competitive salary and all-expenses-paid company holidays twice a year (which are completely insane) stock options in one of Europes most hotly tipped startups, a sexy laptop of your choice and team lunches every Thursday, you wont get much in the way of extras. However, we will give you plenty of holiday a year (plus public holidays), a fun, friendly atmosphere, plenty of opportunities to grow and the chance to be part of our little revolution. Oh, and coffee, theres plenty of coffee.",0,1,0,Full-time,Mid-Senior level,,Financial Services,Product Management,0
2064,Internet Marketing Specialist,"IE, L, Dublin",Marketing,,,"This role represents an important position within the Company. The ideal candidate will be a well rounded internet marketing professional with the ability to: Fundamentally understand human behaviour as it relates to internet marketing techniques; Both prepare and execute well researched and highly organised online marketing plans to drive growth of SkillPages products, both free products and revenue generating; Working with cross functional teams including Product Management to deliver growth of SkillPages products; Numerically analyse the results of marketing strategies and implement constant refinement and optimisation on a near real-time basis.Primary areas of responsibility will include the following: Utilising internet marketing tools and techniques to promote SkillPages products to an international audience, thereby driving visitor traffic to the platform and growth in user numbers. Monitoring the effectiveness and efficiency of internet marketing techniques and responsibility for proposing changes to copy optimisation, landing page optimisation, funnel optimisation, campaign testing etc. Planning and implementing product marketing strategies to meet business targets. Amending the user experience on SkillPages to improve user gratification and deliver improved performance on new user growth and user activity."," 3 to 10+ years experience in a similar internet marketing role. High energy, strong self starting initiative and a demonstrable ability to get things done. A thorough demonstrable knowledge of social media platforms, including Facebook, Google, Twitter etc. and the online environment generally is a requirement. A thorough understanding of internet marketing activities aimed at growing visitor and/or user numbers and/or user activity. Proficiency in analytics packages and a proven track record of online marketing data analysis to drive improved marketing performance. An international mindset is a pre-requisite. Strong understanding of on-line user interfaces and user experience management is desirable. Demonstrable track record of web content creation and web copywriting. Strong attention to detail is a pre-requisite.","RemunerationA highly attractive salary will be provided to the successful candidate, together with an industry leading benefits package.",0,0,0,,,,,,0
16984,Customer Service Positions,"US, WI, Madison",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Under the direction of your team's Marketing Manager, The Customer Service Rep must respond to basic Customer Service inquiries and problem solve by providing information, setting up appointments or directing requests to other professionals involved in the business.The Customer Service Rep is expected to negotiate and provide alternatives and options during all customer interaction. Possess and demonstrated ability to gather information and make appropriate decisions.The Customer Service Rep will have to go through a comprehensive on site training program to learn about our marketing techniques and product line.","YOU MUST HAVE:     1)Proficient PC skills including Word, Excel,      2) Proven ability to work in a fast paced environment with attention to detail      3) Positive and professional attitude in the workplace and when dealing with Customers     4) Accountability and customer Service Skills in order to handle the needs of our customers","WE OFFER:A competitive base plus commissions structure Full benefits provided (health, vision, dental, paid time off, vacation)Paid training",0,1,0,Full-time,,,,,0
17262,Junior Animator,"NL, NH, Hilversum",Animation,,"MediaMonks is the biggest creative digital production company on the planet. We specialize in working for and with advertising agencies to craft amazing digital work for global brands. You can find us anywhere on the Web as well as in Amsterdam, London, LA, New York and Singapore.MediaMonks boasts an in-house team of 250 Monks that are versed in an infinite range of digital disciplines, collectively covering anything you could possibly throw at a digital campaign. Our award-winning capabilities include film, games and mobile and are recognized by over fifty institutions worldwide, including Cannes, Eurobest, the Webbys and the Awwwards.MediaMonks, est. 2001, is a member of SoDA and part of the FWA Hall of Fame. Catch our latest showreel at #URL_9ae12c3d274cab9516c33a92a00900561d083cecea1571d12dd251f5d12c1608#.OpeningsInterested in joining this club of digital artisans? Check out our vacancies and tell us why we should hook up right away. We tend to care less about paper qualifications and more about hands-on experience, so be sure to provide us with a portfolio or other feat of arms. Nothing that matches your skill set? We have awesome jobs for awesome people. Simply tell us about yourself and your trade and we may dream up your digital dream job (or internship) just like that.","Animators of the World! MediaMonks is in pursuit of a talented individual to up the ante when it comes to animation across the Web and beyond. Youll be joining an international team of approximately eighteen other animators in making pixels move people. Animating at MediaMonks is all fun and games, so stop sitting and apply if you want to make the world a better place.Much more than it is about moving pixels, animation is about moving people. As an animator, you need to understand how users interact with digital content and how animation can enhance this experience. Put like Picasso: good animators attract attention, great animators produce action.","Flash is not dead but an absolute must in this line of work. We consider knowledge of After Effects a big plus, so do include your experience with these packages in your application. Were also curious to learn about you. Tell us anything we cant find on Google(+), Twitter or Facebook. Seriously, wed love to hear about your ambitions and avocations.",#NAME?,0,1,0,Full-time,Entry level,Unspecified,Information Technology and Services,Other,0
14894,Manager of Finance,"US, WI, Milwaukee",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Job Requirements:Ideal candidate will have a min. Bachelor's degree, 5+ years of relevant and progressive leadership/management experience in a complex healthcare environment. Master's degree is a plus along with prior experience in a leadership role with a health plan. Extensive experience in managing and analyzing utilization trends (claims) is a must. Medicaid exp is a plus but not required.Specific duties include managing financial relationship between Health Plan and Hospital System  including payables and receivables between entities; monitoring and assessing UM trends for various populations bands; prepare reports and present financial outcomes to a board level audience. Ensures and fosters a high level of collaboration in order to coordinate activities, review work, exchange information, and resolve problems.Position will report to the Vice President of Health Plan Finance and report indirectly to the executive director of the Hospital system. Position is based downtown.Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#",,,0,0,0,Full-time,,,Accounting,,0
437,English Teacher Abroad ,"US, CA, San Francisco",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
17769,No Scams--Legitimate Work From Home Jobs,"US, NY, New York",,,,"Times are tough! 5.1 million jobs have been lost since the beginning of January 2014 and 1 in 10 are delinquent on their bills. Almost everyone has great concern over their financial future. Would you like to hear a solution? People just like you are earning $40, $50..even $75 every HOUR just from working at home.I show people how to eliminate their bills, and  make serious money. I can AND will prove this to you!!**You don't need any experience, no selling, telemarketing or MLM schemes.It just makes sense. That is why a large number of people are joining as we speak. Get paid dailyand get paid instantly. NO waiting for commission checks. Find out how to eliminate your bills and develop a brand new income. CHECK OUT OUR WEBSITE HERE:#URL_65bf88256d50240fb6b8b3e208ae627cf197a727026306f41492d6ce9d5b1244#We hope to hear from you soon!",***NO EXPERIENCE NECESSARY,$500 Per Day,0,0,0,Part-time,Not Applicable,,,Sales,1
1843,SharePoint Architect,"CA, MB, Winnipeg",IW,,"WHY WORK AT IMAGINET “Working at Imaginet is great! I get to work with leading edge technology as well as the some of the best developers, project managers and management in the industry.” -- Evan Bosscher, Application Developer at Imaginet“Imaginet enables me to use the latest tools and platforms to solve complex and challenging problems and encourages me to continue growing in my application development career.  My peers at Imaginet are some of the best and brightest developers in the country.” -- Tyler Doerksen, Cloud Application Specialist at Imaginet At Imaginet, our clients look to us to help them with their toughest projects using the newest technologies.  We are the technology partner who tames their chaos, who turns disruption into steady state and makes the unknown more predictable.  We are at the forefront of emerging technology trends, new product releases and shifts in the software development industry.  We are constantly learning about, and experimenting with the latest and greatest software platforms, controls, processes and design patterns. Our current projects include:•             Enterprise Mobility •             Dev Ops •             Business Intelligence •             Scrum/Lean/Kanban •             Enterprise Content ManagementIf you are passionate about being part of a leading edge software development team with a commitment to continuous learning, we want you as part of the Imaginet team.BalancePeople lead dynamic lives and not all personal commitments lie outside of 9-5.  At Imaginet, we recognize that our employees need the flexibility to support their families, hobbies, charities, and other interests to stay motivated and fulfilled.  Many employees work partially from home, start/stop work at different times or telecommute from a home office.  At Imaginet, it is passion and performance that counts, not which hours in the day you sit in the office.Personal DevelopmentWe want the best and brightest minds working with us.  Our employees are recognized thought leaders in software development and we encourage continued learning. Imaginet employees who wish to write exams are subsidized upon the completion of technical certifications and can receive raises for exemplary results.  We fund and encourage our employees participation at conferences with special interest in those who can speak on niche software development topics and practices. Imaginet has more Microsoft MVPs on staff per capita than any other Microsoft partner and we encourage employees to attend the MVP summits at Microsoft in Redmond.  Imaginet employees are very active in the local software community and lead .NET user groups in many of our employees residing cities.  We also encourage our employees to present internally via “lunch and learns” on topics of interest.Compensation                                                    Even though Imaginet is a great place to work, its still a job and you want to be paid.  We pride ourselves on our promote from within philosophy and provide competitive compensations. We provide excellent health care benefits including eye, dental and disability.  We also offer overtime to our employees that want to work extra hours on select projects.Recognized LeadersImaginet is globally recognized within the software industry as a premier consulting and software development organization.  We are a multiple Microsoft Gold Partner, Telerik Gold Partner, #URL_eb33f3e87ac1203366e8701171cb1ef8a42857099f85613b3b7f029ffb751723# Partner and a founding member of the Lean Kanban University.   We are invited to speak at conferences around the world and have published numerous books under Microsoft Press.  In addition, we have many Microsoft MVPs, Virtual Technical Specialists (VTSs) and Regional Directors on staff. Our experience spans over 2,400 engagements, 1,100 clients, and over 17 years.  We are the world leaders in ALM on the Microsoft platform and 1st Ever Winner of the Global Microsoft Partner of the Year Award in ALM.","The SharePoint Architect position serves as the primary role for the analysis, design, development, deployment and overall project leadership of SharePoint Projects within our Fortune 1000 customer base. The role requires expert knowledge of Microsoft SharePoint Architecture and a strong working knowledge of related and integrated technologies.  A demonstrated ability to manage large scale SharePoint deployments and the integration with other technologies while adhering to best practices is required.  A demonstrated ability to manage large scale SharePoint deployments and the integration with other technologies, while adhering to best practices is required.  The SharePoint Architect engages with customers to gather, analyze and document customer requirements.  These outputs are used to develop most elements such as information architecture detailing site taxonomies, SharePoint security, departmental requirements, governance implementation, policies, procedures and solutions.  The qualified candidate leads and works closely with the customer and Development team to provide sustainable custom solutions as necessary.  This individual must be highly motivated in the area of SharePoint architectural design.  A unique technical and business skill set with a proven track record of success in order to design enterprise solutions is necessary for this role. Imaginet does not sponsor work visas. We are only considering local or candidates willing to commute to the Winnipeg area. ","Experience in SharePoint architecture, design and implementationExperience in development and implementation of customized SharePoint solutionsExperience/knowledge in Microsoft Office 365Experience in Azure architectures and operationsKnowledge of SP 2013 Architectural changesExperience in SharePoint developmentExperience with SharePoint Designer workflows, capture, design, development and deliveryExperience designing Common Farm Architectures following best practicesExperience with IIS7Experience with #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# and Microsoft development tools &amp; techniquesExcellent communication skills both verbally and writtenAbility to achieve consensus among various stakeholder interestsEffective problem solverAble to apply sound judgment to customizing SharePoint appropriatelyExperience with documentation of requirements and deliverablesPREFERREDMinimum 2-3 years of SharePoint developmentAssociate's Degree/College Diploma in Information TechnologyMCP SharePoint certifications considered a plusAt least 3 years of experience in one or more of the following: Installing, troubleshooting, operating, testing, planning and configuring relevant Microsoft stack technologies.At least 5 years of experience in providing support within any operating system",Please visit the Imaginet Careers Page for details on benefits.  #URL_8c821a9db5fa8af043fa531ef037e4936846c1f98edd38a8d1422129c2c96256#,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
12746,Enterprise Sales Manager,"GB, LND, London",,,"Adthena is the UKs leading competitive intelligence service for Google search advertisers. Adthena is loved by major brands and digital agencies alike and provides a great opportunity to work in the high growth adtech space. Our patent-pending technologies provide unparalleled accuracy for clients to understand their competitors keywords, budgets, spend, CPCs, Adcopy and more. We're profitable, fast growing and love what we do.","Are you interested in a satisfying and financially rewarding role in a high growth technology company? Youll work in a casual yet high energy environment alongside passionate people delivering the leading competitive intelligence solution to major global brands. With the continued rapid growth of digital marketing and PPC a huge opportunity exists to further expand the Adthena enterprise client base. We are seeking an experienced Enterprise Account Executive to develop and close new business in enterprise accounts. A large opportunity exists across all major search engine verticals including: Auto, Retail, Gaming, Travel, Finance, Insurance and Education.Primarily youll use a consultative approach to determine customer needs and deliver presentation and technical demonstrations, where required working with a clients advertising agency to uncover value for prospective customers. Youll be supported by marketing and business development reps to build a pipeline of accounts.","Youll need to be smart and passionate and have 5+ years experience selling enterprise software/Saas including familiarity with PPC and marketing technologies. Excellent presentation and communication skills as well as executive level contacts in enterprise marketing organisations. You should be an entrepreneurial self-starter who is looking for a high growth technology environment and you have strong computer skills in #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86#, Powerpoint and Google Apps. Bachelor's Degree qualifications required.","In return we'll pay you well, give you some ownership in the company (stock options), feed you and importantly provide you with excellent opportunities for advancement and professional development. Oh, and well give you a new pair of Adidas trainers when you join.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Sales,0
14304,Licensed Practical Nurse Part-time (NW Georgia Center),"US, GA, Ringgold",,,"Crossroads Treatment Centers is a nationally-accredited, medication-assisted, out-patient program that delivers fast, effective and affordable treatment for persons suffering from addiction to opiates.  We provide effective and affordable outpatient treatment for opiate dependence. Our team of experienced doctors, nurses and therapists are passionate about treating opiate addiction. We provide medication along with counseling to help our patients recover. Our emphasis on counseling combined with medication helps drive our impressive success rate.","Crossroads Treatment Centers in Ringgold, GA is currently seeking an LPN to join our team part-time! Crossroads Treatment Centers provide effective and affordable outpatient treatment for opiate dependence. Our team of experienced doctors, nurses and therapists are passionate about treating opiate addiction. We provide medication (Methadone / Suboxone) along with counseling to help our patients recover. Our emphasis on counseling combined with medication helps drive our impressive success rate.Our LPN's: -Administer medications to patients and ensure safety compliance -Count and maintain medication inventory -Assess patients at the dosing window for impairment -Conduct urine drug screens -Maintain all paperwork required for regulatory agencies -Conduct bottle recalls on patients with take-home medication -Other duties as assigned","Qualifications include: A positive attitude and passion for those in recovery from addiction. Willingness to work as part of a team. Flexible schedule required and willingness to work early morning hours, beginning at 5:00, as well as weekends. Licensed to practice in the state of Georgia. Experience with addictions and opiate-addicted patients a plus. No legal impediments to serving in a licensed opiate treatment program. Must have a valid driver's license.*Please no calls - if you are chosen for an interview, you will be contacted at that point*Visit #URL_0c0ab84931c48bfd04391e3ce8de0ab021277b6f80ad93585e2e72df061d54aa# for more information on Crossroads Treatment Centers. Crossroads Treatment Centers is an EOE.",,0,1,1,Part-time,Associate,Certification,Medical Practice,Health Care Provider,0
17038,JR DEVELOPER - web development,"ES, , Barcelona",,,,"An extremely focused and passionate individual, you will be responsible for supporting the existing infrastructure as well as developing new applications along with the senior team. The ideal candidate must have prior experience in developing using C# for Web environment and must be able to work in a varied, fast paced environment.RESPONSIBILITIESThe Developers main responsibility is to develop new web applications as well as maintaining and enhancing existing web applications, web sites or corporate intranet and all internal systems related to our technical core business, in order to turn requirements into working software delivering high quality solutions. Work with product owners / key stakeholders to understand business requirements - then turn these requirements into working softwareWrite unit tests, then the code, to deliver the best solutionTackle unfamiliar problems, and take the time to discover “best practice”Contribute creative and innovative ideas to the business and your team-matesBe able to ensure quality and error checking is a priority. ","Solid experience with C#PHP, Java or similarSome experience with Ajax, CSS, WebServicesGood communication skills and a good team playerCandidate must be willing to teach and to learn.  EDUCATIONAL BACKGROUND / EXPERIENCEUniversity degree in computer science, digital media, software engineering or similarSome working experience is a plus PERSONAL PROFILESelf-motivated, detail-oriented and organized. Creative with strong communications skillsProblem-solver: Drive for results and continuous improvementExcellent analytic skillsMethodological thinking, able to structure and organize tasksLANGUAGESEnglish (Professional level as most internal communication is in English)  First.Spanish fluencyAny other European language would be a plus ",,0,0,1,Full-time,Entry level,Bachelor's Degree,Telecommunications,Engineering,0
4800,Web Developer,"US, WA, Seattle",,,"Work with Team OoklaWe're good at what we do. Since we started back in 2006, we've been on a path of continuous success. You've heard of and probably used our most popular product: #URL_450e422841a82380e06806f99787403171aea30a1cfd4da8652d1d7f83e9bf18#. Ookla is the market leader and the global standard for measuring and testing Internet speed and connection quality. Over 5 million tests will be taken today, adding to the over four billion done to date. Talk about inpact, we have reached out to 300 Million unique individuals in the past year alone!A small, talented teamIt surprises people to discover that a company with worldwide presence like Ookla is run by about twenty people. That's possible by bringing the right individuals together with a shared mission: making the internet better and faster for everyone. The people at Ookla are not only multidisciplinary, but adept collaborators--they enjoy working with one another. And a smaller team means everyone gets a bigger share of the rewards.Work from anywhere flexibilityOokla is 100% virtual. No central headquarters. No vying for the corner office, because your office is anywhere you want it to be: your home, a coffee shop, a cabin in the woods. It's work with a life--the life you choose. And it's definitely not lonely. Ookla's online community, using voice, chat, and groupware technology, facilitates vibrant, ongoing collaboration among like-minded coworkers. And you're never stuck in traffic.Want to work at Ookla?To determine if youd be a good fit at Ookla, ask yourself these questions:Am I self-motivated and capable of working with little or no supervision?Am I knowledgeable, talented and imaginative enough to take on a project from beginning to end?Am I always driven to improve quality and effectiveness?Am I eager and excited to work with like-minded individuals?If you answered “yes” to all those questions, Ookla may say “yes” to you.In return...Top-of-market salaryBonus &amp; profit-sharing opportunities, creating overflow that is gererously shared out.Work from anywhere flexibility -- we're 100% virtual100% paid medical &amp; dental for you and your dependentsNo set limit on paid-time offTop-of-the-line hardware (think Retina MacBook Pro)Beverages and more to outfit your home officeWe hire responsible individuals that get the vision and enable them to self manageWe know exactly where we are going and how to get there, join us.","We're good at what we do. Since we started back in 2006, we've been on a path of continuous success. You've heard of and probably used our most popular product: #URL_450e422841a82380e06806f99787403171aea30a1cfd4da8652d1d7f83e9bf18#. Ookla is the market leader and the global standard for measuring and testing Internet speed and connection quality. Over 5 million tests will be taken today, and over 300 million unique individuals have run tests in the past year alone.This role will own key front-end and back-end components for the next generation #URL_450e422841a82380e06806f99787403171aea30a1cfd4da8652d1d7f83e9bf18# and other Ookla properties and products. This is not your typical web development position: youll serve as both an architect and principal engineer of our future sites with a major focus on HTML5 technologies using Backbone to connect to a #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# backend. You should be capable of developing across the full stack with little or no assistance. But in many cases, youll have help, too; youll collaborate with other Ookla engineers on using our worldwide testing and data infrastructure. The ideal candidate should be self-motivated and capable of working independently, but just as comfortable collaborating with others.It surprises people to discover that a company with worldwide presence like Ookla is run by about twenty people. That's possible by bringing the right individuals together with a shared mission: making the internet better and faster for everyone. The people at Ookla are not only multidisciplinary, but adept collaboratorsthey enjoy working with one another. And a smaller team means everyone gets a bigger share of the rewards.Ookla is 100% virtual, but we've also got an office space in Downtown Seattle when you'd like to collobrate in person. Your office is anywhere you want it to be: your home, a coffee shop, a cabin in the woods. It's work with a lifethe life you choose. And it's definitely not lonely. Ookla's online community, using voice, chat, and groupware technology, facilitates vibrant, ongoing collaboration among like-minded coworkers. And you're never stuck in traffic. There are many of us in Seattle area, and we have a small workspace for meetings and collaboration whenever needed.Our compensation and benefits are top-notch: * Top-of-market salaries * Work from anywhere flexibility  we're 100% virtual * Top-shelf medical &amp; dental, 100% covered by Ookla * Unlimited time off * Substantial bonus &amp; profit-sharing opportunities * The best hardware, software and gear available * Beverages and more to outfit your home officeWhat you would work on:Our next generation products and services including the HTML5 version of #URL_450e422841a82380e06806f99787403171aea30a1cfd4da8652d1d7f83e9bf18#, the world's most popular internet utility. Our other (top secret) projects are in concept phases now and this role will be a key architect and engineer. We suspect these new products will be as popular and beloved as #URL_450e422841a82380e06806f99787403171aea30a1cfd4da8652d1d7f83e9bf18#, and this role presents a unique opportunity to be a key contributor in their development.","JavaScript expertise (jQuery, AJAX, Canvas and unit testing)Extensive HTML5/CSS3 experience (SASS/LESS, Bootstrap and Modernizr)Mobile web expertise (RWD)Experience with Node, Backbone, Rails or other modern equivalent frameworkStrong design sensibility and basic Photoshop / Illustrator skillsFamiliarity with distributed version control systems such as Git or MercurialExperience with Agile practices and Javascript Testing (Mocha, Jasmine or equivalent)Prior PHP and Flash experience (AS3) a plusAre you a fit for Ookla?Are you self-motivated and capable of working with little or no supervision?Are you knowledgeable, talented, and imaginative enough to take on a project from beginning to end?Are you always driven to improve quality and effectiveness?Are you eager and excited to work with like-minded individuals?If you answered ""yes"" to all those questions, you might be a good fit for Ookla.","Top-of-market salaryWork from anywhere flexibility  we're a virtual company, but also have an office space in Downtown SeattleTop-shelf medical &amp; dental, 100% covered by OoklaUnlimited time offSubstantial bonus &amp; profit-sharing opportunitiesThe best hardware, software and gear availableBeverages and more to outfit your home office",0,1,1,,,,,,0
17207,Senior Production Manager ,"FR, J, Paris",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Senior Production Manager, fluent in English, to offer his/her services as an expert who will be based in Paris. The work will be carried out either in the companys premises or on site at customer premises. In the context of the first assignment, the successful candidate will be integrated in the Production Support Team of the company that will closely cooperate with a major clients IT team on site. Your tasks:Accountable for the end-to-end Production Support service delivery against the service-level agreements;Plan and execute changes within Production environment and organize the daily operations of the production team;Liaise with stakeholders to understand the nature of day-to-day issues in the production in order to coordinate responses from various teams to resolve production issues and maintain production stability;Implement user service requests and be responsible for the escalation of operational issues;Define the service levels with the Business and Project Managers for all the applications and organize the services delivered by providers;Improve the quality of deliverables within the client and provide platforms for business services;Own SLA/KPI compliance for the Operations IT Production Support and other contractual compliances.","Your skills:University degree in computer science or engineering with minimum 6 years of experience in IT;Minimum 6 years of experience in in a wide range of technologies like: Java, Unix/Linux, Windows and LAMP;Proven working experience in different application architectures, from Client Server to multi-tier web application architecture;Holder of an ITIL and/or COBIT Certification including solid experience with ITIL- Service Delivery and Service Management;Working experience in Applications Service Delivery / Set service levels in cooperation with users;Experience with Operations Management IT production, disaster-recovery and Business Continuity;Knowledge of JIRA, Oracle RDBMS and Sybase will be considered as an asset;Good knowledge of VMWare and data centre migration is advantageous;Excellent command of English, both written and oral.   800x600 ","Our offer:If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (PRM/06/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#. We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn. ",0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
9116,Mobile Developer-Back End ,"US, CA, San Francisco",Information Technology,,"Climate Corporation and Aptitude Staffing Solutions have partnered up in an effort to streamline the hiring process and provide a more efficient and effective recruitng model.  Our focus is to help develop and achieve your career goals while makeing a solid geographical, cultural and professional fiit when leveraging your career into your new and exciting professional venture!","Our mission is to help all the worlds people and businesses manage and adapt to climate change.  To achieve our mission, we have built a unique technology platform to enable, for the first time, the real-time pricing and purchasing of customizable weather insurance.  Managing over 200 TB of data, including 34 trillion+ weather simulation data points, 150 billion soil type observations, and more than   3 million daily weather measurements, we are one of the largest users of Amazon Web Services. Today we are focused on helping farmers protect and increase their profits in the face of increasingly extreme weather.  At the heart of the company is our unique technology platform, which encompasses our core competencies across weather monitoring, agronomic modeling, and weather simulation, and accounts for over 200 TB of data, including 34 trillion+ weather simulation data points, 150 billion soil type observations, and more than 3 million daily weather measurements.  This platform powers the companys portfolio of technology-based products and services, which are revolutionizing agricultural risk management and farm management. We are leaving a mark on the world in our DNA; we want to make a lasting and meaningful real-world impact.  We relish big problems because they are the most interesting to tackle, the most rewarding, and typically the most valuable to solve.  We also thrive on finding the possible in the impossible.If you are the best at what you do, you like solving interesting problems, and you are looking for like-minded people dedicated to having a major impact on the world, we are eager to talk with you.Mobile Developer - Back EndThe Climate Corporation is looking for experienced Ruby developers to join our engineering team, focusing on building back end support for the development of mobile applications for growers. Responsibilities:What You Will Do:Write highly scalable and robust RESTful APIs to empower our mobile applications.Work closely with the iOS and Android team to ensure seamless integration with the back end.Opportunity to learn new technologies and frameworks.  What We Offer:Our team is composed of some of the most brilliant interdisciplinary minds in the industry. The environment is extremely engaging and fast-paced, with dozens of specialties coming together to provide the best possible product and experience for our customers. Competitive salary, excellent benefits, and some of the best perks in the industry, including: We provide meals and a large assortment of snacks, drinks, fruits, coffees, and teas to help you get through the day. We let you explore personally compelling topics by occasionally taking time to work on independent projects and contributing the results back to the open source community.  Company sponsored outings, including go-kart races, kayaking, holiday yacht parties, and sporting events, etc. We sponsor hackathons, meetup groups, networking events, tech-talks, and conference trips. If you want to get involved in the tech community, we'll support your efforts. We encourage all employees to better understand our customers by getting out of the office and hearing firsthand from the agents and farmers our products serve. Energetic idea-driven work environment with an exciting culture. Exciting world-changing opportunity - we are solving problems that have a massive impact on the world! A driven, talented team that aims to make a large-scale impact through an innovative technology platform.","What You Offer:Experience in writing clean and idiomatic Ruby code.Familiarity with the OOP and design patterns.A passion for clean and testable codeDetail orientation and strong collaboration skillsFamiliarity with CS fundamentals - a BS or MS in CS or equivalentWillingness to rapidly iterate and build out our application suiteBonus Points:Past experience with Test Driven or Behavior Driven Development.Some significant open source contribution.Past experience with JRuby, EventMachine, Ruby Fibres, Sinatra. ",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Environmental Services,Information Technology,1
13965,iOS Developer,"BR, SP, São Paulo",,,"Nubank is an early stage, technology-driven financial services startup funded by Sequoia Capital and Kaszek Ventures. We are building a truly global and diverse team, with people who are in the top of their areas of expertise for every position we hire, to set the new standard in financial services in Brazil. We see a significant opportunity in the credit card market in Brazil as it is currently commoditized and extremely inefficient, and therefore our first product is a credit card controlled by a mobile app, that also provides our customers full control of their finances on their mobile phones. We are based in São Paulo, Brazil.","What will you do:Be a iOS engineer building and advocating delightful user experiences on iOS.Guide our project teams by creating technical designs, leading development on high priority development components, and championing best practices. Work on complex and forward thinking solutions every day. Contribute to open source projects, sharing your work not just with internal projects but to the wider iOS community. (We love and support the open source community.) Have a voice in your project's direction, and you'll work with talented in-house designers to bring stunning interfaces to life.","You will fit well if:You thrive in dynamic, fast-paced, results oriented teamsYou are hungry and enjoy being constantly challenged to learn and do moreYou embrace conflict of ideas and like to question the status quoYou learn fast and easily adapt to changing situations and prioritiesYou believe in building great products and doing great workYou want to understand the big picture, to be held accountable and make a meaningful contribution with your workYou will have a meaningful chance to shape architecture, process, and culture while working with bleeding edge technologies. We believe in good team chemistry, enthusiasm for building things, and our surprising capacity to learn new things when we stay humble and open-minded.REQUIREMENTS2 years of development experience, with at least 1 year of experience building applications on iOS.In-depth experience working with Objective-C, Xcode, and Instruments. (Swift still on Development :))A working knowledge of core iOS frameworks. Youve developed apps using REST, JSON and XML web services, and you have a good understanding of SOLID principles.Experience collaborating on software projects and working in a team environment.Strong debugging skillsKnowledge of algorithms and data structures","Competitive compensation packageHealth, dental and life insuranceMeal allowance (“vale refeição”)Flexibility to choose your own custom setup (computer, monitors, OS etc.)",0,1,0,Full-time,Associate,,Financial Services,Engineering,0
15678,Front End Developer,"AU, VIC, Melbourne",Production,,"Squiz is one of the world's leading web solutions companies. We design, build and manage engaging websites and online applications using our Web Experience Management Suite, the Squiz Suite. Our clients include many household names and range from large global organisations to Government bodies and charities. We have an international network of offices in Australia, the UK, USA, New Zealand and Poland, and employ over 250 permanent members of staff.As a team, we are talented, motivated and enthusiastic. We live and breathe the web and our passion is finding new and innovative solutions using Squiz products alongside the latest web technologies. Our staff give 100% and are offered a high level of autonomy, responsibility and opportunity in return.","Do you live and breathe front-end development? Are you passionate about delivering amazing pixel perfect responsive websites? Are you at the cutting edge pushing boundaries to deliver visually amazing work? Then we want you! We have an awesome team led by some of the best in the business and we need one more talented professional to join our ranks. Squiz are a unique bunch that deliver end-to-end solutions from UX, design through to development. We are internationally recognized by the big dudes like Gartner and Ovum, which for a little company like ours is pretty cool. Squiz offers a unique and relaxed environment in a corporate space. Need a break from work? We have table tennis and a pool table. Drinks on Friday? You bet. We get to work on some of the biggest sites in Australia but you wont need a suit and we are looking for a web developer who is passionate about all things web. The skill set we are looking for:Extensive HTML, CSS and JavaScript. jQuery knowledge is a must.Intermediate knowledge and understanding of Adobe Photoshop.Strong experience in responsive design and CSS Media Queries is a must.Knowledge of WCAG Accessibility guidelines is a bonusExposure to SASS and Grunt is preferred The personality we are looking for:Great communication skills and ability to seek help and advice when needed.Not afraid to stand up for what you believe in.An innovator and a collaborator.",,,0,1,0,Full-time,Mid-Senior level,Unspecified,Internet,Information Technology,0
1908,Chemical Operator,"US, NY, Niagara Falls",Production,,"Niacet is a leading producer of organic salts, including propionates and acetates, serving the Food, Pharmaceutical and Technical industries. With two longstanding and fully automated manufacturing sites, located in Niagara Falls, NY USA, and Tiel, The Netherlands, Niacet offers world-class quality products to a global market. Our products fill vital needs in a broad range of applications that are essential to everyday life including food preservation, antibiotic formulation, dialysis treatment, energy production, and more.At Niacet all employees share in the growth and prosperity of the corporation. We want our employees to take pride in their personal and corporate accomplishments. Safe working conditions are achieved through continuous education of our  employees and improved facilities. We aim to provide job and financial security for all employees.","DescriptionDEPARTMENT:        Manufacturing/ProductionREPORTS TO:        Operations ManagerLOCATION:             Niagara Falls, NYPOSITION:              Chemical Operator  About us: Niacet is a leading producer of organic salts, including propionates and acetates, serving the Food, Pharmaceutical and Technical industries. With two longstanding and fully automated manufacturing sites, located in Niagara Falls, NY USA, and Tiel, The Netherlands, Niacet offers world-class quality products to a global market.Our products fill vital needs in a broad range of applications that are essential to everyday life including food preservation, antibiotic formulation, dialysis treatment, energy production, and more.Chemical Operator Position:Niagara Falls chemical manufacturer is looking for experienced Operator. The Chemical Operator is responsible for maintaining operating control of assigned production unit including committing company resources appropriately.General Job duties include, but are not limited to:1.      Completing assignments in a safe and orderly manner2.      Completing all assignments with minimal amount of supervision or instructions.3.      Handling and use of products and materials and operation of process equipment to manufacture the companys products to specification.  4.      Receiving, storage and loading of product and materials, housekeeping and various miscellaneous types of work necessary to support operations of the plant.5.      Loading finished products6.      Monitoring unit operations and perform standard tests to verify product compliance with specifications7.      Setting up, testing or performing general service or repair to process equipment in support of plant operations.8.      Analyzing problems and trouble shoot to effect resolution9.      Maintaining a working knowledge of materials and processes in the workplace.10.  Communicating as needed with other operators and the unit manger11.  Using materials and equipment to avoid damage, lost production and waste.12.  Performing other duties as assigned. Performance MeasurementsOn the job performanceAnnual quality objectivesAnnual performance review  Qualifications:Education: High School diploma or equivalent Required Knowledge: Basic English and Math skills Experience Needed: Prior chemical plant experience highly preferred  We offer competitive compensation and one of the best benefit packages in the industry...",,,0,1,0,Full-time,Mid-Senior level,,Chemicals,Production,0
15384,P/T CONTRACT - MySQL Database Analyst (Kahoots),"US, IL, Chicago",Kahoots,,"The story of the Great Chicago Fire of 1871 isnt really about the fire. Its about what happened next: A remarkable moment when the most brilliant engineers, architects and inventors came together to build a new city. Their innovations  born of passion and practical ingenuity  shaped not just Chicago, but the modern world. What started 140 years ago continues to this day.Chicagos brightest digital designers, engineers and entrepreneurs are shaping new technologies, disrupting old business models, and resetting the boundaries of whats possible. If that sounds like you, join us.Come to a place where you can share ideas, make mistakes, work hard, build your business and, with a little luck, change the world.Welcome to 1871.From photo-editing apps to interactive healthcare programs, 1871 has a member company that fits your interests and expertise! Whether youre a designer, a marketing expert, or software developer, we have a startup looking for you. Each company is looking for a way to innovate their industry and is looking to do so in creative and original ways. We are looking to match you with one of our amazing member companies based on the information you provide to us and their current needs. If you believe that you have the skills and vision to help digital startups get their start, 1871 is the right place for you!","ABOUT KAHOOTSKahoots, a Chicago startup that's creating the worlds most intelligent contacts app, is currently seeking a Part Time MySQL Database Analyst/DBA.  We are an 1871 company thats reinventing your phones address book  organizing contacts by need as well as name and sharing recommendations of great local businesses with friends. #URL_f9a76b81c870b1c023e71c5a9231d44d811992e00f9afbcdbaec7126da95427f#MySQL Database Analyst DescriptionThe MySQL Database Analyst maintains the integrity of multiple databases by identifying and solving database requirements; creates, maintains, and monitors database backup and maintenance plans. The Database Analyst also analyzes database schema and actual data to find the cleanest and most efficient storage and query responses possible; supports developers and database users.","Database Analyst Job Duties:Identifies database requirements by interviewing stakeholders; analyzing applications, programming, and operations; evaluating existing systems and designing proposed systems.Recommends solutions by defining database physical structure and functional capabilities, database security, data backup, and recovery specifications.Installs revised or new systems by proposing specifications and flowcharts; recommending optimum access techniques; coordinating installation requirements.Maintains database performance by calculating optimum values for database parameters; implementing new releases; completing maintenance requirements; evaluating computer operating systems and hardware products.Prepares users by conducting training; providing information; resolving problems.Provides timely information by answering questions and requests.Supports database functions by designing and coding utilities.Maintains quality service by establishing and enforcing organization standards.Maintains professional and technical knowledge by attending educational workshops; reviewing professional publications; establishing personal networks; benchmarking state-of-the-art practices; participating in professional societies.Analyzes data regularly to maintain clean values and correct constraintsContributes to team effort by accomplishing related results as needed.Skills/Qualifications:  MySQLSQLiteDatabase Performance TuningDatabase SecurityPromoting Process ImprovementDatabase ManagementData MaintenanceOperating SystemsInformation Security Policies",Please keep in mind that this is a PART-TIME CONTRACT role with the opportunity to become full-time (permanent) down the road. We are looking for someone who can put in some extra hours outside of their current full-time job or take on more project work as an independent consultant. ,0,1,0,Part-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Engineering,0
15295,Insurance Marketing Representative,"US, CA, Roseville",,,"Even though weve been industry leaders for years, weve never lost sight of our primary goal: to serve and protect our clients and their families, and create opportunities for our employees. Its what drives us every day.We take that mission seriously, and believe that while WHAT we do improves our clients and our representatives lives, HOW we do it sets us apart from other industry providers. We protect the families we serve  and provide the peace of mind that comes from knowing youre insulated from lifes unforeseen twists and turns. We offer the security that lets you sleep at night, with our personal commitment to go above and beyond in search of the solutions you need.We love our work, and we love the people we work with, so it makes it easy and fun  to do the best, and be the best we can  let us show you how we can be of help to you!","Growing insurance brokerage seeking Insurance Marketing Representative to develop and maintain relationships with agents to sell insurance products.DUTIES:Generate sales by serving existing agents and training agents on insurance products.Create and develop a plan to recruit new agents to sell insurance products.Possess strong phone skills that will be used for recruiting, sales and customer service.Maintain and develop carrier relationships.Assist with the production and distribution of promotional and collateral materials to support sales and marketing recruitment of insurance agents.Provide product training via webinar, phone and face to face meetings.Assist agents through the onboarding process and track progress until completion.Utilize Agent Relationship Management software and/or other computer programs to support sales, reporting and marketing efforts.Perform special projects as assigned. ","CANDIDATESBachelors Degree preferred (Associate degree minimum requested)  Business Administration or similar field.Life/Health Producer License a plus.Prior retail or wholesale insurance agency experience a plus.Strong technical skills with Excel and preferably some CRM experience.Strong interpersonal skills, phone communication skills, and writing skills.Must be coachable, hard-working, and have a great attitude",COMPENSATIONSalary + CommissionBonusesHealth/Dental401k PLEASE SUBMIT RESUME. WE ARE SCHEDULING INTERVIEWS AS WE RECEIVE APPLICATIONS. POSITION TO START ASAP!,0,1,1,Full-time,,Bachelor's Degree,Insurance,Sales,0
43,Jr. Developer,US,,40000-50000,,"Entry level Software DeveloperLocation : Atlanta, GeorgiaExperience : 1-2 yearsExperience in a production web environment implementing mission critical services.Development of Web Server side PHP in LAMP environments Having experience with XHTML / CSS/ Javascript ia a plus, Knowledge in any of the JavaScript Frameworks and supportive technologiesFamiliarity with Debian Linux,OS X, Ubuntu",,,0,0,0,Full-time,Entry level,Bachelor's Degree,Computer Software,Engineering,0
14835,Front End Developer,"CA, ON, Toronto",,,"We believe clients need a business partner who gets their business, gets the uniqueness of their culture and gets their brand. A partner who can create BIG revenue generating ideas, and in the same day, execute the minutiae of experiential programs flawlessly. We are TraffikGroup. A different kind of company. A different agency experience.Thanks for visiting our Job Board. Please review our open positions and apply to the positions that match your qualifications.","We are looking for a Front End Developer with experience in the advertising and marketing agency world. We have a lot of great clients doing cool digital work, and we need someone to come in and help us out. We are looking forward to your application!General Overview: We are looking for a Front End Developer capable of working in a fast-paced environment. The Front End Developer will support the Digital team in developing interactive experiences as well as taking the development-lead in producing online digital marketing projects. Under the guidance and supervision of the ACD/Technical lead, the Front End Developer role will focus on supporting the needs of the Digital team in the build out of online and/or mobile projects. From building out UIs from design concepts to taking existing code and fulfilling the remaining functionality of digital projects, the candidate will also possess a keen eye to be able to take design cues from a master concept and apply them to the remaining, related properties.Under the guidance and supervision of the ACD/Technical lead, the Front End Developer role will focus on supporting the needs of the Digital team in the build out of online and/or mobile projects. From building out UIs from design concepts to taking existing code and fulfilling the remaining functionality of digital projects, the candidate will also possess a keen eye to be able to take design cues from a master concept and apply them to the remaining, related properties.Responsibilities:Assisting junior developers with best practices and knowledge sharingWork in collaboration with project managers, studio and digital team members on integrated advertising campaignsDevelop UX solutions required for client websites, tablet and/or mobile applicationsTranslate Photoshop designs into valid, semantic front-end codeBuild responsive web sites that are cross-platform and cross-browser compatibleBuild HTML emails to be viewable across multiple e-mail clientsDevelop and manage databases, build schemas and stored procedures as appropriateOther duties as required ","Education/Experience Requirements:BS/BA in Computer Science, or 3-5 years experience in an advertising or digital agency environmentKnowledge of IAB Standards and practicesFluent and current knowledge of HTML/CSS/JavaScript and capabilities of modern browsersWorking knowledge of PHP/MySQLExperience beyond JQuery with object-oriented, modular JavaScript frameworksAbility to integrate 3rd party APIs &amp; web services (Facebook, Twitter etc.)Experience with version control solutions such as GIT/Subversion and working on code collaborativelyProficiency with Adobe CS5.Office Suite and MAC or PC based environments.Nice to Have: Experience working with .Net and MsSQLIdeal Candidate Will Possess:A sense of humour (we like to laugh)Effective communication skills with peers, studio staff and senior managementAbility to balance impacts of deadlines and resources with style and quality requirementsDemonstrated ability to solve complex problems efficientlyAbility to maintain pacing in situations of intense schedulesStrong time management skills with a proven ability to focus on priorities, juggle multiple tasks and meet deadlinesAbility to work collaboratively on a team of designers and developersPassion for innovative and emerging technologies in respect to digital and mobile specifically ",,0,1,1,Other,,,Marketing and Advertising,Other,0
5693,OUD: Stage Marketing 2.,"NL, UT, Amersfoort",,,"SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert ophet snijvlak van controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over eengereedschapskist vol met kennis, extra technische ondersteuning van developers ensoftware op het gebied van business intelligence. Oplossingen die SummaView biedt zijninventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun businessintelligence-activiteiten op een hoger plan te tillen.","SummaView is opzoek naar een gemotiveerde stagair(e) Marketing met ingang van januari-februari.SummaView is een jong bedrijf uit Amersfoort die mensen, kennis en software levert op het snijvlak van controlling en Business Intelligence. Wij bieden onze klanten top-controllers ofwel SummaView Specialists aan, die de beschikking hebben over een gereedschapskist vol met kennis, extra technische ondersteuning van developers en software op het gebied van business intelligence. Oplossingen die SummaView biedt zijn inventief, eenvoudig maar krachtig zodat organisaties zelf in staat zijn hun business intelligence-activiteiten op een hoger plan te tillen.Als stagiar(e) Marketing werk je met gezellige collega's die beschikken over veel energie, creativiteit en passie en nauw samenwerken om ambitieuze doelen te bereiken. Wanneer je een stage gaat doen bij SummaView word je onderdeel van een team die jouw ideeën en input zullen waarderen en die je zullen ondersteunen tijdens je stage. ",Je volgt een HBO- of WO-opleiding richting Marketing en hebt bij voorkeur al enige relevante #URL_c5eb1671ae11ab8988265f604e86614b857b4ee29b377de23568e81e53831c74# beheerst de Nederlandse en Engelse taal uitstekend en beschikt over een pro-actieve werkhouding. Je bent op zoek naar een (full-time) stageplek voor minimaal twee maanden. Kennis van Adobe Photoshop is een pré.,Als stagair(e) Marketing bieden wij jou:Een uitstekende begeleiding.Gezellige en leuke collegas.Een marktconforme stagevergoeding.Telefoon en laptop voor zakelijk gebruik.,0,1,0,,Internship,,Marketing and Advertising,Marketing,0
2693,LPN-PRN contract,"US, OH, Celina",,,"MRSI is a nonprofit organization established in 1977 by a group of parents and professionals as a local alternative to the institutionalization of people with intellectual or emotional disabilities. We provide an array of services from group homes, respite care, affordable housing, homemaker/personal care services, vocational, recreational, and social activities.","We are seeking persons with passion, energy and genuine interest in assisting persons with intellectual disabilities with daily supports and fulfilling involvement in activities in our Celina 8 bed group home. MRSI has been supporting people with disabilities in Northwest Ohio for over 35 years. We are seeking LPN's to provide nursing services in its ICF facility. Duties would consist of administrating medication to individual at the facility on a daily basis.","MINIMUM QUALIFICATIONS:Education: Licensed in the state as a LPN.Experience: Experience in giving medications.Skills, Knowledge and Abilities: Able to use necessary supplies and equipment (feeding pumps, oxygen, treatment trays, medication cart, etc.). Able to calculate drug dosage. Meets all health requirements imposed by law. Ability to relate positively, effectively, and appropriately with residents, families, community members, volunteers and other facility staff. ",,0,1,0,Contract,,Associate Degree,Hospital & Health Care,Health Care Provider,0
12227,PHP DEVELOPER WITH WORDPRESS,"PH, 07, Cebu",Information Technology,,"Zyluns mission is to help small and medium size enterprises take advantage of a highly skilled global workforce in a simple and cost effective way. We help companies flexibly hire a staff overseas comprised of developers, designers, Internet marketers, managers, and customer service representatives. Zylun Staffing takes pride in not only providing simple and effective services, but ensuring dedicated employees to fit our clients exact needs. We have career opportunities from administrative IT, graphic design, SEO, data entry, sales agents, chat agents, and customer representatives. Zylun is an American owned and operated company headquartered in 210 North 1200 East, Suite 101 Lehi, Utah 84043, with American management heading its offshore operations.","ResponsibilitiesMaintain and improve the client's existing codebase for WordPress and related technologyWork with FTP, SFTP, API and Rets based IDX feeds for integration into the platformSupport client's fulfillment team with site setup and stylingNew/Custom WordPress Theme development (using Genesis Theme Framework).","RequirementsA number of years experience in commercial web development in an established team.Solid programming experience in PHP in a Linux environment, with both structured and object-oriented design.Strong WordPress Multi Site experience, including plugin and theme development.Strong MySQL database design, query generation, and optimisation.Experience using subversion version control.Candidate must possess at least a Bachelor's/College Degree , any field.Great communication skills and a professional and friendly manner.Confidence to work independently and under own initiative.",,0,1,0,Full-time,,Bachelor's Degree,Staffing and Recruiting,Information Technology,0
5201,Back-end Developer,"US, NY, Brooklyn",Development,,"AREA 17 is an interactive agency. We take an interdisciplinary approach — blending the practices of design, technology and branding — to create modern interactive systems.We care about the web and its future. It has become a large part of our lives  entering our offices, living rooms and even our pockets. It is changing the way our world operates. We believe the web should be an extension of our daily lives, not a distraction from it. To this end, our mission is to make the web a better place — for work and for life — by delivering solutions that are equally valuable, sustainable and enriching.AREA 17 is located in New York City and Paris, France. We are independently owned and creatively motivated. Learn more","We seek a Back-end Developer with a strong web portfolio that includes high traffic websites. The candidate must write clean and efficient code with a keen eye for pixel accuracy and a hardcore desire to implement tight designs.The ideal candidate is passionate about the web and is (or aims to be) a respected contributor to the community.Overview:Reports to — Technical DirectorLocation — Onsite, NYC (Williamsburg, Brooklyn)Salary — Based on experience (Please include salary requirements)Full-time — 40 hrs per week, 9am-6pm (1 hour lunch)Primary Responsibilities:Application Development (PHP and/or Ruby on Rails)Research and ExperimentationSpec Writing and DocumentationMisc. Note: AREA 17 focuses solely on user-centered websites and platforms. We have little expertise in Flash and/or advertising/marketing campaigns. We appreciate and respect your experience in that domain, however we will only consider candidates that have experience in our domain of expertise.","Required Skills:2-3 years of experience developing for the webExpert knowledge of semantic PHP and/or Ruby on RailsRespect of industry-accepted standards, specifications and best practicesAbility to learn new development techniques as neededAbility to integrate front-end templates with application Solid understanding of HTML, CSS and JSDesired Skills:Ability to code Java-based websitesAbility to stress-test websites and optimized against resultsWorking knowledge of server environmentsWould be nice if you also did:iPhone, iPad and Android app developmentSome front-end developmentSome web design","Generous Health and Dental PackageMetroCard Program (Pre-tax, 50% co-pay)12 vacation days, All bank holidaysClosed between Christmas and New YearPing Pong",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Production,0
5700,3D Audio Engineer,"US, CA, Brisbane",,,"We are a visual technology startup pioneering powerful immersive experiences for next generation wearable displays. Condition One combines advanced 3D graphics, high-resolution video and experiential storytelling to create a fundamentally new form of visual communication to enable a better understanding of the human experience.Were excited to announce that we are in development with Zero Point, the first movie shot in 3D, 360 degree video optimized for the Oculus Rift head mounted display. In the film, we follow the pioneers of virtual reality; the researchers and developers creating an entirely new digital dimension. From combat training simulations at the Department of Defense, to research labs at Stanford, to indie game developers and hackers, this immersive video experience will bring viewers into the future of virtual reality.","The 3D Audio Engineer will research and develop a workflow and underlying software and hardware for 3D binaural audio recording to produce virtual reality experiences. The engineer would be primarily in a production role, responsible for building and operating the 3D binaural audio  capture system during VR movie production shoots, managing all audio assets during production and post production, and mastering and mixing for final release. The engineer will have a secondary R&amp;D role to integrate the audio workflow and playback with the Condition One player and an API for external components to programmatically produce 3D audio effects.This position is at the cutting edge of immersive audio experiences to create presence in virtual reality. The ideal candidate will have several years of experience working with digital audio and 3D audio at a developer level.","- Proven audio production experience with creative storytelling using 3D audio. - Bachelors degree or equivalent experience in Computer Science.- Candidate must be a proficient programmer and experienced working with highly technical teams.- Familiarity with OpenAL and Web Audio.- Interest in films, games and visual and interactive storytelling is a definite plus.",,0,1,0,,,,,,0
13134,Sales Manager,"US, OH, Cleveland",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)#URL_94f805e93a6fa859cd8540e9767e187e295d764a384221d77f8880142b6416b7#-#URL_dbdb917780b325918ec611d0f47d6a0dff5ee871b96bc71180616d0d0570925b#-He must handle a team of 9 sales exec who are direct employees of company.-He must recruit his team by his own references and also can take assistance from empanelled consultants if required.-He has to train them, motivate them, guide them to meet the monthly and yearly targets given by company.",,,0,0,0,Full-time,,,Market Research,,0
5508,Specialists and GPs Required Australia wide!,"AU, QLD, Brisbane",,," Who is Ramberg Associates? Ramberg Associates is a specialist medical recruitment consultancy based in, Sydney, Australia, that is fast becoming a widely respected provider of health care professionals world-wide. Rambergs team works closely with public and private hospitals to deliver the best medical practitioners across a broad range of specialities and sub-specialities. Our commitment to excellence in supplying services to clients and candidates means we are rapidly expanding in the Australian and New Zealand markets. Our success has led us to plan office bases in the UK, North America and the United Arab Emirates in the coming year. Through our broad network of clients and candidates Ramberg Associates is building its reputation on the ability to place the right person in the right place on a consistent basis in as many places in the world as possible. Medical staff recruited by Ramberg Associates Medical staff on Ramberg Associates exclusive database range from resident house offices, senior consultant physicians and medical directors to allied health professionals and nurses. We recruit across all specialities and sub specialties. These include: AnaesthesiaAccident &amp; EmergencyCardiologyCardiothoracicENTGeneral SurgeryGeriatricsHaematologyObstetrician and GynaecologyOrthopaedicsOncologyPaediatrics/neonatologyPsychiatryNeurologyRadiologyRenalUrologyGPsRamberg medical staff have broad international experience with training and certification gained in the United Kingdom, United States, Ireland, South Africa, the Dominions, Canada, as well as Australia and New Zealand. These staff are rigorously screened and reference checked to ensure their qualifications are of the highest calibre. The Ramberg Associates team believed its a privilege to benefit the communities where our medical staff work, therefore we take the time to discuss with them their goals, aspirations and needs so they are placed in positions that bring the best outcome for themselves, their families and communities that employ them. Our services include: Sourcing appropriate medical staff for permanent and locum positionsThoroughly screening and reference checking candidatesEnsuring the integrity of our medical staffs intentions to commit to positions they acceptGuiding and coordinating medical council registrationAssisting with immigrationRetained search and selectionAssisting in guiding medical staff in their relocation",We recruit Acute Care and Emergency Specialists for all sizes and types of hospitals throughout Australia and New Zealand! ," We would like to hear from Acute Care and Emergency Specialists of all experiences and backgrounds. If you are looking for a position in a large city, small country town or rural locations, we will represent you to our clients and assist you with migration to ensure your next move is as smooth as possible. With over 10 years experience in the medical recruitment business, Ramberg Associates will ensure that your application process is dealt with in a timely manner, adhering to the highest ethical and professional standards.Please contact us on +61 2 80363182 or apply below.Work/life balance - flexible hours - negotiated packagesDWS positions available for IMG's - VR or Non VR GPFantastic Gold Coast and Brisbane metro locationsGeneral Practitioners Are you looking for a sea change? We are currently looking for experienced Doctors to join beautifully appointed clinics on the GOLD COAST and BRISBANE metro. These are very busy private, bulk or mixed billing clinics, with established patient base, high earning ability, flexibility in working hours, an excellent set-up with fully equipped Treatment Rooms, and a team of experienced RN's , admin support staff,and practise manager. We are looking for Doctors who have VR Status / FRACGP (eligibility) and/or Full AMC certificate (both parts - AMC MCQ + Clinical), with at least 12 months experience in Australia, UK or NZ. Radiologists: We have an excellent range of permanent, locum &amp; contract""RADIOLOGIST"" positions for GENERALIST RADIOLOGISTS, SPECIALIST &amp; INTERVENTIONAL RADIOLOGISTS - RANZCR / FRANZCR &amp; AON with leading private National &amp; Independant Imaging Practices in &amp; around Sydney CBD, Rural NSW, Central &amp; Northern NSW. They seek suitably qualified &amp; experienced Radiologists with a broad range of experience in MRI, CT, US, Mammography, Interventional &amp; Nuclear Medicine..!!  Great Choice of excellent locations offering a great life style, enjoy a rural environ, great beaches, wineries, fab eateries, heritage national parks, excellent private &amp; public schools &amp; so much more. OTHER LOCATIONS AVAILABLE: MELBOURNE RURAL VICTORIA CAIRNS BRISBANE GOLD COAST MT ISA ALICE SPRINGS We have an exciting range of unadvertised vacancies across Australia &amp; New Zealand both Permanent &amp; Locum roles in Diagnostic Imaging, Interventional, Nuclear Med so get in touch if you are a Radiologist, Registrar or Resident looking for a new position, wanting a holiday locum or simply wanting a confidential chat. Rambergassociates currently have fantastic A&amp;E opportunities Australia wide. Locations include major cities as well as many coastal and outback towns and regions. Many of these positions are accredited for training with the Australasian College of Emergency Medicine (#URL_98226ac3623d7c16a04b82ddd794fab8d853f628b403e43f1d1bd75c69e35bbf#). But remember that everything is not all about work! Whilst training you can experience the fantastic lifestyle Australia has to offer you: year-round sunshine, sparkling blue oceans, pristine white sandy beaches, amazing landscapes, world-class food and wine and adventures in the outback.  Feel free to forward your CV to us at #EMAIL_3b7c2f21696ed05b97cfa4bf5014dedd2188794a2e807b6943cad1a6b810cf92#","Excellent remunerationSubstantial ""Sign-On"" Fee Exc Locum Daily Rates Relocation Costs, Accommodation &amp; Airfares Comprehensive insurance &amp; indemnity cover ",0,1,1,Full-time,Mid-Senior level,Doctorate,Medical Practice,,0
6514,Operating Room RN ,"US, CA, Downey",,,,"The Registered Nurse is employed by Maxim Staffing Solutions performs scrubbing and/or circulating duties for operative procedures; department admissions to the operative suite. He/she is responsible tothe Nurse Manager and is directly supervised by the RN in the operating rooms to which he/she is assigned for duty.Responsibilities include:• Demonstrates and practices aseptic techniques.• Adheres to standards and appropriate aseptic techniques, including:1) pre-operative hand scrub2) gowning and gloving3) instrumentation and supply set up• Sets up room for surgical procedures and identifies instrumentation and supplies.• Sets up room quickly and correctly, with minimal supervision• Pulls correct instruments and supplies• When scrubbed, sets up back table and Mayo stand according to facility's procedures.• Demonstrates ability to concentrate and quickly respond appropriately to directions given by circulating RN or physician(s).• As a circulating, nurse, assists the scrubbed team for additional staff, supplies, procedures, and other services.• Participates in all instrument, sponge counts, and other counts as designated by the facility's policies and procedures; to maintain a safe patient care environment.• Maintains and updates surgeon's procedure preference cards as changes occur.• Maintains supplies in assigned operating room.• Fosters a cooperative effort in meeting the goals of nursing and sharing the workload.• Communicates a positive and caring attitude toward the area, peers, staff, patients, and other contacts; demonstrates flexibility and adaptability in meeting the needs of client facilities, patients, and staff.• Seeks guidance, direction, and assistance when needed.• Identifies own limitations and seeks guidance as required.• Recognizes and utilizes appropriate lines of communication.• Accurately communicates needs to co-workers and supervision.• Maintains confidentiality of all patients, and hospital-related communications/documents.• Maintains clinical skills and expands knowledge base through participation in continuous education programs. • Assumes responsibility for self development.• Seeks to obtain a level 3 proficiency in his/her area(s) of clinical expertise.• Keeps informed of changes in practice through organizational participation and/or reading current literature.• Uses knowledge and practice in accordance with:1) standards of patient care2) JCAHO, State, and local laws3) Patient's Bill of Rights4) Maxim's nursing and client facility policies and procedures5) Professional standards• Documents activities according to facility policies and procedures.• Represents the Surgical Services as a responsible paraprofessional in appearance and demeanor.• After accepting a Maxim Healthcare facility assignment, arrives at the facility before the schedules shift starts to sign in at the nursing office and report to the unit on time for orientation and/or report.1) Work assignments are completed during the assigned shift, unless overtime is approved by the Area or Facility Supervisor.2) Dress/attire worn to the hospital is clean and professional. Wears and uses designated surgical attire appropriately.3) Serves as a role model through the support of other facility and Maxim co-workers, adheres to specific facility/unit standards.","• Current licensure as a Registered Nurse in the state in which he/she practices• Documentation and confirmation of one year of operating room clinical experience in an acute care hospital within the last three years preferred. Page 3 of 4 RN Surgical Services OR• Current BLS card.• Full command, verbal and written, of the English language.• Physical dexterity and perceptive acuity (e.g., visual, hearing) to satisfactorily perform all required duties.• Current Health Certificate (if applicable)• Current PPD or Chest X-Ray• Successful completion of the Maxim screening for the clinical specialty area(s) with score of 80% or higher.• Maxim background screening.","Maxim employees are our greatest asset. We offer the following benefits:• Medical, Dental, Vision, and Life Insurance • 401k Program • Competitive Pay • Flexible Scheduling • Direct Deposit• Positive Work Environment and Internal Bonuses • Travel Benefits (as applicable)• 24 hour on- call service",0,0,0,Full-time,Mid-Senior level,,Hospital & Health Care,Health Care Provider,0
6009,Inside Sales Rep,"US, VA, Virginia Beach",,,"SEK Solutions is driven to be the #1 solutions provider for our customer's weapon storage, industrial storage, material handling &amp; logistics requirements. We are proud of the deep industry relationships we've developed over the years that allow us to offer our a broad selection of material handling equipment and supplies, as well as a variety of storage solutions.We are located in Virginia Beach, VA, where our employees enjoy the family-oriented communities and diverse recreational activities this area offers. We are a hard-working team committed to delivering excellent customer service; we also know how to enjoy a little fun while we are at it. We are growing rapidly and seek self starters with integrity and a willingness to succeed. If this sounds like the perfect fit for you, we encourage you to review and apply to any of our openings listed below.","We are looking for a talented and competitive Inside Sales Representative that thrives in a quick sales cycle environment. The successful candidate will play a fundamental role in achieving our ambitious customer acquisition and revenue growth objectives. You must be comfortable making dozens of calls per day, working with vendors/partners, generating interest, qualifying prospects and helping the outside sales representatives close sales.Responsibilities:Source new sales opportunities through inbound lead follow-up and outbound cold calls and emailsUnderstand customer needs and requirementsRoute qualified opportunities to the appropriate sales representatives for further development and closureClose sales and achieve quarterly quotasResearch accounts, identify key players and generate interestMaintain and expand your database of prospects within your assigned territoryTeam with vendors &amp; partners to build pipeline and close deals","2-5 years of inside sales experienceTrack record of over-achieving quotaStrong phone presence and experience dialing dozens of calls per dayExperience working with Pipedrive, #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# or similar CRMExcellent verbal and written communications skillsStrong listening and customer service skillsAbility to multi-task, prioritize, and manage time effectivelyBA/BS degree or equivalentExperience selling Material Handling &amp; Storage Solutions and/or Military Tactical Gear a plus","SEK Solutions strives to be employee-focused in the benefits and services that we provide. We offer a competitive benefits plan to all full-time employees to include:Comprehensive Health, Dental, and Vision InsuranceLife, AD&amp;D Insurance and Long Term DisabilityVoluntary Life InsuranceShort Term Disability401(k) Qualified Retirement PlanHolidays, Vacation and Personal Time Off",0,1,0,Full-time,Entry level,Bachelor's Degree,,Sales,0
15088,Numeric Games Manager,"GR, I, Athens",Marketing,,,"Major responsibilities:Assists department Director in the forming of strategic plans for the assigned products line up based on quantitative factsDefines, analyzes &amp; continuously monitors consumer segments insights and proposes actions to address themActs as brand metrics KPIs safeguard both in terms of internal alignment &amp; customer actions to further develop themCloses monitoring of all business &amp; consumer KPIs achievement rate and proactively prepares contingency plans in order to ensure delivery upon targetsDesigns, implements, monitors &amp; evaluates activity calendar for assigned products &amp; leads cross-functional teams towards achieving specific KPIsWorks closely with Marketing functions departments, Sales &amp; Gaming departments in order to review business performance &amp; projects development, ensuring delivery upon commitmentsParticipates in major transformational cross company projects and in think tanks for the greater good of the company","University degree in Polytechnic, or Mathematic, or Marketing or other related fieldMBA or MSc in Marketing5-7 years experience in a Marketing senior position in products or services businessStrong results oriented individual with solid commercial business experienceHigh numerical orientation (ability to analyze &amp; draw conclusions based on quantitative facts)Very good command of English language (able to fully operate in English)Proven experience in project management &amp; delivery upon commitments in a complex environmentExperience in business planning with excellent presentation skillsConsumer oriented, able to detect consumer insights &amp; needs translating them to product propositions and conceptsAbility to successfully lead own team &amp; cross-functional project teams in achieving specific KPIsOpen minded &amp; innovative with the ability to work under pressure &amp; meet deadlinesSolution finder and “can do” attitude believerAbility to teamwork, cooperative attitude, adaptability and flexibility are essential","The company offers excellent career opportunities and a competitive compensation package, based on the qualifications of the candidate.",0,0,1,Full-time,Executive,Master's Degree,Gambling & Casinos,Marketing,0
13849,Backend Developer,"DE, BY, München",tech,,hello worldtalents23_ drives the change in digital recruitment and develops the best quality filters for the selection of top talents.,"The company is an independent technology startup with offices in Germany and the US. It uses scientific data analyzes to increase the user engagement in online shops  in real-time and onsite. It helps online shops to boost their bottom line through increased sales, conversions and average order value.You design and implement system and software solutions for our technical infrastructure and productsYou create, implement and maintain backend services and support developing solutions for clientsYou take over responsibility for specific components or parts of the system to continuously improve themYou proactively push forward the scalability, performance and availability of our systems ","University degree in Computer Science, Mathematics, Physics or related fields2+ years of experience in backend software engineeringExcellent programming skills in the field of object oriented and database driven developmentGood knowledge of:PHP, Java, JavaScriptMySQL / PostgreSQLGit / GithubAny of those skills are a plus:Big Data  NoSQL / HadoopAmazon Web ServicesAbility to work independently and in a teamExcellent communication skills, fluent in English, German is not mandatoryDemonstrate strong technical and problem solving skillsFollow good coding practices, apply design patterns ",Valuable insights into building up a company Working in a highly motivated and performance driven teamWork in the center of MunichExcellent career opportunitiesEnjoy a competitive salary ,0,1,1,Full-time,Associate,,,,0
13443,Product Manager,"US, CA, San Mateo",,,"Tile is one of the most successful crowd-funded campaigns in history and is building the worlds largest lost and found. Tile uses a ""sharing economy"" model to help people simplify their lives and save time by being able to know the location of their most valuable things at any time. Currently available in 32 countries, Tile continues to bring best-in-class performance in lost and found technology to its growing community of users. Tile is based in San Mateo, California, and were looking for more superstars to join our fast growing and venture backed team. You can learn more at #URL_6cfb2b10493b708c723bdd5df9fea83934769d593ea2e2916cc7dc1cd8c6db88#.","The RoleAs Tiles first Product Manager, you will be responsible for delivering an amazing product experience that supports the worlds largest lost and found. You always strive to create experiences that are clean, simple, and of course, beautiful. You have a deep empathy for fellow Tilers that comes from being a passionate Tiler yourself. You constantly question convention, have a great product sense, and drive things from ideas to completion. Youll work directly with the Tile founders, engineering, product management, designers, and partners to ensure our dedicated community of people never loses their stuff. You are disciplined and rock solid reliable, but you like to have fun and collaborate, and you know how to balance these traits to get teams to achieve greatness.   ResponsibilitiesWork with the executive team, marketing, business development, and engineering to define the Tile product roadmapOrganize and manage user-testing sessions to gather data on the portions of the UX under development and create reports based on this data for product and engineering teams. Goal is to always quantitatively make product decisionsWork cross functionally between operations, engineering (software and hardware), customer success, marketing, and business development to ensure smooth product releasesCommunicate product schedules, statuses, and potential risks to the entire Tile TeamHelp drive the Tile app vision and roadmap using a combination of experience, intuition, and analytical rigorWork with product marketing to create go-to market plans and devise product launch strategiesManage, own, constantly update, and drive forward the Tile product schedule","Experience with rapid development cyclesKnowledge of software and hardware development processesSelf-starter with strong sense of personal responsibility and ownership to all product plansStrong team player with a high degree of flexibilityAbility to meet deadlines in a fast paced environmentTrack record as a team player in product delivery in consumer Internet or consumer technologyClear and direct communicator, with the ability to distill complex ideas and communicate across all functional teamsQuantitative background with the ability to draw insight from data and create clever solutions to difficult problemsMaster of organization and excellent time manager with experience in focusing teams and identifying priorities from distractionsMultiple years experience working with or in a consumer electronicsDemonstrated ability to reach stretch goals while working in a dynamic environmentPMP certification preferredBA/BS degree in a technical field or equivalent practical experience","Own equity in the company. Every employee is a stakeholder in our future.Work with talented, collaborative, and friendly people who love what they do.Participate in company wide events such as team lunches and outingsOn-site gym accessUnlimited vacation daysReceive the benefits of comprehensive health insurance, dental insurance, and vision insurance, 401K, and pre-tax commuter deduction.",0,1,0,Full-time,Associate,Bachelor's Degree,Consumer Electronics,Management,0
14847,Customer Service Representatives,"US, NY, Farmingdale",,,"For over 20 years NAC Marketing Company, LLC d/b/a New Vitality has helped people be healthy and live better by marketing and selling vitamins, health supplements, and personal care products. Our customers learn about our products through direct marketing advertisements which use celebrities, athletes and doctors to promote on radio and television stations across North America.We offer a wide variety of career and entry-level positions as well as internships in a fast-paced and exciting industry. Our 100+ employees hold positions in Marketing, Creative, eCommerce, Media-Buying, Product Development, Accounting, Human Resources, Operations and Inbound &amp; Outbound Sales in both our New York and California offices. WORK HEALTHYWe believe in the philosophy be healthy, live better  for our customers and especially for our employees. We encourage healthier lifestyles through affordable benefits, gym reimbursement programs (through medical insurance) and company events that promote physical activity and company morale.Affordable Medical, Dental &amp; Vision InsuranceFlexible Spending Account &amp; Dependent CareCompany-paid Life Insurance &amp; Long-Term DisabilityEmployee Discounts on New Vitality products WORK BETTERWe believe growth and development are necessary parts of a rewarding work experience so we offer opportunities to gain industry knowledge, discover and utilize new skills and advance within the company. We also keep it fun with annual events like our Holiday Party, Thanksgiving Feast &amp; Dessert Contest and team participation in Long Island's Marcum Workplace Challenge at Jones Beach.Paid Training for Call Center EmployeesLunch &amp; Learn SeminarsSafety CommitteeCommunity Involvement (Toys for Tots, Island Harvest)Paid Time OffRoom for Advancement See below for our current opportunities. Follow the link to apply and if you are a qualified candidate, a member of our Human Resources department will reach out to you. NAC Marketing Company, LLC is an Equal Opportunity Employer encouraging diversity in the workplace. All qualified applicants will receive consideration for employment without regard to race, national origin, gender, age, religion, disability, sexual orientation, veteran status, marital status or any other characteristic protected by law.","Answer both incoming customer service calls and sales re-order callsProvide excellent customer serviceAddress any questions or concerns about products, promotions and/or shippingUp-sell and cross-sell New Vitality productsEnter and/or update customer information into a computer systemHandle secure information with discretionPlace orders when necessaryDepending on the needs of the business, you will be required to work holidays if we remain openAttendance is an essential responsibility of this positionSchedules are determined by business demands","6 months work experienceHigh school diploma or GEDSolid computer skills (MS applications)Excellent reading and phone etiquettePatience and upbeat professional mannerAbility to type 35-40 words per minuteAbility to verbally convey information to others effectivelyAbility to read and understand information presented in writingAbility to multi-taskAbility to sit for long periods of timeOur company is an Equal Opportunity Employer encouraging diversity in the workplace. All qualified applicants will receive consideration for employment without regard to race, national origin, gender, age, religion, disability, sexual orientation, veteran status, marital status or any other characteristic protected by law.Note to Recruiters and Placement Agencies: Our company does not accept unsolicited agency resumes. Please do not forward unsolicited agency resumes to our website or to any employee. Our company will not pay fees to any third party agency or firm and will not be responsible for any agency fees associated with unsolicited resumes. Unsolicited resumes from Recruiters or Placement Agencies will not be accepted by our company and will be processed accordingly.","Paid Time Off (will begin to accrue on the 1st of the month after 60 days of service)Medical, Dental &amp; Vision (1st of the month after 60 days of service)Flexible Spending Account (1st of the month after 90 days of service)401k plan (automatic enrollment on first day of employment)Company-paid Life Insurance &amp; Long-Term Disability (1st of the month after 1 year of service)Paid trainingEmployee discounts on New Vitality productsCasual work environmentRoom for advancement as your department and the company grow",0,1,0,Full-time,Entry level,High School or equivalent,Marketing and Advertising,Customer Service,0
8373,"Remote support, AU timezone (UTC+10)","FI, , Helsinki",,2000-4000,"#URL_31fdc354999cbb96507ebbe4e9c4aa7eed5edd0dd1c0816b36e0f4c681f01fac# is a marketplace for Bitcoin, everyones favourite cryptocurrency. The site is one of the most popular person-to-person bitcoin exchange places in the world, now growing at the pace of 1000 new users a day. As Bitcoin is a currency without borders, so is our site: the users come from over 200 different countries.LocalBitcoins Oy, the parent company of the site, is cash flow positive, bootstrapped and has not raised any venture capital yet.Currently we have four people working full-time on the site, and several freelancers/contractors. We are looking to grow explosively. Our goal is to bring Bitcoin everywhere in the world. We need the most ambitious and brightest minds to achieve this. International background is a big plus as all of our customers are and will be outside Finland.Our offices are located at Kalasatama area, Helsinki, named as one of the hottest startup cities by Wired Magazine. We wish that you could be present at the office at least two weeks per month, except for international support personnel who can work in their corresponding countries.As we are a startup, our positions are more directional than specific. Flexibility, ability to step out from your comfort zone and open-mindedness is a good attitude for this job. Also interest in Bitcoin and similar crypto-tech is definitely a plus!","In order to serve our global customer base better, we are expanding our support team. Right now we are looking for someone who can work at AU timezone (UTC+10), mainly covering hours 10PM to 6AM UTC (8-16 Sydney timezone).The support work consist mainly from replying support tickets and resolving disputes between users. However, one very important aspect of the support work is to provide input to the product development and maintenance.The job also offers flexibility to work in other roles with the Localbitcoins team i.e. copywriting and marketing.","As your work consist mainly for being touch with by written communication, your most important skill is writing. Also this job requires strong objective decision making in situations where there are no necessarily clear easy answers.Since we are Bitcoin company and working with the most cutting edge technology, you should have some knowledge about cryptography, operating systems, IT technologies and computers in general. This work does not necessarily require programming skills, but it does not definitely harm to have some coding experience as well.Strong writing skills in EnglishExperience and knowledge about computers, information security and IT in generalCryptocurrency knowledgePositive, supportive attitudeGood interpersonal skillsAbility to work and make objective decisions under stressAbility to tolerate conflictsAbility to take the salary as bitcoinsConsidered as plus:Copywriting skills in EnglishExperience at buying and selling bitcoins at #URL_11d5f71f05048f422282e4201776d99b1f49a51bc045b60071a9f08779c94976#",This work offers an opportunity to work with the most disruptive technology innovation since internet in a rapidly growing company with global customer base.,0,1,1,Full-time,Entry level,Associate Degree,Financial Services,Finance,0
1525,Hacker,"US, MD, Baltimore",,,"ISE resolves technology vulnerabilities through rigorous analyses to keep great companies great by providing expert, objective, targeted interventions. ISE is a rapidly expanding, dynamic, and unique small company that wants fresh, well-rounded individuals (underwater basket weaving is a plus) who love what they do and have a rockin time doing it. Our employees enjoy ISEs creative, educational, and comfortable, environment where they can thrive professionally; and then take advantage of flexible hours and unlimited vacation days to support a great life when away from work.","About ISEISE is an independent security research and consulting firm dedicated to aggressive defense strategies through advanced science.  Our elite team of analysts and developers make the digital world a safer place by breaking technology from the mindset of the most malicious of adversaries.  To be part of this team is to be a part of securing the online experience for generations to come.JOB SUMMARYDefend more than just your parents' basement - ISE is seeking talented hackers.  Duties include: source code analysis, network and system design assessment, security reviews, penetration testing, project management, and product evaluation.","What skills and experience are must-haves?Experience with C &amp; C++Strong background in at least two of the following: Desktop/mobile/cloud application securitySoftware vulnerability analysis, code coverage analysis and fuzzing Network security, protocols, and penetration testingReverse engineering through static and dynamic analysis, memory forensics Applied cryptography, cryptographic algorithm design and review Secure software development","Our employees enjoy ISEs creative, educational, and comfortable, environment where they can thrive professionally; and then take advantage of flexible hours and unlimited vacation days to support a great life when away from work.",0,1,0,Full-time,Associate,Unspecified,Computer & Network Security,Information Technology,0
3268,Head of People,"GB, LND, London",,,"Depop is an exciting new mobile marketplace where you can sell things by simply taking a picture, as well as follow your friends and buy unique things.Depop has already been received with great enthusiasm in our test regions and it is now beginning to expand into the global market, following funding from highly prestigious venture capitalists.This is an extremely exciting time to join a young, fast growing and very promising company based in the Shoreditch area, backed by some of the most prestigious VCs in the technology market.","About DepopDepop is an exciting new mobile marketplace where you can sell things by simply taking a picture, as well as follow your friends and buy unique things.Based in London &amp; NYC, were backed by Europes most prestigious investors and have grown rapidly internationally. We are  fundamentally change the way people buy and sell with each other.  Were a fast paced business that requires passion and dedication from the whole team.It is an extremely exciting opportunity to join a young, fast growing and very promising company based in the Shoreditch area of London.About The RoleOur Head of People will be pivotal to supporting realise Depops goals and be in charge of our People plan as we scale.The key purpose of the role is to:Play an integral part of the growth of Depop as we scale form a People perspectiveHave the ability to anticipate business and market needs whilst tackling complex challengesBe a commercial HR leader with the ability to drive change in a constantly growing and ever evolving businessFocusing on the performance of the London &amp; NYC teams to add valueActively partner with the teams to truly understand the strategy to drive business goals and ensuring a high level of retention and employee engagementSupport our team with hiring plans, talent acquisition, onboarding, reviews and retention plansBuild HR assets Depop can draw on as we scale internationallyMain responsibilitiesWork closely with our CEO, Founder and team to build a talent plan and define the roles that they are recruiting forProvide a hands-on, day to day HR service including recruitment, and matters related to work permits/visasSupport, train and empower our people in exemplary recruitment techniques from end-to-endHelp craft genuinely compelling role descriptions, plan posting strategy, implement individual referral schemes, careers sections on individual websites etcSupport sourcing and screen candidatesBe well versed in the laws and practice of human resources in the UK and ideally the USHelp the team managers to close offers with their preferred candidates, keeping candidates informed at all timesCompile and manage a preferred and heavily discounted third parties suppliers list. Build partnerships with them to ensure they are always thinking of Depop - converting them to Depop advocatesPlan, attend and ensure Depop are well represented externally at public events","About YouProven track record in a HR or People role, gained in a high growth or tech startupSound knowledge of employment law, combined with a practical understanding of best practice in people management.Exemplary communication skills, including the ability to develop productive working relationships with managers at all levels and influence executives.Well-organised and efficient; able to manage own workload to ensure that deadlines are met under pressure including the ability to manage projects, and good attention to detail.Highly motivated, positive self-starterWilling to learn quickly with a can do attitudeStrong verbal, written and presentation skills, including the ability to provide advice by telephone and email, produce HR documentation, and to design and deliver learning.Keen attention to detail with strong computer skills including MS Office (Word, Excel, PowerPoint) and knowledge of various HR databases.","If you want to be part of this amazing team at an exciting time of our growth as we shape our culture, please dont hesitate to get in touch!",0,1,0,Full-time,Associate,Bachelor's Degree,Human Resources,Human Resources,0
11173,Digital Project Manager ,"US, CA, El Segundo",Product Development,,"ConsumerTrack is a technology-centric internet marketing company built on a culture of excellence. We are the preferred digital advertiser for premium financial brands such as American Express, Discover, Allstate and Equifax. Through our 1600+ websites, we set the vision and the strategy for our clients to reach and connect with their target audience.At ConsumerTrack, we pride ourselves in having a team that exudes leadership, high initiative, creativity, and passion. By joining our team, you will have the opportunity to maximize your job performance and satisfaction with a company that is constantly growing.We are committed to recruit, retain, and promote employees with a diversity of backgrounds and life experiences. We believe such diversity fosters growth and innovation, ensuring we remain an industry leader.Were currently looking for energetic, driven and entrepreneurial trendsetters for all areas of the #URL_2a5cb7925ac0d5929dbe762cfc72b186318a2597921e82d05639bbb5978f8089# youre looking to advance your career and become a part of our team, we invite you to apply for our open positions.","We are looking for a Digital Project Manager to own the lifecycle of our highest revenue producing websites. We need a hyper organized go-getter who can hit the ground running. This role will be working with all teams in the company: the design team to innovate new designs, adding functionality with our engineering team, and collaborating with the analytics team to analyze data to make product improvements. This role is an exciting opportunity for someone who is hungry to take a lead role in strategizing new ways to optimize our online property.  You will be immersed in UI designs, QAing new features, specing out ideas, analyzing data, and improving upon our web and mobile applications. Responsibilities: Own and guide projects through the entire development lifecycle - from product planning to development, through post launch optimizationsLiaise between Design and Front-End developers, QA and Operations, and Business and Technology  Define success metrics and measure key performance indicatorsLead documentation of changes by writing functional specifications, including requirements and detailed use cases, and getting validation from stakeholdersStrategize product optimizations and analyze and present hard data and metrics to back up assumptions and feature conceptsReview test plans with CTIs software quality assurance teamPerform and/or lead User Acceptance Testing (UAT) to ensure business, quality and performance requirements are met.","2+ years of project management experience in web, e-commerce, or media/advertising companiesMust have experience with key product cycle, including writing requirements/user stories, creating mocks/wireframes, market research, competitive analysis, and product planning and reportingAbility to define and manage complex process and/or issues of a broad scope using independent judgment.Experience working with Redmine, JIRA or similar project management ticket systemExperience working with multi-disciplinary teams, external partners/clients, and managing stakeholdersDemonstrated problem solving and analytical skills.Must be metrics and results drivenExperience with A/B testing is a plus","Competitive salary with excellent growth opportunityWe will invest in you!Excellent medical, dental and vision plansPaid vacation, holidays, and sick days401k- We contribute 3% of employees salary!Free Gym Membership for elite Spectrum ClubsMonthly complimentary catered breakfast/lunchesMonthly employee outings (ex. Bowling, Paintball, Bonfire, etc.)We are an equal opportunity employer and all qualified applicants will receive consideration for employment without regard to race, color, religion, sex, national origin, disability status, protected veteran status, or any other characteristic protected by law.",0,1,1,,,,,,0
9245,English Teacher Abroad ,"US, WY, Laramie",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
6690,Online Project Consultant,"US, , ",,,,"It is an ONLINE job - you work from home.We are an online marketing company looking for online consultants in European countries.We are hired by Asian companies who are interested in doing marketing in Europe and we need online consultants to help us either as a test group for client's products or as consultants of local preferences, popular websites, blogs, and so on.It is a simple job where you are hired when a project comes along that requires your particular skills or background. You then either fill out questionnaires or have a meeting with us online. It is all done on the internet. You are paid per project you participate in.To learn more check out our page: #URL_60f99854dc9b5c1d2c537af1c73019fd9b289fc38978ff550442f01bc013c9ea#?show_add_consultant",All backgrounds welcome.Particularly welcome people with Economics/Management/ Marketing/Online Business/Business educational or professional backgrounds.,Online work from home that pays when you want to accept projects.,0,0,0,Contract,Not Applicable,High School or equivalent,Market Research,General Business,0
3463,Online Marketing Manager,"US, CT, Norwalk",Marketing,,,"CVR Marketing Job Description:Online Marketing ManagerPrimary Responsibilities:• Lead execution of all online marketing operations including: CPC, SEO, and Affiliate marketing.• Perform analysis as needed.• Participate in client presentations.• Set realistic client expectations and make every effort to exceed them. • Lead the execution of all online marketing projects for a team of three people.• Maintain and update our marketing practices to make sure they are best-in-class.• Oversee content development operations for our clients as needed.","Requirements:• Minimum 3 years relevant experience, which should include an interactive agency environment, or an E-Commerce company.• Proven ability to develop and maintain strong professional relationships with clients, colleagues and vendors; Collaborating on common goals with client's ecommerce and marketing teams. • Strong experience leading insightful ecommerce and digital marketing strategy development; • Familiarity with running SEM campaigns in Adwords &amp; Adcenter.• Tech saavy; comfortable using Excel and PowerPoint for client-facing reports, analysis and presentations.• Excellent quantitative reasoning, creativity and strategic skills.• Problem Solver: Resourceful, proactive, and results-oriented.• Demonstrated ability in developing and successfully executing plans for interactive projects including an ability to oversee projects from conception to completion.• Proven knowledge of complex e-commerce solutions and user experience best practices. • Mobile and Social Media experience preferred. • BA in marketing, advertising or related field.",,0,0,0,,,,,,0
8899,West Yorkshire Apprenticeship Vacancies Under NAS 16-18 Year Olds Only,"GB, KIR, West Yorkshire",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds due to government funding.Spectrum Learning are holding an Apprenticeship Open Day on Monday 28th July.We have a number of apprenticeship vacancies in the West Yorkshire area. Positions include:-Business Admin-Customer Service-Manufacturing-Recruitment -IT User Apply now if you are looking to start your career!,16-18 year olds only due to government funding.Full time availability. ,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Human Resources,0
16547,Title Insurance Ops: Sr Title Officer/Counsel,"US, NY, Woodbury",,,#URL_e7c9057d5e6f097876436d175031e95669ede4ebaab52b6be0957c837bc98343#,"A well established Title Insurance Agency based in Long Island NY (Woodbury Area), has a need for an experienced Sr Title Officer (or Counsel). Both residential and commercial experience w/ JD preferred (but not necessary).Must be able operate at a very high level and interface w/ high end clientele. If you have at significant experience within the Title Industry in this sort of role, we'd like to hear from you.Pay is flexible &amp; commensurate w/ experience. Drop us a line if interested!","The ideal candidate:• Has at least 5 years experience with commercial &amp; residential real estate transactions• Possesses strong client relations skills.• Must be a self-starter, detail-oriented with strong organizational and verbal/written communication skills.• Will be able to juggle multiple assignments in a fast-paced team oriented environment.• Will possess impeccable attention to detail and analytical skills.",,0,1,0,Full-time,Mid-Senior level,Unspecified,Financial Services,Finance,0
16467,Automation Process Control Technician,"US, IA, Cedar Rapids",Maintenance,,"Red Star Yeast Company LLC (RSYC), a leader in the Yeast Manufacturing Industry, is a joint venture between Lesaffre Yeast Corporation and ADM. Red Star Yeast is proud to operate a state of the art facility that opened its doors in 2005 in Cedar Rapids, IA as the largest fresh yeast manufacturing facility in North America. The Lesaffre Yeast Corporation has been providing quality yeast products since 1853 and is the world leader in yeast and yeast extracts with a presence in more than 40 countries worldwide.Red Star Yeast Company LLCs success comes from strong values, passion for excellence in our yeast business, and partnership with its employees and customers.Dedication - Is the main ingredient of our past and future successes.Professional - Is who we are.Responsible - To our customers, fellow employees, families and communities.Pride - Is what we feel about our products, know-how and technology.Respect - Is what keeps us focused and united.","Red Star Yeast Company LLC (RSYC), a leader in the Yeast Manufacturing Industry, is now accepting resumes for the Process Control Technician position at our Cedar Rapids, IA! RSYC is a joint venture between Lesaffre Yeast Corporation and ADM, and is proud to have a state of the art facility that opened its doors in 2005 as the largest fresh yeast manufacturing facility in North America. The Lesaffre Yeast Corporation has been providing quality yeast products since 1853 and is the world leader in yeast and yeast extracts with a presence in more than 30 countries worldwide.Primary Duties and Responsibilities:The primary purpose of the Process Control Technician is to maintain all equipment related to the Siemens supervision system that controls the plant. Also, assist in the design, programming, troubleshooting, debugging, and documentation of the programs. This position reports directly to the Maintenance Manager, and in their absence, to the Technical Manager, but will receive direction from the Process Control Lead Technician.The ideal candidate will have the ability to demonstrate their proficiency in the key aptitudes of the role and the desire to push their programming capabilities and dive into critical error troubleshooting. Preferred candidates will have 3 to 5 years experience in a similar position and a college degree in Computer Science or Computer Engineering.Responsible for assisting in the design, programming, troubleshooting, debugging, and documentation of plant automation systems.Work with maintenance, engineering, and production groups regarding the study, design, planning, and implementation of new programs or programs modifications as needed.Maintain all hardware and software related to plant automation systems. To include but not limited to PLC, SCADA, HMI, servers, computer hardware, network, communication cards, power supply, etc.Perform preventive maintenance on all related hardware (program backup, inspection, cleaning, etc).Responsible for the replacement and configuration of all equipment of the system.Responsible for tunings and adjustments of program parameters under the supervision of the Maintenance manager.Assist in the commissioning of new systems or program modifications.Perform troubleshooting and upgrades on other PLCs in the plant in collaboration with the electrical supervisor.Work with the electrical department on troubleshooting and resolution of problems related to plant automation systems.Train electrical technicians on Siemens PLC, programs and equipment.Assist the corporate IT group with plant IT issues as needed.","Education: College degree in Computer Science, Computer Engineering, or related field preferred.Experience: Two to five years of experience working in a similar position preferred.Programming, debugging, and troubleshooting skills in multiple languages as needed, including but not limited to Siemens S7 STL, Siemens S7 Ladder, C, VBScript, VBA, SQL.High aptitude for problem solving, root cause analysis, and troubleshooting.Ability to learn new concepts or technologies.Basic electrical skills and experience.Proficiency in Microsoft Windows system administration and Microsoft Office.Knowledge of various networking protocols, concepts, and equipment.Ability to handle critical decision making responsibilities and deal with mental stress from occasionally extended worked hours outside of regular schedule.Good interpersonal skillsAbility to plan and manage time effectivelyKnowledge and/or experience of fluid process manufacturing conceptsAbility to work as part of a team or independently","Full-time, Hourly Non-exempt Position401K with Company match and a Management Incentive ProgramEffective on first day of employment: Medical, Dental, Vision, Employee and Dependent Life Insurance, Long and Short Term Disability, and Paid Vacation and Sick Time.",0,1,0,Full-time,Associate,Some College Coursework Completed,Industrial Automation,Information Technology,0
8656,Business Developer - Netlog,,,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"Do you have a sense for identifying, developing and managing great business opportunities?    Are you a though but honest negotiator chasing long-term business relationships?    Are you not frightened of massive cold calling?    Do you like to travel in Europe or the Middle East?    Are you successful in lead follow-up, prospecting, territory development, pitching and closing?    Do you have a “hunter” mentality?    Can you build and maintain a long business pipeline on your own?Yes?Lets close the deal!Apply here.",,,0,1,1,,,,,,0
2852,Digital Product Designer,"GB, , London",,,"Were a group of designers, developers, producers and technical toy makers who work together to make forward thinking products and services that push innovation and utility.We are located in London and Manchester , our clients include BBC Worldwide, ITV, The Telegraph, UKTV, Samsung, Sony, Nokia and Deutsche Bank and ground breaking digital startups.Our passionate team will offer you the opportunity to grow and develop your skills in a talented and friendly environment. ","We want a passionate Design Intern to join our London team who is as obsessed as we are in creating an incredible digital products that millions of people use every day.You have to be good and have examples of your work. You don't need a huge amount of experience but we need to see your talent. You will be part of a cross functional team of developers, designers, testers and product managers working closely together to create an amazing service in an exciting industry. The work will be tough but you're excited by this - you want to learn as much as possible. This is a paid internship but also a chance for you to shine and work your way in.","Youve got design skills. You have a portfolio that you're proud of. You're comfortable with both digital and print.You have experience designing for native apps ( iOS and Android)Youre not a lone wolf and relish feedback from users (from data and words)You know the importance of interaction, motion and prototyping, rather than just working with static mock-upsYou can laugh with others and at yourself","You'll be working with smart people who have amazing ideas that often become reality. We work with some of the biggest names in the business. Our work environment is fantastic with access to free food, drinks, sports and massages. ",0,1,0,Other,Internship,,Graphic Design,,0
5979,Office Manager ,"PL, , ",,,"We are Netguru and we love to develop web application based on Ruby On Rails framework. We value quality, transparent communication and passion for work.","We are Netguru and we love to develop web application based on Ruby On Rails framework. We value quality, transparent communication and passion for work. This time we are looking for a perfect office manager who feels that facilitating the efficient functioning of the office would be one's best job. ",What are the office managers duties?keeping record of documentationtaking care of ordering office accessoriesdealing with correspondence and courier servicesmanaging office budgetwelcoming and hosting guests and visitorsimplementation and maintenance of existing procedureskeeping health and safety policies up to dateinvoicingkeeping personnel recordsorganization of incentive events for our teamWe want you to come on board if you:already have some experience in office managementuse software that make our life easier e.g. Google Drivecan communicate well in Englishcan keep positive relationship with people with different personality traits  are reliable and can quickly adapt to IT environmenthave high level of communication and interpersonal skillsare a problem solver kind of person,"Salary:3600 bruttoPerks &amp; benefits:co-financing international conferencesEnglish lessons once a weekhalf-price lunchesFIFA, Xbox &amp; other gamesNetguru Dinner once a month",0,1,1,,,,,,0
560,Key Account Manager,"TH, 10, Bangkok",Sales,40000-60000,Smart Search delivers executive search solutions tailored for global organizations committed to the Greater Thailand market. We commit to securing the “best-fit” executive talent to lead and manoeuvre global businesses in the constantly changing dynamics of South East Asia. ,"Our client is an international document management solutions corporation that sells a wide range of printer, multifunction systems, photocopiers, and digital printing solutions. Currently the marketing leader in office automation hardware, our client enjoy an 65% market share within its sector and currently expanding their commercial presence throughout Asia and is seeking an experience Sales Leader to head their Thailand branch of operations. The Key Account Manager will report to the expatriate Country Manager and manage our clients office automation (printer) solutions and distribution partner sales throughout Thailand. The successful candidate will manage and develop a sizeable sales team and distribution partners to set performance measurements and increase or clients market share throughout the Thailand market. This will invoice the following main responsibilities:Responsibilities:Develop Major Accounts Business and Strategy to achieve revenue sales and unit objectives.Build strong and successful business relationships with key major accounts to enable delivery of sales targets.Working as a member of the Thailand sales management team to continually improve the performance and competitiveness of the company.Monitor competitor sales strategies and market intelligence.Provide ongoing support to Major Accounts in areas of training and deliver of information on products and services.Service key accounts and negotiate deals within policy guidelines.Work as necessary with other departments within the organisation to ensure delivery of the required customer service standards.","Degree Qualified in a related field.At least 4+ years experience in a similar capacity in the Office Automation or IT Hardware Sales industry.Up- Country sales / account management experience within a related industryBackground in the key account business sectors in Thailand.Flexible, pro-active and enthusiastic team player with an unrelenting drive to deliver revenue and results.Good English communication skills (written and spoken)","Our client offers a highly competitive remuneration package commensurate with qualification and experience for this challenging position with excellent growth prospects for the ideal candidate.Thai nationals, please apply below. ",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Sales,0
3793,The waiter-barista in the airport ,"IQ, , ",,,"ClarusApex is an international recruiting company with representations in the Netherlands, Austria, Ukraine, Cyprus and Dubai (UAE). We are sourcing top talents from all levels and industries from more than 45 countries with a combination out of classical headhunting techniques and modern social media marketing, advertising and research technologies.Our customers love our services as we supply them with top talent without borders including migration and in many countries even employment (umbrella) services.Candidates entrust us with their careers as we work according to international ethical recruitment standards with free recruitment services, free visa support and regular follow up contacts after the successful job placement. ClarusApex, unlocking the global job market","New opportunities in career and practice of English, a worthy salary.  If you look for work with such conditions  we offer you a position of the Waiter-barista in hotels and restaurants of the international airport Erbil.  Except confidence in the future, you will be able to get new acquaintances, study under professionals and be acquainted with culture and rich traditions of one of the most ancient countries of Asia. Employment is absolutely free for all candidates! The employer covers all expenses. EMPLOYER: international company  (Kurdistan) START: July 2014 CONDITIONS:  food (thrice daily) and lodging  air tickets paid leave (with tickets out and home) health insurance working visa and official contract. DUTIES: To help at a choice of dishes and drinks To give dishes and drinks To cook drinks To help with trainings and development of new employees Who are we? APEX AV An international company a subsidiary of Clarus  which is operating in the Netherlands and Austria since 2005, and in Ukraine since 2006; APEX AV operates under the license №585131 issued by the Ukrainian Ministry of Social Policy 12.04.2012 The participation in all recruiting programs of APEX AV is free of charge to the candidates.",REQUIREMENTS:  English (above an average)formative experienceattractive appearancecommunicative skillsdesire to work and study in team,,0,1,0,Full-time,Not Applicable,,Food & Beverages,Customer Service,0
9903,Agent-Inbound Cust Svc,"US, TN, Spring Hill",,10-Oct,"Delivering superior customer services for over 10 years, IBEX Global is a business process outsourcer offering an integrated suite of services that supports an expansive array of customer facing solutions.Headquartered in Washington, DC. IBEX Global delivers onshore, near shore and offshore solutions in 5 countries, across 18 call centers employing over 9000+ customer service professionals.  The recipient of several client and community awards such as the Goodwill Industries Employer of the Year, IBEX Global is one of the most forward thinking companies in the BPO industry.","Job DescriptionAre you ready to start your sales career with a growing organization in a call center sales and customer service role, then consider joining our growing inbound team. IBEX Global is rapidly growing and were looking to quickly ramp up entry level Call Center Customer Service Representatives that will be responsible for taking inbound calls from clients, selling telecommunications products and services. At IBEX Global weve been delivering superior customer services for over 10 years, as a business process outsourcer offering an integrated suite of services that supports an expansive array of client facing solutions. representatives are responsible for generating sales of telecommunications products in an inbound sales center.Job ResponsibilitiesIn this role you will be responsible for fielding inbound calls from customers providing quality support and suggestively selling telecommunications products and services based on customers needs.Additional responsibilities of the position include:• Generating sales of our clients services and products and representing IBEX Global and its clients with the utmost integrity and professionalism• Providing exceptional service and maintaining established acceptance rating on quality monitoring tests• Resolving customer concerns immediately and effectively, displaying professionalism and courtesy at all times• Having full knowledge of features and benefits of products and services; quickly and correctly answering product questions seeking to stay informed of program changes• Attending and actively participating in all required training programs; showing eagerness and capacity to learn new products and programsThese positions are full time. The program hours are from 7:00 am  12:00 midnight, seven days a week. IBEX Global is seeking candidates who are able to be at the call center and work any shift during the program hours. Candidates must successfully complete a nine week, paid training course, prior to taking calls. Training class will start October 13th, 2014 and will be on Monday thru Friday 6:30am until 3:00 pm or 3:30 pm until 12:00 midnight. Qualified applicants will be invited to attend an informational session at IBEX Global's office in Spring Hill, TN to learn more about the position, and to interview with an IBEX Global representative. ","RequirementsAs a Call Center Customer Service Representative you should be driven to succeed and exceed customer service and sales goals. In this role you should have strong interpersonal communication skills with a confident and outgoing personality. Additional requirements of the position include:• High School Diploma or equivalent• 6 months experience in a sales or service related role• Basic computer skills• Excellent verbal and written communication skills• Ability to utilize basic math computation skills, preferred• Required to work a flexible schedule that provides needed coverage for customer service levels.• Required to read and follow all company policies and procedures.Able to maintain a regular work schedule to meet the needs of the business. Support and model the company values.• Background and Drug Screening is required.","Benefits :We offer our employees the following comprehensive benefits plan• $10.00/hr during training• $10.00/hr + commission in production upon the successful completion of training• Medical, Dental, Vision Insurance• 401(k) with company match• Paid Time Off• Paid professional training• Discounts on Personal Electronics",0,1,0,Full-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
6887,Homebased Inbound Customer Service Representative,,,,"CallCentre People Recruitment is recognised as being specialists within the CallCentre industry.  We provide permanent, temporary, contract and management staff for a number of large national and multi-national businesses in various industries.",- Work from home anywhere in New Zealand! - Starting 15-20 hours a week - Late Evening and Night work  7 days a week available - Competitive hourly rate Your skilled approach to relationship building and problem solving will assist you in achieving your goals each and every time you are working. This is an exciting opportunity for experienced customer service and sales reps that are looking for the flexibility of working from home. ,"- Proven experience in a call centre position - Excellent communication skills and rapport building skills - The confidence and the resilience to close a sale - The confidence to deal with difficult customers - A drive to exceed sales targets - Motivated and capable of working independently - Good work ethic and time management skills - Strong computer skills You will also require:- Landline home phone - Broadband Internet - Laptop or PC running a windows operating system - Internet Explorer version 8, 9 or 10 (11 not compatible) - Wired home phone with headset (recommended) - 13”(or larger) laptop or PC Monitor for ease of viewing (recommended) ",You will be able to work anywhere in NZ so long as you meet the following requirements.Training will be done online as well as webinars.You will also get the ability to pick up additional shifts if you wish,0,1,0,Temporary,,,,,0
29,Talent Management Process Manager,"US, MO, St. Louis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Job Responsibilities:The TMO Manager will be a “Hands on” Manager responsible for:Designing, building and automating talent management processes, metrics, tracking, and reporting capabilities.Developing rigorous analytical models that provide structure to ambiguous, complex issues.Reviewing data and communicating insights as a basis for talent management action to be utilized with key stakeholders including c-suite executive management.Evaluating Talent data collection process to ensure efficiency and high level of data integrity.Comparing the Companys talent metrics against relevant industry benchmarks and identifying key areas for improvement.Conducting research, externally and internally to identify trends/benchmarks, implications for talent management analytics and recommend responses.Providing project and thought leadership in areas of subject matter expertise.Integrating analytics across multiple HR groups to ensure synergies in measurement and reporting.Managing annual TMO cycle.Managing highly confidential information.Overseeing and training junior analyst to ensure data accuracy and integrity.Education &amp; Experience: 5+ to 7 years of experienceManagement Experience Required - NoMinimum Education - Bachelor's DegreeWillingness to Travel - OccasionallyVisit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,Management Consulting,,0
4162,Administrative Assistant,"GR, I, Athens",Administration,,"A cloud based mobile marketing toolbox, that enables brands and developers to directly send interactive mobile marketing campaigns to their customer base via push notifications","As an Administrative Assistant, you're at the heart of your team's business operations and activities and the soul that keeps your team moving forward. You anticipate the needs of your managers and team members and help them stay focused on their projects by resolving operational and administrative issues before they arise. &nbsp;You also use that knowledge to strategically support your team's projects. In addition to being organized and analytical, you possess the strong business judgment and communication skills needed to interact with a variety of people and job functions.&nbsp;Working in a startup might at times be chaotic and you may be asked to pretty much do anything, but your main responsibilities&nbsp;will beHandling the bookings, travel needs and expense reporting for our international sales team&nbsp;Facilitate expenses and invoicing by liasing with the accounting and finance departmentThe specific opening at least for the first 6 months will be in a part-time basis","Experience with calendar management, high-volume travel scheduling and booking and budgeting of events.&nbsp;Advanced Excel KnowledgeExceptional written, verbal, and interpersonal communication skills.&nbsp;Strong organizational skills and the ability to pay close attention to detail while handling multiple, simultaneous projects under deadline pressure&nbsp;Project management experience and ability to handle multiple time-sensitive projects and competing priorities.&nbsp;Excellent command of english &nbsp;-&nbsp;Spanish literacy would be highly appreciated",As a part time job the specific opening is ideal for a recent university graduate that wants to work in a startup.It is that simple,0,1,1,,,,,,0
2476,Project Manager SaaS Solutions,"NZ, N, Auckland",,110000-120000,"Retailers around the world are constantly working to keep customers coming into their stores. Its not a new challenge, but its one thats getting harder and harder. With increasing numbers of competitors across all sectors and the added competition from online business, todays retailer needs to work even harder for foot traffic.We set out with one simple goal: to give retailers an advantage in this ever-toughening market.Were team of people that are passionate about technology and innovation and we saw a massive opportunity for retailers to be making use of the advantages that mobile affords them.Our head office is based in Auckland, New Zealand where our development team and much of the businesss management team are based. We also have staff and offices in Australia, United Kingdom, Singapore and The United States.","A great opportunity for an experienced Project Manager seeking more autonomy, and the authority to go with their accountability. VMob creates mobile commerce software for global retail businesses and their agencies, and you could be the next to join an extremely successful, vibrant, professional &amp; hard working team taking on the world.Based at our Headquarters in Aucklands Wynyard Quarter innovation precinct, this is a role where you can really make a difference;As Project Manager, you are responsible for deploying our cloud-based solutions to a number of our global clients and ensuring that they receive World-class service delivery.You will be working closely with our Product Team ensuring that features are delivered as planned and the quality meets or exceeds our clients expectations. You will be running a number of project delivery streams and, because our clients are world-wide, you need to be flexible and accomodating,  with project calls sometimes being outside normal office hours.To be successful in this role youll need:A background in software project delivery  with vendor management experienceVery good technical understanding and ideally experience in the mobile spaceExtensive experience of project management and understanding of the Agile SDLC.Preferably you have a certification in Project Management (e.g. IPMA, Prince2)Strong communication and presentation skillsDemonstrated initiative and proven ability to deliver projects successfullyBe able to create a quick and strong rapport with clients and partnersAttention to detail and drive to follow-up and follow-thoughGreat time management skills and flexibility around hours of workBe process driven, flexible, willing to take ownership and drive resultsPassion and desire to lead and make a differenceTo join the team and be part of the ongoing growth and success of VMob, please apply today. We would love to hear from you.",,,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
1556,Inside Sales Representative,"US, IL, Chicago",,,"Kin is a hosted HR management app used by small companies to manage employee data and files, time-off, and new hire onboarding. Our mission is to make HR managers more efficient, and to delight employees with a fresh, simple interface to their workplace.","Kin is a hosted HR management app used by small companies to manage employee data and files, time-off, and new hire onboarding. Our mission is to make HR managers more efficient, and to delight employees with a fresh, simple interface to their workplace.We need someone to contribute to Kin's outbound sales program. We're seeking to broaden our reach into the sub-100 employee economy, and you'll be finding and initiating contact with these companies around the US. More than a salesperson, you're carrying the torch to the swath of small businesses that haven't found Kin yet. Sometimes they don't know the problems they're experiencing. Other times they're well aware. Your job is to educate them, show them some techniques, and then demonstrate how Kin can elevate their workplace. What you'll be doing- Make a high volume of outbound sales calls to a variety of small companies, especially those with an appreciation for thoughtful design like digital studios and ad agencies.- Manage prospects and contact them in a timely manner, provide online demos and presentations daily in person or over the phone.- Develop and manage your own leads and drive the full sales cycle from prospecting to closing deals.- Attend dinners, festivals, events and face-to-face meetings as required.","- HS Diploma or equivalent; college helpful but not required.- 2+ years B2B sales experience. (e.g. outbound or inbound sales environment, retail, door-to-door)- 6+ months of B2B cold calling experience.- Proven sales results  intangible sales experience very helpful.- History of meeting and exceeding sales goals.- Strong presentation, networking, time management and interpersonal skills.- Strong understanding of social media and basic online marketing concepts, ability to communicate those concepts to less savvy audiences.- Excellent work ethic.- Excellent writing skills.- Able to adopt the tone and culture of Kin as a product and team. This is not cold sales by numbers. It's teaching, persistence, and enlightenment. ","Compensation base salary plus commission. Benefits include medical/dental/vision coverage, life insurance, short- and long-term disability programs, paid time off (PTO), employer matched retirement funding account, and cell phone allowance.Location You don't need to be in Chicago. We're happy to set you up to succeed wherever you are. You do, however, need to be in the United States and able to work here. Next Steps:Provide details in your cover letter on what interests you about helping companies become better workplaces using Kin. Generic cover letters will be ignored. Give us a chance to get to know you. There are 3 questions as apart of the application process. Please answer thoughtfully as this is your chance to provide a GREAT first impression. Give us a sense of who you are!  ",1,1,1,Full-time,Associate,High School or equivalent,Computer Software,Sales,0
3538,Part Time Cleaner,"US, MI, Brighton ",,,"Populist Cleaning Co. is an independently owned, full-service commercial cleaning company.  Populist has been providing janitorial services to Southeast Michigan since 1980 and was founded on one simple idea: offer our customers the best possible service, quality, and value.As a growing company, we are looking for exceptional people who share our love for the customers we serve and the work we do!When you work for Populist, youll enjoy flexible hours, a friendly atmosphere, and competitive pay.  Youll also be a part of a team that is committed to supporting your career goals.  All necessary training will be provided.The ideal candidate is dedicated to providing outstanding customer service every day.","Employment Opportunity: Part Time Cleaners in the Brighton Area  As a growing company, we are looking for exceptional people who share our love for the customers we serve and the work we do! When you work for us, you'll enjoy flexible hours, a friendly atmosphere, and competitive pay. The ideal candidate is dedicated to providing outstanding customer service every day.  Great way to earn extra cash for summer or pay student loan debts, car payments, etc. Duties include light cleaning, such as dusting, vacuuming, trash removal, restroom maintenance, mopping, etc.  All work is done after 5:30pm, typically starting at 12-15 hours per week with the possiblity of expansion.   Job location and specifics provided during the interview process.  ","Experience cleaning, specifically in a medical facility, is a plus, but all necessary training will be provided. Reliable transportation is a must, as well as the ability to pass a background check and drug screening.",Starting rate is $9 per hour,0,1,1,,Entry level,High School or equivalent,Facilities Services,,0
5619,Home Automation and Alarm Sales Office Launch - AT&T Digital Life,"US, MO, St. Louis",Digital Life,,"Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.To setup an interview, call our recruiting department Monday-Friday from 9am-5pm @ (361) 400-2907","Argenta Field Solutions is a award winning authorized solution provider for AT&amp;T Products. Currently we have 2 slots available for our Sales Executive positions in San Antonio. Each position is very important to the overall success of the office and the applicants must be able to perform under pressure.Product- Innovative system that lets security talk to automation.- Transparent pricing and clean easy to understand packages.- Supported by AT&amp;T vast 3g network, yes it's wireless.- Everything from remotely locking your doors remotely, adjusting your thermostat and viewing your living room via your web enabled   device.Sales Position- 1 wk paid training. 3 week guarantee, monthly $300 gas allowance.- Income is not capped. Tiered commission structured base on closing percentage.- Variable bonuses from month to month.- New markets added each quarter creating a need for potential management positions.- Company iPad and Accessories- Office Space for lead generation, training and meetings- Salesmen is measured by closing rate and self generated leads to improve closing rate.- Preset appointments set by AT&amp;T throughout the week. Averaging 8 appoitments per week.- Required to set and attend self generated appointments weekly.*Must be able to attend training for 1 week in Kansas City, all expense's are paid*Interviews will be held via video conference using Go To Meeting. You will need to have access to a webcam in order to use this feature.","- 1 year alarm sales or security related experience.- General sales experience preferred.- Transportation, Cell Phone, Able to work Full Time Mon-Sat.- Licensed in security sales a plus.- Ready to learn and adapt as this is a new product for AT&amp;T.- Must be energetic, goal driven and hardworking.- Basic understanding and operation of IPAD required.- 1099, Paid Training","About Argenta Field Solutions:We are Argenta Field Solutions, an award winning AT&amp;T contractor for U-verse and Digital Life that focuses on the quality while bringing quantity. Environment, Compensation and Advancement are the foundation we build our salespeople up on. Visit our website at #URL_c3d9b931607ac471bac0fd728d3836556b8c1c538cc8413fe30a53c07aee5522# Find us on Facebook at #URL_553baae34748735c93fc4be421e025e0efebcf705590d73ac4151b3ec04f0753# Mission Statement Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.",0,1,0,Full-time,,,Security and Investigations,Sales,0
3817,Financial Advisor,"CA, ON, Mississauga",,,,"JOB DESCRIPTIONIf you are considering a new path working with a growing company after many years of working in another industry, we have much to talk about.You've heard the news about the financial markets, but did you know that the demand for financial planners has never been higher and that Investors Group is continuing to grow and offer career opportunities?·       Fast Company magazine named personal Financial Advisor as the #1 job ·       CareerBuilder listed financial advisors as the 6th fastest growing occupation ·       Money magazine/Salary named the career as the 9th best for people and 4th best for those over 50And because choosing to become a Financial Advisor isn't a decision that is made overnight, we strive everyday to make your choice that much easier by providing you with:·       The best training and development in the industry* ·       Mentorship and support ·       Flexibility and independence ·       An entrepreneurial environment ·       Support for community involvement ·       Exceptional income potentialYou bring with you:·       Ability to impact and influence people in a positive way ·       Self-confidence ·       Achievement orientation ·       Self-management ·       Focused on learning ·       Relationship-building and personal growth ·       Integrity ·       Ability to network effectivelySo contact us about becoming an Investors Group Consultant. Find out why Investors Group was rated the #1 Full Service Dealer in the financial planning industry for four consecutive years*, and how we can help bring you personal and professional rewards far beyond those associated with a traditional job. * Investment Executive, June 2008-2011. Trademarks owned by IGM Financial Inc. and licensed to its subsidiary corporations. This is a Full time opportunity to establish your own variable-income and self-employed business in association with Investors Group Financial Services Inc. Submissions are subject to an initial and ongoing review process. Investors Group offices are located in all provinces and territories in Canada.Education: Completion of high school, Some college/CEGEP/vocational or technical training, Completion of college/CEGEP/vocational or technical training, Some university, Completion of universityCredentials (certificates, licences, memberships, courses, etc.): Canadian Securities Institute Course, Investment Funds Institute of Canada CourseExperience: No experienceLanguages: Speak English, Read English, Write EnglishWork Setting: Investment firmArea of Specialization: Financial planningSpecific Skills: Identify clients' financial goals and objectives, Develop financial plans for clients, Make recommendations concerning cash management, insurance coverage, investment planning, retirement and estate planningSecurity and Safety: Bondable, Criminal record check, Credit checkWork Conditions and Physical Capabilities: Fast-paced environment, Work under pressure, Tight deadlines, Attention to detail, Large caseloadTransportation/Travel Information: Own transportation, Public transportation is availableWork Location Information: Work from home, Urban area, On-site customer serviceEssential Skills: Reading text, Document use, Numeracy, Writing, Oral communication, Working with others, Problem solving, Decision making, Critical thinking, Job task planning and organizing, Significant use of memory, Finding information, Computer use, Continuous learningStart Date: As soon as possibleSalary: Remuneration Based on Commission Only, Bonus, Commission, Medical Benefits, Dental Benefits, Life Insurance Benefits, Pension Plan Benefits, RRSP Benefits",,,0,0,0,,,,,,0
6971,Java Web Developer,"US, MA, Cambridge",,100000-130000,"Pioneering advanced analytics vendor RapidMiner is redefining how business analysts use Big Data to predict the future. With an open source heritage, RapidMiner is one of todays most widely known and used predictive analytics platforms, providing powerful solutions for a wide variety of industries.","Pioneering advanced analytics vendor RapidMiner is redefining how business analysts use Big Data to predict the future. With an open source heritage, RapidMiner is one of todays most widely known and used predictive analytics platforms, providing powerful solutions for a wide variety of industries.We are seeking a Java Web Developer with demonstrated experience designing and implementing enterprise scale web solutions using Java based technologies. The ideal candidate will bring both strategic vision and solid execution skills to create responsive web applications for mobile, the desktop and the cloud.As a Java Web Developer, you will be joining a very talented and growing team working on cutting edge technologies. You will have an opportunity to influence industry trends around Big Data analytics. The candidate is expected to work with the team to bring our award-winning predictive analytics platform to the next level, providing rich functionality and unprecedented user experiences to our fast growing customer base.Every employee plays a critical role in our success and we are always looking for energized people who love to drive to results to join in our fun.Responsibilities include:Design and develop scalable web applications using Java, JEE, Spring, SpringMVC, Hibernate and other open source technologiesWork with and assist front end developers using HTML5, CSS and JavascriptDesign and develop RESTful web servicesWork with UX Designers, and other Java Developers to implement web, cloud and mobile analytics productsWork as part of an agile team on Big Data and Cloud analytics technologies","5+ years experience developing applications softwareAbility to work with HTML5, CSS3 and Javascript including jQuery, #URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399# or #URL_9078e8368d89dcdbf1ed26064be556e5b47144133bf647a7deaa954f8ec0bdb4#Experience developing RESTful web services using JEE/Java/Spring Clear understanding of MVC design patternExperience creating shell scriptsExperience with JBossExperience developing applications on Amazon Web Services (AWS)Experience building highly scalable websites and web-based applications and with delivering Software as a ServiceExperience using #URL_a58bd7bd48420a1f4774598bc5f1451bdcc79baee91a357c1d69e8aede501d73# to build scalable web services a plusExperience with Oracle, MySql, or PostgreSQL and use of Hibernate, ORMs and/or jdbc Experience with document description languages such as JSON and XMLExperience developing for secure Java based environments (encryption, decryption, SSL) for both data at rest and in transitClear understanding of software development best practicesDemonstrated experience working with teams using agile methodologiesWorking knowledge of SCM with strong emphasis on Git and proper Git FlowKnowledge of release management in order to work closely with the systems operations team to ensure stable code releases Technical degree in CS or engineering, advanced degree preferredExperience with other open source frameworks a plus (PHP, Rails, Grails, Django or similar)Experience with NoSql databases such as MongoDB or CouchDB a plusExperience with Machine Learning/Data Mining is a plusExperience with RapidMiner Server is a HUGE plus","Competitive base salaryExcellent benefits, including health care, family medical insurance, and 401(k) Flexible schedulesA short walk from MBTA Red LineFree coffee and tasty snacksA fun, world-class team that's proud of what they do each daySo if youre a Java Web Developer that wants an opportunity to shape the Big Data analytics industry, please apply now! Interviews are being scheduled immediately.Candidates must be authorized to work for any employer in the US and must currently live in the US, preferably in the Boston area.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Engineering,0
12949,Part Time Executive Assistant,"US, WI, Milwaukee",,,"Schroeder Solutions is a full-service interiors company focused on keeping our clients and our own work environments as productive and enjoyable as possible.  Were a growing company, awarded the Biz Times Future 50 Award and Top 10 Small Businesses in Waukesha County.   Were looking to add more talented, highly motivated professionals to our team.   Youll work in a fun, healthy environment with a team-focused, client-oriented staff.  Schroeder Solutions has a solid history of growing and flexing with your career desires and changing personal life. If you want to work in a showcase workplace with an eye on perfection and a desire to elate our clients, check out these opportunities:","Schroeder Solutions is a full-service interiors company focused on keeping our clients and our own work environments as productive and enjoyable as possible.  Were a growing company, awarded the Biz Times Future 50 Award and Top 10 Small Businesses in Waukesha County.   Were looking to add more talented, highly motivated professionals to our team.   Youll work in a fun, healthy environment with a team-focused, client-oriented staff.  Schroeder Solutions has a solid history of growing and flexing with your career desires and changing personal life. As the Administrative Assistant, you will be busy!  You will be directly supporting the President of the company as well as the Leadership Team.  Not only will the usual calendaring and correspondence be necessary, you will also work with the sales team on projects to help create client elation.  ",Highly attentive to detailsGood organizational skills and ability to work towards and implement improved processesExcellent interpersonal skillsAdept at dealing with difficult situations tactfullyProficient in Microsoft Office Some customer service experienceHigh School diploma required; Some post high school education preferred,Schroeder Solutions offers a competitive salary and benefits package.,0,1,0,Part-time,Associate,High School or equivalent,Facilities Services,Administrative,0
6141,Mid-Market Retail Sales Executive,"US, NY, huntington",,,,"The Mid-Market Retail Sales Executive is responsible for selling eGifters full range of products and services to mid-size and regional omni-channel retailers and ecommerce firms. We are looking for someone with a minimum of 3 years sales experience in selling software (preferably SaaS) or solution sales to senior management and marketing executives in retail. The right candidate will possess a strong knowledge of current technology trends in retail, including social or mobile technology. This can be a remote-based position and is a sole contributor role responsible for actively and persuasively championing the relationships with mid-sized and regional and eCommerce firms from introduction through contractual closure. The mid-market sales executive will utilize their personal network as well as perform high volume proactive outreach to senior level executives (retail VPs, SVPs, CMOs) winning business with a compelling, persuasive style and skill set. Responsibilities:Deliver against sales targets within assigned retail categories.Prospect for new business for each of eGifters SaaS technology products and servicesHigh frequency, daily outreach to new and existing sales prospectsIdentify specific customer needs and recommend solutions that solve various challengesCollaborate with the customer to establish and execute sales and promotional plansNegotiate and successfully manage customer contracts agreementsWork with account management to organize quarterly customer reviews to gauge customer success and upsell new offerings.Travel frequently to visit large retailers and attend industry trade shows and events","Proven success building a pipeline of activity and meeting (or exceeding!) revenue objectives in a consultative sales roleHighly skilled in nurturing business relationship from introduction to contractual closeComfortable and committed to using CRM/#URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# for all sales activities and reportsCompelling communicator with a natural skill of drawing the customer into engaged dialogExceptional, best of breed listening skillsPossess an internal sense of urgency that drives personal productivity, while still a consummate team playerPersuasive in introducing new conceptsSkilled in business development and nimble enough to work through periods of ambiguityGoal oriented and determined to deliver outstanding performancesHigh business acumen, experienced in working with and communicating analytic conceptsIs well-networked and has highly supportive referencesStrong presentation development and proposal skills, including Word, PowerPoint, and Excel skillsMastery of remote demonstration technologies (such as WebEx) to limit travel and improve speed to marketDemonstrated ability to work in a fast-paced, dynamic team environment within a start-up cultureUnderstanding of retail technologies and/or payment systems and methods a plusPrior experience in gift cards, gifting, payments, card processing and/or SaaS technologies a plus",,0,0,1,Full-time,Mid-Senior level,,Retail,Sales,0
5475,Grant Funding Advisor,"GB, LND, ",Outward Facing Team,28000-28000,"Founded by Daniel Tenner and Paulina Sygulska, GrantTree is a rapidly growing company whose team of women and men help UK technology companies secure funding without sacrificing equity.The company deals primarily with R&amp;D Tax Credits and Grants, but is also in the process of launching some exciting new finance products for the tech space.Weve had a very profitable first three years as a company, with turnover growing threefold each year, and we managed to bring in more than £1m in our third year.We believe in working healthy and productive hours. The best work is done by people who are happy and well rested - and we want the best work.Following the example of companies whove managed to successfully implement a modern culture, such as Semco, Valve, and Zappos, we are building a progressive, open-minded, non-traditional organisation. Unless you have worked in a forward thinking startup (particularly in the Valley), its likely that you have never seen a similar working environment. Benefits of working with us include flexible and remote working, an empowering and vibrant place to work and a pool table, amongst others.","Do you love helping people in the startup scene? Can you quickly understand the funding problems faced by business owners and think on your feet to come up with effective case-specific solutions? Can you make sense of the continually-evolving sea of government funding options? And would you have a genuine interest in researching this further? Could you identify relevant opportunities to add to the current GrantTree offering so we can get more startups to the next level? Do you want to be part of a team which is striving to grow and become the go-to place for startups who want equity-free funding? If so, read on, this might be the role for you!The purpose of the role is simple and elegant - to make sure everyone who contacts GrantTree has a great experience, and much like the swan adage, a lot needs to be going on underneath to make this happen. We need someone who can understand and address the needs of everyone who reaches out to GrantTree, over the phone, via email or in person - communication skills are paramount! The role requires a friendly, energetic, tech enthusiast who understands our values and can make sure they are shining in every interaction with our potential clients. Internally, the Grant Funding Advisor will work closely with our key players in Promotions, New Products and Partnerships to make sure everything we learn from those who connect with us can be built into our solution space!GrantTree is a different place to work. We believe in openness and transparency. Our financials are open to all employees - salaries, expenses, etc, all visible. We believe in trust and responsibility rather than command and control. To succeed in this environment, you must be genuinely self-motivated and highly effective in self-management, whilst also being team-minded, helpful and generous with your time. More of that on our website - please have a read about our culture and values before you apply!","Here are some of the things we are looking for in a perfect candidate:Exceptional communicator - written, over the phone, and in person, both client side and internally. You would be the first point of contact to anyone who reaches out to us!Highly organised - making sure that the effort you put in can be kept track of and made use of in the future.Awesome research skills - drive to understand the government funding space inside out, and the ability to convert complex briefs in to easily digestible sets of requirements.Enthusiasm for all things startup - desire to get out there to events, make connections and really understand where we fit in with other businesses and where we can add value.","Pay for this role is £28k/y. In addition to this, we offer a revenue-share model for employees within the company, with a company-wide quarterly bonus scheme. This is an outstanding chance to learn about the startup scene, build an extensive personal network in the startup community and work in a fun, unique and dynamic environment - we look forward to hearing from you!",0,1,0,Full-time,Not Applicable,Unspecified,,Sales,0
3551, SoftwareTest Analyst with Selenium,"US, TX, Austin",,,"Electus believes in long-term relationships with our candidates. We provide a combination of recruiting expertise, data, and industry experience to help you find an ideal match for your current skills and future aspirations. Our close working relationships with our clients allow us to offer you opportunities not available to the general public.As a job seeker, when you are dealing with Electus Recruiting, you are dealing with a reputable recruitment firm that only hires intelligent, knowledgeable and dedicated recruiters whose job it is to assist you in furthering your career ambitions. Our recruiters take every measure possible to put job seeker concerns before profitability.  We are very careful about the clients whom we take on. We preach open communication and take every step available to make the interviewing, negotiation and job offer process fast, simple and lucrative.","Develops, publishes, and implements test plans. Writes and maintains test automation. Evaluates, recommends, and implements automated test tools and strategies. Develops, maintains, and upgrades automated test scripts and architectures for application products. Also writes, implements, and reports status for system test cases for testing. Analyzes test cases and provides regular progress reports. Participates in the testing process through test review and analysis, peer review, and certification of software.  Software Testing Qualifications Board (ISTQB CTFL) Certification is considered a plus.  Must understand iterative software development (Agile/SCRUM).", Skills/Experience 3 years of experience with Web / HTML 3 years of experience with Selenium 3 years of experience with Hewlett Packard LoadRunner 3 years of experience with Agile / SCRUM        ,Green card or US citizens only please Preferences:YearsSkills/Experience:1 year of Adobe CQ 1 year of Certified Tester Foundation Level (ISTBQ® CTFL)1 year of VersionOne 1 year of Test Automation,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
15091,Frontend Developer,"IT, 25, Milan",Development,25000-31000,"we are a digital creative studio focused on UX &amp; UI Design.we build delightful websites and applications with WordPress and Ruby On Rails.CareersWe are looking for people to join our team of passionate designers and talented engineers. We are based in Milan (Italy), in Talent Garden “Passion Working Space”.","Hi. We are looking for talented developers to join our team. Our products are web applications and websites distinguished by an incredible attention to detail, quality and beauty of the interface we design and build. Join Us If  You are a web developer who strives to build a top-quality, state-of-the-art web product. – You mix technical skills in front-end development with UX patterns. You have a big appetite for mastering new skills and technologies and use your spare time to code, loosing count of your GitHub repos. "," 2+ years of relevant work experience. – Deep knowledge of web standards, responsive and accessible interfaces. – Expert knowledge of JavaScript and web technologies (HTML/CSS/SASS). At least one framework among AngularJS, #URL_1d0f9eb2a7073ab63d5cfc0f9762fb40962b2b8ad1607a31c869aa4fd0382977# or #URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399#. – Knowledge of User Experience and HCI principles. – Skills in writing high-performance and reusable code for UI components. – Strong spoken and written communication skills (english). – Skills in using Versioning Control (Git)."," Full Work Contract; gross Salary from € 25.000 to € 31.000. – Moze Welcome Pack: MacBook Air, Official T-Shirt and Stickers. – 24/7 Badge Access to Work &amp; Relax Areas in Talent Garden “Passion Working Space”. – Chance to work and grow in a team of young talented engineers.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Engineering,0
15126,Senior Copywriter,"GB, LND, London",Creative,,"It's not about what brands say. It's what they do that matters. People are no longer satisfied with simply ""hearing"" a brand's message; now it's the actual experiences we have with a brand that define its relevance—emotionally, socially and when it's time to buy. That's why Momentum Worldwide helps brands imagine, create, curate and manage their Total Brand Experience. From the screens you watch, to the registers you pay at, to your Facebook, to your actual conversations, to the concerts you go to, we put brands there in a way thats different and entertaining. We integrate sponsorship, shopper, design, technology, social, promotions, PR, digital and entertainment and more  in a total brand experience for the modern consumer. Quite simply, our job is to help brands flourish  and we do this as one global agency (within the IPG family of agencies) with more than 30 offices around the world  all of us working together, eager to invent, challenge the norm and set the world on fire. To make that possible, we hire people who are ravenous for ingenuity and impatient with the status quo. We like good people, and we like really thrilling people. When those two things come together its a talent experience for the books. The biggest asset we have is our talent, and we take that seriously. Part of the IPG agency network, we have over 30 offices on six continents with more than 2,000 amazingly talented people in our family working together. And, its kind of awesome to be a part of a company working with some incredible brands, including American Express, Coca-Cola, Mondelez, Microsoft, Walmart, William Grant &amp; Sons and more. Why not join us? You could be a member of a growing team of people who love to imagine, concept, create, sculpt, sing, emote and dream in the interests of our clients and communities. We think its a special kind of calling. You should answer.","Momentum is looking for a Senior Copywriter to join the creative team dedicated to working on one of the agency's biggest clients and enhance the production of high quality creative output.This is a brand new role for an ambitious Senior Copywriter to work on one of the biggest brands within the mobile communications sector. Constantly challenging accepted creative norms in this space is a key aspect of this role, and an aspect that presents a world of creative opportunity to deliver inspired thinking that moves the brand towards combining key consideration for shoppers with award winning creative work.The Senior Copywriter will be helping to define the next creative episode for our client with compelling creative thinking at retail stage that sets both products and services apart from the key competition.","Over and above the ability to think inspirationally, our candidates need to have:A solid (but not exclusive) understanding of retail environments and both the opportunities and challenges these present to our clientA calm considered approach on the surface with a fire of inspiration under the surfaceA knowledge of digital platforms and new developments. (ongoing)A knowledge of social media and the opportunities these can present to our client.A wider knowledge of media channels with experience of integrated brand communicationsA knowledge of experiential and promotional marketingAn ability to clearly articulate their thinking and be able to present confidently to both the internal team and clients.","CORE BENEFITS 25 days annual leave/Company pension scheme/4 x life assurance/Long service award at 5, 10, 15 etc years/Eye care vouchers/Recruitment referral bonus/Free gym &amp; personal trainer/Friday Bar!FLEXIBLE BENEFITS/Childcare vouchers/Ride to Work scheme/Season ticket loan/NCP loan/Health cash plan/Private medical insurance/Dental cover/Taste Card",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Marketing,0
9602,Growth Hacker/Head of Marketing,"US, CA, San Francisco",,80000-105000,Airenvys mission is to provide lucrative yet hassle free full service short term property management all around the world. We combine the charm of your home with the amenities of a boutique hotel.Currently the short-term rental property management companies are run inefficiently thus having to charge owners 40-50% of monthly revenues. By using our pricing algorithm cross-platform listing technology out goal is to increase your rental income by 20% or more while only charging you a 12%-15% commission for providing a turnkey experience.We like to think Airenvy is creating a new way for people to become excited again about property management and love their property managers.First use case for Airenvy clients are those who want to convert their long-term rental into short-term rental so they can 1) earn more income while having the flexibility of staying in your own place when you want.Airenvy is your friend next door! : ) Our customer video! #URL_e73543fde61f659ae4e25b87c34adb321c37207b4adc143cb6a99351c3ee1cb5#,"Who is Airenvy?Hey there! We are seasoned entrepreneurs in the heart of San Franciscos SOMA neighborhood.  We are looking for someone who embodies an entrepreneurial spirit, pays strong attention to detail and wants to be a part of the next big thing. This business can feel like a circus at times, but we have an all-star team with a one of a kind culture.  Get a little taste of it here.Airenvy is the #1 technology driven property management company in a multi-billion dollar industry and is revolutionizing the vacation rental space! We are growing at record speed and expanding to new markets! Our platform allows owners to put their vacation rental on autopilot. We are a proven team of startup veterans and would love for you to join the family!    In 2014 we were named the #1 Airbnb property management company in San Francisco according to the SF Chronicle. We have 18 supportive and resourceful investors, many of whom are leaders in the technology and real estate industries.The PositionAirenvy is hiring the Growth Hacker &amp; Head of Marketing that must hustle and implement unique organic growth tactics. Equally as important, we want you to dive right into marketing experiments. Overall this will require a hacker/scrappy mentality in addition to help manage conventional advertising channels. Someone to act with speed yet detail-oriented and results focused.You must like to get things done, and believe that quick iterations lead to a faster best result.  You'll be tasked with developing and executing ""growth hacks"" (both short and long term) to help drive new users to our service and retain them.","We need you to run, rule and just be awesome at the following:Manage all growth hacking experiments to formulate and test hypotheses.Invent revenue &amp; user growth opportunities with analysis, experimentation and prototyping.Optimize every step of the customer journey from awareness to purchase.Work with the product manager &amp; 3rd parties to devise and run A/B and usability tests across the website, eCRM campaigns, advertising and marketing campaigns.Developing messaging and creative that resonates with target customer audienceContribute to development and implementation of SEO &amp; SEM strategiesAdvanced understanding of business metrics and Google Analytics.A habitual experimenter who likes to try out various ways to improve funnel metrics while using data to understand what is, or isnt working and why.Skills &amp; Experience Required:Experience or strong desire to drive user growth at a consumer-facing start-up.Grasp of analytics tools such as (GA, Mixpanel, KISSmetrics etc.), A/B &amp; MVT (VisualWebsiteOptimizer, Optimizely etc.) Understanding of social and commerce web platforms, SEO/SEM, social and viral mechanics.Optimizing webpages through A/B testing Experience Twilio would be useful.Excited about challenging yourself every single day.",Competitive Pay. You'll be able to eat steak everyday if you choose to.  Health Insurance. We have vitamins and we're all relatively healthy so hopefully you don't need this.Piñata Parties. Yes we dance around with blindfolds on swing sticks.  Why?  Because its awesome!Snacks on Snacks. All the cooool start-ups are doing it.  Karate Lessons. Really its just that in air ninja kicks are encouraged at all times.Free Massages. When we hit a few milestones we'll be buying a massage chair.Discounted Gym Membership. Get swole but not like our last employee.The Best Benefit of All...Being part of an amazing team/family!!!! Click here for some insight as to the Interview Process. ,0,1,1,Full-time,,,Internet,Marketing,0
8172,Sales Director,"US, PA, Philadelphia",,60000-90000,"We launched Involvio as students at Drexel University in Philadelphia out of our frustration that keeping track of events happening on campus was just too hard - we knew there must have been a better way, but there wasnt, so we built it!We took the top prize upon graduating from the GE/OMD Incubator program in New York City and have since grown by leaps and bounds. Our product is used by thousands of students on campuses across the US and Canada. Our team is laser focused on building tools that boost student engagement.","Come be one of the charter members of our sales team, defining and developing our sales process to sell mobile application technology for colleges and universities. Our technology is allowing campus administrators to market their events and engage students right on their smartphone.  The market is HUGE. You will be the key driver of revenue growth for the company, and are on the front lines for evangelizing our product and brand to prospects and customers.ResponsibilitiesSupport marketing initiatives and develop new sales channelsIdentify and engage new sales leadsProvide sales assistance over phone, email, and live chat to school administratorsManage complete sales process from cold calls and existing leads through initial school setup andProactively identify and address barriers to campus success and ensure existing campus retentionDevelop and share best-practice examples and campus use cases through blogs, webinars, conference sessions, social media and case studies","4-year degree5+ years of successful performance in an aggressive sales positionFluent in English, additional language skills an advantageExceptional communication skills, including resenting and training abilities.Must be technology savvyStrong understanding of college cultureHard working, self-motivated, and intelligent (ability to take ownershipExcellent time management and multi-tasking skillsWillingness to work flexible hours when requiredYou are relatable and easy to talk with, ability to relate to college administrators and understand their individual needs  Our Ideal Candidate would also have:Experience in mobile or a technology-related roleExperience with client management system Salesforce","Cool old city officesCompetitive salary and stock options, based on experienceA new Apple rig of your choice (Macbook or iMac, iPhone and iPad)Comprehensive health, dental and vision coverageFlexible Work Hours",0,1,1,Full-time,Director,Bachelor's Degree,Internet,Sales,0
2591,Leasing Manager - Regents Park,"US, IL, Chicago",Leasing,,"MAC Property Management is dedicated to providing an exemplary living experience, the highest possible quality of service and a superior value for our residents.  It is important for us to preserve the environment, improve infrastructures, and enhance the beauty of our settings.  We are in the process of acquiring new properties in these existing markets as well as expanding to new markets across the country.  MAC Property Management, LLC is an Equal Opportunity Employer.","MAC Property Management is dedicated to providing an exemplary living experience, the highest possible quality of service and a superior value for our residents.  We are committed to building better neighborhoods wherever we are, not only for our residents, but for the greater community. At MAC, it is important for us to preserve the environment, improve infrastructures, and enhance the beauty of our settings.  MAC currently serves apartment communities in the metropolitan areas of Chicago, St. Louis and Kansas City.  We are in the process of acquiring new properties in these existing markets as well as expanding to new markets across the country.  MAC Property Management, LLC is an Equal Opportunity Employer. SUMMARY:Responsible for efficient and consistent operations of the leasing office under the supervision of the Property Manager. ESSENTIAL DUTIES AND RESPONSIBILITIES:Provides direction, guidance, training and leadership to leasing consultants.Trains all leasing consultants for tours, phone calls and all communication with potential residents.Fills in as needed for tours, leasing calls, processing applications and all applicable leasing consultant duties.Manages, reviews and oversees applicant files to ensure the accurate and efficient preparation/processing of all potential resident information.Promotes the resident and business referral programs as established under company guidelines.Assists staff regarding difficult situations or customer issues regarding new move ins, provide follow-up assistance by coaching and counseling staff in handling of potential and/or difficult situations that occur.Conducts periodic staff meetings that encourage group participation by soliciting staff members interaction and input.Communicates information to staff regarding incidents involving updated/existing policies and customer service issues.Shares strategic plan information with staff  solicit feedback and improve staffs understanding of leasing office goals.Completes monthly work schedule for leasing consultants.Completes outside marketing plan and works with Team to be active in the community both here and surrounding.Maintains, revises and orders leasing office documents.Reviews, updates and distributes weekly leasing status to all necessary employees.Runs, maintains and distributes weekly and monthly rental summary reports to Property Manager.Maintains and distributes all competitive market surveysConducts regular inspections of model and vacant units in order to identify areas in need of cleaning or maintenance and items in need of repair. Prepares work orders as necessary.Reviews all signage and make sure it is in market ready condition, properly lighted and visible to street traffic.Responsible for assisting all current residents with apartment transfers.Performs other related duties and assignments as required.SUPERVISORY RESPONSIBILITIES:Leasing Staff","Bachelors Degree and four (4) to six (6) years of related training or experience, or an equivalent combination of education and/or experience.  Knowledge of established leasing practices and procedures.Excellent listening, verbal and written communication skills.Superior attention to detail, organizational and follow-up abilities.Previous experience training and motivating leasing staff.Ability to multi-task and adapt to changing priorities.  Proactive and deadline oriented.Ability to interact effectively with residents, vendors and employees at all levels of the Organization.Patience and the ability to react to stressful situations in a professional manner.Computer skills including: internet, e-mail, (MS Outlook) MS Excel, and MS Word.Knowledge of Yardi or related database and real estate property management applications is required.","Benefits:Medical, Dental, Vision, Group Life Insurance, Flex Spending, 401K with company match, and educational reimbursement ",0,1,1,,,,,,0
3391,Customer Care Specialist Full-Time,"US, AZ, Tempe",Streaming Media,19800-20800,"J-Curve Technologies, a privately held Arizona-based organization, is an award-winning premier outsourcer of shared technical, customer support and enterprise level helpdesk services.   In 2011, J-Curve was recognized as one of the best places to work in the valley by the Phoenix Business Journal.We are committed to the ongoing development of our valued employees, and we offer candidates the opportunity to work with talented colleagues in a positive, team-oriented, productive and informal environment with opportunities for learning and growth.  ","Our dynamic technical support organization is seeking Customer Care Specialists with high energy, motivation, and great customer service skills to join our team! We work hard here, and we have fun doing it. Our employees enjoy a casual environment with great camaraderie. When they are not working, many employees can be found in the break room playing Ping Pong or Shuffleboard! We also have impromptu Nerf battles in the office, and employees can relax in our Zen room to recover! We currently have several full-time shifts available.  Based on our incoming interaction volume, we are focusing on shifts with start times between 7 AM and 9 AM. All of our shifts require at least one weekend day, with the potential for both. All candidates must be available for an initial training schedule of Tuesday - Saturday from 11 AM - 7 PM for the first two weeks of employment. A Customer Care Specialist at J-Curve is responsible for answering incoming calls and emails from customers with inquiries about an internet-based movie streaming service.  This position also assists in account set-up, handles complaints, and troubleshoots audio, visual, and network related issues.Job Responsibilities:Interact with customers in a professional manner via phone and email regarding billing and technical support issuesGather detailed information and follow any troubleshooting or escalation procedures as required per issueProvide clear and concise product and service information to customersFollow up on any/all pending work items on a daily basisComplete tickets and research issues as required","Relevant skills, education and experience:High school diploma or equivalent1-3 years of customer service background, call center experience preferredMust be able to type 30+ wpmMust have basic computer skills  most troubleshooting involves Windows machines, but we also support Apple productsHigh level of customer service and problem solving skillsStrong verbal and written communication skills including note takingPunctual with great attendance and excellent work ethicDemonstrated ability to provide customers with product and service information in a clear and concise mannerMotivated team player with a strong desire to learn and succeed in a fast paced environmentAbility to complete assigned tasks effectively and efficientlyAble to balance many tasks or projects at one timeMust have positive attitude and willingness to go above and beyond to complete tasksMust be available to work daytime shifts and weekends as assignedMust have reliable transportation - public transportation is acceptable as long as it doesnt present scheduling conflicts Desired Skills (These are not requirements but would be helpful!):Understanding of Internet technologies  common internet speeds and terminologyUnderstanding of Microsoft and Mac operating systemsBasic understanding of audio/ video components and home theatre setup, surround sound, HDMI specificationsUnderstanding of common video resolutions / encoding methodsTechnical writing experience is a plus","Full time employees are eligible for a robust benefit package, including medical, dental and vision insurance, Paid Time Off, paid holidays, and life insurance and disability products.J-Curve also has a 401(k) plan and a great company culture!",0,1,1,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
2377,Graduates: English Teacher Abroad (Conversational),"US, MN, Bemidji",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
5006,"UX Designer (iOS, web)","DE, BE, Berlin",,,,"Blloon is an exciting new freemium ebook subscription service. Currently in private beta in the UK, launching soon in the US. We're looking for a stellar UX designer to join our team in Berlin and work on our iOS and web apps. This is what you would do at Blloon:Define information architecture, core layouts and interactions for our iOS and web apps, later also AndroidOwn planning, execution and analysis of user research and testingBase design decisions on actionable insights and dataPush innovation, respect learned patternsWork hand-in-hand with visual designers, developers and product managers","3+ years experience designing modern and engaging digital experiences that wow with ease of use + joy of useExcellent understanding of iOS, Android and modern web UI patterns and best practicesMust have shipped iOS app(s)Track record of UI innovation and pushing the envelopeUser research, testing and analytics experiencePrototyping skillsDigital native  you must be up-to-date on what's happening in mobileTeam player with a positive attitudeExperience working in agile environments (startups or digital agencies)Fluent EnglishOmniGraffle proWe would like to see:Live work samplesAn online or PDF portfolio demonstrating your design processLinkedin profile or resumeA screenshot of your homescreen","Work in a small, young and passionate team where you can make a real impactOffice located in Berlin-Mitte, with a nice rooftop deckEspresso, snacks, ice cream and a monthly massage in the office",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Design,Design,0
15907,Manufacturing Engineer,"US, MO, St. Louis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Manufacturing Engineer :To support production to ensure that Customer demands are fully metTo recommend and implement solutions to the day-to-day operational, quality and performance problemsTo recommend, plan, design and implement methods, tooling and equipment to improve operational performance and quality, whilst ensuring that products are produced at the lowest possible costEducation :BE MechanicalDesired ProfileExperience in a mechanical / electrical engineering positionExperience with CNC programming &amp; operation using Fanuc/Mitsubishi systemsExperience in Product or Tooling designExperience with Word, Excel, AutoCAD and MRP SystemsExperience :10-15 years",,,0,0,0,Full-time,,,Mechanical or Industrial Engineering,,0
13141,Manufacturing Engineering - Lean Manufacture,"US, MN, Minneapolis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)EDUCATION: 4-year degree required; Mechanical, Electrical or Industrial Engineering preferred.REQUIRED SKILLS:5+ years of manufacturing experience;5+ years of supervisory experience preferred;Strong working knowledge of Lean Manufacturing methodologies.(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.) Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,,,0
9882,Branch Associate,"US, NY, New York",,,"Outstanding Member Service Starts With Outstanding PeopleIf you are committed to the concept of “above and beyond” and enjoy interacting with people either in person or on the telephone, then we want to talk to you.Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.","Would you like to work for a trusted organization that values its employees?  A USAlliance career is a journey that starts with a positive, productive, and engaging workplace where employees are valued and respected.USAlliance has a current opening for Branch Service Associate to deliver excellent service and maximize Member solutions by identifying referral opportunities for new products and services based on Members financial goals while performing efficient and accurate banking transactions. Duties include:Perform member transactions including deposits, withdrawals, money orders, etc.Cross sell USAlliance products and services.","Minimum of 1 year teller/cashier/cash handling experienceExcellent member service and communication skillsAbility to cross-sell products and services is keyRetail/Sales experience preferredMust have own transportation to travel to other area branches as neededAll positions must adhere to standard BSA/AML policies, procedures and processes.  Employees must demonstrate awareness of business functions and how business decisions affect financial and/or non-financial work results.","Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.",0,1,1,Full-time,Associate,High School or equivalent,Banking,Customer Service,0
1931,Senior Embedded Engineer,"GR, A, Komotini",,,"Tribe Wearables is a venture-backed startup, developing a cutting edge muscle activity tracker, embedded into sport apparel. We are looking for people who want to change the way people exercise and push their physical performance to the next level.We want to create great products and to do so, we are creating a place to cultivate and nurture such greatness. Where amazingly talented people, people like yourself, are empowered to deliver their best and most creative work, within a fun and exciting environment.","WHO WE ARETribe Wearables is a venture-backed startup, developing a cutting edge muscle activity tracker, embedded into sport apparel. We are looking for people who want to change the way people exercise and push their physical performance to the next level.We want to create great products and to do so, we are creating a place to cultivate and nurture such greatness. Where amazingly talented people, people like yourself, are empowered to deliver their best and most creative work, within a fun and exciting environment.This is a great opportunity for a motivated engineering professional to lead a embedded software team and develop cutting edge muscle activity tracker. Get involved in all aspects of system development, and experience the creation of a novel project from concept to delivery.RESPONSIBILITIESDesign, develop and test system softwareCompartmentalise your and the teams designs and codePut together prototypes, fastEvaluate and document technical requirements for hardware and softwareReview code and designsLead the integration and validation of product designsSpecify and write tests to analyse and optimise stability, scalability, efficiency, security and IO performance of system resourcesAssess and and interact 3rd party and open source software and hardware","Skills we are looking for:3+ years leading (Senior EE) in R&amp;D/production projects3+ years working on microcontrollers, specifically ARMBSc degree in Computer Science or Engineeringfluency in written and spoken Englishability to lead, take initiatives, drive things forward and share your knowledgeStrong commitment to delivery within budget and production timescalesexcellent embedded design and engineering skillsability to develop and troubleshoot, on Embedded Systemsa firm grasp of Embedded System design with multitasking, real-time operating systemssignificant knowledge of OS coding techniques, interfaces and hardware subsystemsstrong knowledge of reading schematics and data sheets for componentsexperience in manufacturing and leading engineering teamssignificant exposure to hardware manufacturing process, gained in corporate environment, university or large-scale DIY projectsExtra points if you have:MSc/PhD degree in Computer Science, Engineering or other similar fieldsexperience working Bluetooth Low-Energy and other wireless protocolsstrong documentation and writing skillsstrong knowledge of physics and mathstatistical analysis and RF/Signal processing skillsexperience with Digital Signal Processors (DSP), Field-programmable Gate Array (FPGA), Application-Specific Integrated Circuits (ASICs) and Field Programmable Gate Arrays (FPGAs)","Spacious workstation and hardware of your choiceMobile telephony and data planFree snacks and beveragesGym membershipLicenses for the software and working tools of your choiceEducational expenses for buying books, online seminars, etc, so long as the educational benefit is related to our workAttendance expenses paid for at least one developer conference or workshop of your choice per yearFlexible working hours, ability to work from home",0,1,0,Full-time,Director,Bachelor's Degree,Computer Hardware,Manufacturing,0
2663,English Teacher Abroad,"US, PA, University Park",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
12021,TEMPLATE - Technology Operations Manager,"US, MO, St. Louis",Technology/IT,,"Ready to let your star shine? Discover the career youve been dreaming about at AvaStars where… The Star Inside is YOU! At AvaStars, were dedicated to making dreams come true and creating entertainment retail that is highly personalized and aspirational. Were currently looking for “A” players to capitalize on this once in a lifetime opportunity. Our senior leadership team is known for the success of Mattel, Pokemon, Build-A-Bear Workshop, LeapFrog and Waterbabies. Join us by getting in on the “ground floor” in the development and implementation of the “next big thing” destined to change the face of retail and the way children play. AvaStars is an equal opportunity employer committed to providing a diverse environment.#URL_77edd0eb5baaf205d0de93d32fc2471370b249e83cdfaacc8c0a5f00c51b8e15#","General Purpose of the PositionThe Technology Operations Manager is responsible for support, administration, maintenance &amp; configuration of software and hardware technology for both Retail Interactive Kiosks and corporate business infrastructure. Work with external partners to develop and administer IT/Technology systems Help Manage Amazon AWS hosted services environment (AD, SQL, S3, Web, RenderFarm, Fulfillment, Admin, etc) Develop and Manage Technology Service Desk operations for internal and retail business support.  (Performs all help desk related activities.)Help Manage communications systems (Office 365 / Google / Dropbox / etc). Manage Astrix/Digium  IP Phone System (including Retail Locations, Corporate, IVR, Mobile) &amp; Phone lines Manage Internet &amp; Mobile Device phone/Data plans (Charter, Verizon, AT&amp;T, Etc) Manage Cloud Authentication System (Auth0) for Retail/Web/Mobile Manage Logging System (#URL_3cb40e8f504fc928c5ddbb1444fc9a55e15816ee33f7cb5fb25118b9b366a72c#) Manage Internet Domains (GoDaddy) &amp; SSL certs Manage Corporate Infrastructure (Services/printers/etc) Manage Avalara Avatax system Manage SAmanage (IT Asset Management / Service Desk / Risk logging) system. Engineering and Administration of IT/Technology systems, including hard disk image preparation, cloning, patching/updating, and configuring PCs/Devices and infrastructure Assisting in the planning, preparing/stating, building, shipping, installation and support of Retail Interactive Kiosks. Continuous learning by becoming highly knowledgeable about all of our entire infrastructure both local and in the cloud Willingness to travel up to 15% Will to perform duties beyond what is prescribed herein as required Analyzing, troubleshooting, and repairing desktops, servers and network devices Entering and resolve “Service Desk” trouble tickets Providing Technology Support as needed Capture and create Knowledge Base information Cataloging all IT Assets, agreements, etc… Ability to lift up to 70 lbs. Proficient in documenting work procedures Excellent problem-solving, inter-personal, presentation and telephone skills Ability to be self-sufficient and self-motivated Training - schedules and/or assists in the development/delivery of training in the use of standard hardware and software for our store ambassadors. Identify technology improvements and direction as directed by Management","5+ Years of IT/Technology technical experienceHelp Desk / Service Desk experience (ticketing systems, phone support, etc)Small projects management experienceMicrosoft Word, Excel, Powerpoint or equivalent communication toolsExperience installing, updating, customizing windows operating systems. Powershell or scripting experience a plus.IP Networking / Routing knowledge or experiencePrior experience managing people",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
2047,Senior Mechanical Engineer,"US, WI, Waukesha",,,"How often do you see the combination of solid history and explosive innovation? Hydro-Thermal is a global manufacturing company that designs, engineers, produces, and installs Hydroheaters. Our patented heating system mixes steam and process liquids completely while delivering precise and consistent temperature, instantaneous heating and no scaling or fouling of the product.  We work in a variety of industries with customers in over 80 countries.","Hydro-Thermal Corporation, based in Waukesha, Wisconsin, is a growing and profitable manufacturer of engineered liquid heating equipment and systems serving process industries such as: pulp and paper, petrochemical, food and beverage, biotech, pharmaceutical and wastewater treatment.  If you would like to join an organization that is growing, and where you can make a difference as a member of our Engineering team, send us your resume!  Please include a brief cover letter detailing your strengths, experience and earnings history.PRIMARY RESPONSIBILITIESApplies knowledge of engineering principles to design valves and process systems used for Direct Steam Injection equipment. Analyze, develop and test components to meet specified design criterion.Utilize proprietary software as well as other engineering skills and knowledge to assure that Hydro-Thermal valves and systems meet design criterion and operating conditions.Select components, assemblies and materials based on technical information from customer requirements and vendor offerings.Perform design analysis including functional performance, contract specifications, mechanical and thermal requirements. Conduct design risk analyses during concept proposals and project reviews. Utilize Engineering design tools for product development and revision.Provide technical leadership when needed to resolve field service issues. Ability to travel occasionally as needed.Lead design teams for product enhancement, new product development or resolution of technical issues. Able to interface effectively with other departments, including service, manufacturing, sales and marketing.Perform a variety of complicated tasks with minimal direction.Supervise less-experienced Engineers and provide department direction.","REQUIRED QUALIFICATIONS AND EXPERIENCEFive to ten years of engineering experience. Familiar with a wide variety of engineering concepts, practices and procedures. Relies on sound judgment, experience and attention to detail to accomplish goals.A high degree of creativity, leadership and initiative is expected.Willingness and ability to provide direction for key Hydro-Thermal initiatives.Capability in engineering design, fluid dynamics and heat transfer. Demonstrated ability in working with Engineering modeling/CAD programs and FEA, with preference for SolidWorks and AutoCad (2-D). Experience with ERP systems.The ideal candidate would have experience designing Food and Beverage components, ASME Pressure vessel, piping codes and materials selection.Practical experience with process control mechanisms and instrumentation, with the ability to read and interpret piping and instrumentation drawings.Proficiency with PC and Windows Operating system, Microsoft Office Suite. DESIRED PERSONAL CHARACTERISTICSDetail oriented, self-motivated and able to work independently with minimum supervision.Enthusiastic, optimistic and willing to lead.Ability to prioritize workload in a rapidly changing environment.Creative problem solving skills.Excellent organization skills.Devoted, hard working and takes ownership of issues to get the job done.Team-oriented.EDUCATIONAL REQUIREMENTSMinimum qualifications  BS Mechanical Engineering, Associate degree in Mechanical Design with extensive industry experience.Desirable qualifications  MS Mechanical Engineering, PE RegistrationOTHERMust possess legal authorization to work in the US, and a valid US drivers license.LANGUAGE REQUIREMENTSThe position requires fluency in English. ",Hydro-Thermal provides a competitive salary and benefits package.,0,1,1,Full-time,,Unspecified,,Engineering,0
7255,Country Manager Norway,"MT, , ",,,,"What is Casumo? Casumo as a company is a technology startup formed in 2012, Casumo as a product is a recognized and award winning online casino. Casumo as a concept though, is literally a whole new universe. Were not working with casino, were working with changing what the common perception of casino is. Through design, technology &amp; innovation we aim to disrupt a young, but in many ways immature, industry by ignoring rules.What are we looking for?We are looking for a country manager that will excel in building our business, brand, and presence in the Norwegian market.In Casumo all people act, as if they would be the sole owner of the company, and run the company accordingly. We believe that gives people both freedom and at the same get us all to think about more than about ourselves. Based on this we expect you to be able to take full responsibility, and as well have knowledge, passion and interest in the whole process from business strategy, product, to brand, marketing, and CRM. We put high demands on each other and ourselves. In the end that is what you do when something is your own, right?In the job as country manager you have a holistic perspective, which requires that you can be a good strategist, able to identify the big objectives for growth, a planning analyst in creating your long term, and short term plan to execute your visions, and have a general creative gut feel able to distinguish good from fantastic when it comes to market initiativesThis is what you will be doingHave the overall responsibility to acquire new customers in the Norwegian region and convert them into active customers, broadening the sales funnel.Be responsible for the Norwegian markets PR, online and offline marketing efforts to introduce and promote Casumo to potential customers in Norway.Ensure that all Norwegian communication and investments are according to the brand and aligned with the company vision.P/L responsibility of the Norwegian market.Responsible to execute marketing for Norway in line with global brand strategy, ensuring an optimal marketing mix that provides a healthy ROI.Quality assure the content and translations of the Norwegian section of #URL_93e3683868c5965e5b64713c25f75c8c197d87b952efd48eda71fde5b394fbf4#.Support Casumo in key trade events and affiliate expos.Provide the CEO/CMO with monthly reports as appropriate for the function.Responsible for monitoring the performance of campaigns, events and promotions in Norway.Initiate and finalize yearly marketing plan for Norway (including media, PR, and campaigns)Agency management, and development, and execution of marketing &amp; PR-plan.Define, and address new sales channels, and be responsible for Norway affiliate goals &amp; yearly strategy.","Have previous experience in running bigger project or country initiatives with a P/L-responsibility.Are a yes-can-do attitude person that is a doer and will not be afraid to get your hands dirty.Have a creative understanding of communication according to brand building.Understand the marketing process development-cycle; media buying &amp; planning, agency management, PR, design.Are an aspiring inspiring leader, willing to take your time getting people onboard your visions.Have a drive in exploring new business opportunities.Can run project independently from start to end, and manage to coordinate, and work with people through a satellite project-setup.Are energetic and communicative, and like to interact, and communicate with people.Understand marketing requirements but also have deep insight in the production process and whats possible within a given timeframe.","Brand new, cool offices in the Ta Xbiex marina in sunny Malta, with views over ancient Valletta.A small, highly-skilled, energetic and passionate team.Fully stocked soda fridges, fruits for everyone and occasional beers.The possibility and freedom to create something new and to make a real difference.A fun and relaxed working environment with sofas and game consolesThe chance to work together with brilliant people in a tech-oriented start-up.A modern and flexible organization where you can grow autonomously.A flat environment where responsibility, freedom and argument is king. Enormous freedom in a high demanding organization to create something that will leave a mark on this world.Excellent health and insurance plans.Relocation help.",0,1,0,Full-time,,,Gambling & Casinos,,0
10605,Customer Support Analyst ,"US, GA, Duluth ",Customer Support ,,"Horizon Software International, LLC is an industry-leading food service management technology company. The companys state-of-the-art office is located in Duluth, Georgia, approximately 30 miles northeast of downtown Atlanta.At Horizon, you will jumpstart your career with a growing, profitable software development company, offering more than just software solutions to its many clients around the world. Horizon firmly believes in Great People, Great Service, Great Products and Great Results. As a team member at Horizon, you are relied on to continually improve your operational areas and carry out the organizations strategic initiatives.The executive management team realizes its employees create the difference that makes Horizon a great company and a great place to work. As Horizon continues to grow, it will attract and retain the very best people possible. Come in and explore the opportunities that abound at Horizon. See what the company has to offer and how you, too, can flourish in the exciting atmosphere of a successful, growing business.Horizon offers comprehensive food service solutions ranging from Point of Service to Back of the House management including inventory, procurement, menu planning, nutrition analysis, warehouse distribution and much more. Horizons product offerings provide clients with fully-customizable and scalable software solutions able to meet the needs and budget of any food service operation.Horizon Software is a Non-Smoking/Drug-Free Workplace. EOE/MFVD","Title: Support AnalystGeneral Description: As the first point of contact for Horizon customers needing assistance, the Support Analyst is responsible for answering inbound calls, documenting support cases, working assigned cases, resolving and/or escalating customer issues to keep them moving through the support process. Responsibilities:Answering inbound phone calls from Horizon customers within the established Average Speed of Answer and Call Abandonment goalsContacting customers with web generated or assigned cases within established Service Level Agreement timeframe based on the level of case severityMeet established company goals for customer satisfaction, case closure rate, and performance metricsDocumenting every worked case in Netsuite with the specific details of the original issue and all steps taken to resolve the issueProvide daily status updates and documentation to customers with cases that are not resolved the same day they were openedEffectively troubleshoot each case and identify those that need to be escalated to a senior resource of Product Engineer to resolvedWork with Senior Analysts and Product Engineers to ensure any case needing escalation gets to the senior resource taking over the case with appropriate documentation and detail to effectively transition the case without inconvenience to the customerManage aging of assigned cases so no case resolution gets delayed in the support processDevelop/create new content for the Knowledgebase to meet monthly/yearly KPI goalAssist other departments or with special projects ass assigned by the supervisor. Other Responsibilities May Include:Analyze customer requests and escalate to other departments as needed.Recognize additional training needs and make recommendations to bridge knowledge gaps. ","Behaviors:Must be consultative and focused on providing outstanding customer serviceMust communicate effectively with customers and teammatesMust be punctual and reliableDemonstrates and supports Horizons Core Values daily. Essential Skills &amp; Experience:Four year IT degree (MIS, Computer Science, IST, Systems Engineering) or relevant work experience and/or strong desire to learn and implement new technologies.Computer/IT Certification (A+, MCP, MCSE) a plusBasic Database skills (SQL, SQL+) and knowledge of queries preferredBasic Networking skills a plusRetail IT / Food Service Industry IT / Healthcare IT a plusProficient in current Windows Server and Desktop Operating SystemsMust possess a positive attitudeAbility to train users with varying computer experienceAbility to adapt and quickly respond to changing needs/prioritiesAbility to learn new products and technologies quicklyReports To: Support SupervisorTravel Expectations: Less than 10% Travel",,0,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Customer Service,0
1522,Certified Industrial Hygienist,"US, WA, Hanford",,80000-100000,"Compliance Systems Management is in constant communication with some of the largest companies in California that are making hiring decisions on a daily basis. These companies come to us looking for the talents that you may have. We present qualified applicants to several companies in a day where it would take the average individual several weeks to make the contact that we have. We have spent years building relationships with client companies, and take pride in representing you into the right #URL_f7c06fea5a3e15559943ff386df68c8b63dc4d5d37a2761765a4938131d4eb93# you are a free agent, seeking full time or temporary employment, starting a new career, working for supplemental income, or re-entering the work force - CSM offers you the flexibility suited to meet your specific career goals and interests. We offer diverse types of education, ranging from computer skills to hands on training. Most importantly we offer career development for employees who have the motivation to succeed in the field of their choice. We also deliver a competitive wage scale making CSM a very desirable place to work. As a staffing agency specializing in Construction Management Support and training we are able to service a large variety of specialized industries. CSM offers positions ranging from entry level administrative support to Safety Managers, QC, and Field Engineers. Don't limit yourself to a dead end job, come and grow with CSM.","Compliance Systems Management is in constant communication with some of the largest companies in California that are making hiring decisions on a daily basis. These companies come to us looking for the talents that you may have. We present qualified applicants to several companies in a day where it would take the average individual several weeks to make the contact that we have. We have spent years building relationships with client companies, and take pride in representing you into the right #URL_f7c06fea5a3e15559943ff386df68c8b63dc4d5d37a2761765a4938131d4eb93# you are a free agent, seeking full time or temporary employment, starting a new career, working for supplemental income, or re-entering the work force - CSM offers you the flexibility suited to meet your specific career goals and interests. We offer diverse types of education, ranging from computer skills to hands on training. Most importantly we offer career development for employees who have the motivation to succeed in the field of their choice. We also deliver a competitive wage scale making CSM a very desirable place to work. As a staffing agency specializing in Construction Management Support and training we are able to service a large variety of specialized industries. CSM offers positions ranging from entry level administrative support to Safety Managers, QC, and Field Engineers. Don't limit yourself to a dead end job, come and grow with CSM.Contract Hire: CIH Hanford, WA We are seeking to hire a Certified Industrial Hygienist with a minimum of 10 years experience. Our Client is reducing the risk to the environment posed by Hanfords 56 million gallons of highly radioactive and chemical waste stored in 177 underground tanks. WRPS is the Department of Energy Office of River Protection prime contractor responsible for safely managing the waste until it is prepared for disposal.  The waste is stored in 149 older single-shell tanks and 28 newer and safer double-shell tanks that are grouped into 18 farms near the center of the 586-square-mile Hanford Site in south-central Washington. The tank waste is material left over from years of World War II and post-war production of nuclear weapons. These aging tanks are just a few miles from the Columbia River. Reducing the environmental risk posed by this waste and preparing it for treatment are critical parts of the ongoing mission. Duration: 6-12 months Specifically, the position involves the following:Conducting safety and health inspections both surface and undergroundConducting safety related trainingAbility to interpret and apply OSHA regulations (1926 &amp; 1910).  Local building and fires codes as well as other applicable standards.Excellent verbal and written communication skillsModerate to excellent computing skillsAbility to work without close supervision and make independent decisionsMonitor PPE supplies and order as neededBe able to write and review JHAsAssist in workers comp claims managementInspect subcontractors work sitesThis is a hands on position that requires the ability to work well with project team management.  ","Desired Skills and ExperiencePosition Qualifications Requirements/PreferencesRequired:Bachelors Degree  Safety Management Preferred (or equivalent certification)Minimum 10 years experience in constructionMinimum of 10 years experience in the safety fieldMinimum 10 years experience in Safety managementKnowledge of Regulatory Compliance OSHA 1910, 1926Experience with state safety programs requiredCurrent HAZWOPPERKnowledge of Confined SpaceKnowledge of Lockout/TagoutKnowledge of DOT and Workers CompensationNO THIRD PARTY SOLICITATIONS PLEASE!",,0,1,0,Contract,Mid-Senior level,,Environmental Services,Consulting,0
12973,Analista de Recursos Humanos,"PA, 6, Pese",20131101,,"R.H. ÁGIL es una empresa dedicada a ofrecer servicios de Recursos Humanos, especializada en las áreas de Asesoría, Administración, Selección de Personal y Desarrollo Organizacional ofreciendo un servicio innovador y de alta calidad..","Coordinar Actividades de CapacitaciónActualizar Indicadores de GestiónReclutamiento de personalCompra, Entrega e Inventario de uniformesManejo de ProveedoresApoyo en las Actividades del departamento","Experiencia en Reclutamiento y Capacitación de personalDominio basico del idioma inglésConocimiento en Indicadores de gestion (Normas ISO)Dominio de Excel intermedio - AvanzadoConocimiento del codigo de TrabajoBuena comunicacion verbal y EscritaProactivo, Organizado y Orientado a Resultados",Seguro de VidaTransporte,0,1,1,Full-time,Associate,Professional,Retail,Human Resources,0
3524,Promotional Sales Representative,"US, TN, Knoxville",,,"SDI Marketing started in 1988 as a small marketing agency with one simple philosophy: make our client's business our business. Our approach is what makes us one of Canada's most innovative marketing companies, and we take pride in continuing to represent our original clients. Our dedication to maintaining and growing relationships leads to better access to markets, innovative programs and proven results for our clients.","Do you consider yourself a Closer and have a Persuasive attitude? Then SDI MKTG is the place to be. We are looking for talented individuals to join our sales team! Company: SDI MarketingTitle: Sales RepresentativeDuration: Full time/Part-timeStart Date: As soon as possibleLocation: Dayton, OHCompensation: $11-$13/Hr (plus commission + bonuses)PURPOSEThe main purpose of this position is to represent one of our top tiered American Financial Institute within our Consumer and Loyalty division. The position will acquire applications, while communicating the benefits and features of the financial product in an enthusiastic way. RESPONSIBILITIESAcquire new credit card customersEngage, entertain and educate customers while maximizing salesCommunicate brand information to customers and answer any questions/inquiriesMeet daily/weekly sales targetsSKILLSA CloserTech savvy or willing to learnResults-oriented and comfortable in achieving sales targetOrganized, punctual and a positive work attitudeHighly energetic, motivated and an excellent communicatorMust be able to work independently with minimal supervision, as well as a teamProfessional aptitude towards building and maintaining client relationships and satisfactionCustomer focused, relatable with the ability to confidently offer rebuttals and persuasive messagingPlease visit our website at #URL_38d7bc705361b0d8e204db8850b552751dfd206a12a9dd3e1a92b603c2f18c76# to learn more about our organization. We thank all those who submit applications; however only those candidates selected for an interview will be contacted.",Must be 18 years of age or olderMust be able to provide a clean criminal background checkMust have DIRECT SALES experience with an emphasis on face-to-face selling/closingMust be able to work flexible hours; including weekendsMust have access to a vehicle or access to another reliable means of transportationPrevious experience in Credit Card sales is an ASSETPrevious experience in Customer Service is an ASSET,Great hourly pay for a sales jobCeiling free commissionExciting Bonuses and contestsGrowth opportunitiesMileage paid for driving a long wayWorking outside in the summer (fuel pumps),0,1,0,Full-time,Entry level,High School or equivalent,Marketing and Advertising,Sales,0
11457,Graphic Designer,"US, CA, Hollywood",,,"NuORDER is the fast-growing, venture-backed startup changing the way a $130 billion industry does business.  An enterprise-class, wholesale e-commerce application for the fashion industry, NuORDER helps brands run their businesses in a smarter, smoother, more efficient way.  NuORDER is an innovative two-way sales solution and marketplace that allows brands and retailers to conduct their wholesale business online.  Eliminating the need for pen and paper, the cloud-based platform empowers brands to sell more in less time and allows retailers to make purchases more effectively.  In short, NuORDER is e-commerce for wholesale. Highlights Raised $13M+ in funding from top tier investors and industry veterans.Upfront Ventures (#URL_b16dc87b632726fe0c1c6c01c01d38e93f1fcf0414f6cf77caa60bd74b9c5ba1#) Greycroft Partners (#URL_e92f987773d20ba70d8539741ac1f9e142610a3a12331737e85f0700395bbc90#)Creative Artists Agency (www.caa.com)Cowboy Ventures (#URL_719340aef4872adc9cafd8b369168c5a0fce6645fb5a8149fb38b31ed893afc2#)Rachel Zoe (#URL_52b5abba2c7b281b3a6eb79e7e95d54175c4b8ef1b7bc7e204e2dc64b3eebd1e#) In under 2 years the company has scaled to working with over 500+ leading fashion bands and over 80,000 registered retailers.NuORDERs raison dêtre - To streamline and simplify fashion e-commerce. Join the revolution.","THE COMPANY: NuORDERNuORDER is the fast-growing, venture-backed technology startup changing the way industries do business. An enterprise-class, wholesale e-commerce application, NuORDER helps brands run their businesses in a smarter, smoother, more efficient way. NuORDER is an innovative two-way sales solution and marketplace that allows brands and retailers to conduct their wholesale business online. Eliminating the need for pen and paper, the cloud-based platform empowers brands to sell more in less time and allows retailers to make purchases more effectively. In short, NuORDER is e-commerce for wholesale.THE OPPORTUNITY: GRAPHIC DESIGNERNuORDER is looking for a talented Graphic Designer with the experience and creativity to help mold the look, feel, and brand moving forward. This person will work closely with the marketing team to help create and develop marketing assets and collateral with a strong emphasis on maintaining brand consistency across the board.Specifically…Work with marketing team and project managers to create assets, campaigns, promotions and corporate identity pieces using illustration and digital design strengths.Strong willingness and ability to take on projects across a number of varying mediums including both digital and off-line channels.Ability to juggle multiple deliverables and work within a fast paced environment.Stay up to date with digital design and marketing trends.  ","EXPERIENCE: BA/BS degree preferred but equivalent experience will be consideredYou are an artist and you take pride and ownership in what you do.SaaS/Fashion experience a huge plus.Experience with email marketing, banner ad, and web design.Team player who has an interest in working with entrepreneurs in a fast-paced ecommerce startup.Excellent communicator, verbal, written and interpersonal.Knows what needs to be done and operates with a sense of urgency, focus and discipline.","WHY NuORDER?The opportunity to work with a fast-paced, entrepreneurial team revolutionizing wholesale e-commerce.Locations in the fashion and entertainment capitals of the world: Hollywood, CA and New York, NY.Be an integral part of a Company changing the way an entire industry conducts business. ",0,1,0,Contract,Mid-Senior level,Bachelor's Degree,Apparel & Fashion,Design,0
3473,Junior Avionics Systems Design and Analysis Engineer,"US, MI, Ann Arbor",,,"Vayu builds affordable unmanned aerial vehicles (UAVs) for healthcare supply chain management and post-disaster aid delivery.Currently, healthcare providers and disaster relief responders depend on costly, slow, and unreliable transportation by motorcycles, cars, and trucks to serve remote communities. One billion people live all or part of the year without access to all-weather roads. Vayu's UAVs will provide affordable, fast, and reliable delivery of vital goods to these isolated areas, both on a regular basis and in times of crisis.Our offices are in Ann Arbor, Michigan, USA and New Delhi, India.","Candidate will be responsible for development, design, implementation, and test of autonomous flight control system software algorithms for Unmanned Aerial Vehicles (UAV).Things to Consider1. We are hiring for entrepreneurial positions, at an entrepreneurial company. This means youll need to be resourceful and creative, while also following the processes that will allow us to scale quickly.2. We hire for culture and greatness. We are looking for well-rounded people who are willing to commit wholeheartedly to our cause for the next couple of years and grow alongside the company.3. This is a massive opportunity for the right person. The opportunity for upward mobility at Vayu is tremendous, but only if youre willing to put forth the effort.ResponsibilitiesResponsible for prototype of advanced flight control algorithms in a software simulation environment and implements them in an embedded airborne flight control system. This person must be proficient at debugging and solving problems with closed-loop feedback control systems including control issues with servo-actuated aerodynamic surfaces, digitally implemented control laws, inertial measurement units (IMU), GPS, air-data measurement systems, and various propulsion systems. Must be knowledgeable in the control algorithms used for control of Fixed wing and rotor crafts and implement them into novel systems. Should also be proficient at mathematical modeling of dynamic systems in software environments.Individual will also define flight test scenarios and conduct pre-flight range safety analysis for flight test of autonomous air vehicles. Conduct post-flight analysis and diagnoses of in-flight anomalies. Conduct robustness analysis of flight control loops, using classical and modern control margin techniques. Work on integrated, multidisciplinary project teams to establish technical requirements, schedules for delivery, and priorities toward execution on a daily basis.","Experience of 1 to 2 years in airborne flight control systems, or related technological field. Bachelors degree in Mechanical, Electrical, or Aerospace Engineering with emphasis on Control Theory, or equivalent relevant background. Experience with embedded development is a plus.","Selected candidate will be offered an excellent base salary commensurate with experience, along with competitive equity stake.",0,1,1,Full-time,Entry level,Bachelor's Degree,Aviation & Aerospace,Engineering,0
13973,UI designer job description,"IN, , ",,,,"We are looking for a talented UI Designer to create amazing user experiences.  The ideal candidate should have an eye for clean and artful design, possess superior UI skills and be able to translate high-level requirements into interaction flows and artifacts, and transform them into beautiful, intuitive, and functional user interfaces.",,,0,0,0,,,,,,0
10228,English Teacher Abroad ,"US, LA, Hammond",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
9703,HVAC Technician - Product Support,"US, FL, Miami",,,"#URL_4c6bc63de61f061bc6762d678d5e25f0932ab1be7b0421b1862c5abee0444ce4#, is Americas largest online distributor of HVAC products and one of Inc. magazines fastest growing private companies in America. We specialize in bringing you the best and most efficient air conditioning, heating and ventilation systems in the world at the best prices possible. #URL_4c6bc63de61f061bc6762d678d5e25f0932ab1be7b0421b1862c5abee0444ce4# brings you the best value along with unparalleled bilingual technical customer support. Unlike other companies, we assist you and your technician with any technical support questions you may have during installation or with servicing your system before and after your purchase.","Do you consider yourself highly knowledgeable in residential HVAC troubleshooting skills? Do you have outstanding verbal and written communication skills? If so, would you like to use your professional skills and experience from the comfort of an office environment? If you answered yes to all these questions, please send your resume for immediate consideration. There are multiple full time, year round openings for this position. Klimaire Products Inc. is a leading manufacturer of air conditioning, heating and ventilation systems. We are looking for individuals who are experienced in troubleshooting HVAC systems. This position requires you to assist national and international clients via phone, email and live online chat. You will be fielding and answering technical questions regarding installation, servicing and troubleshooting HVAC products such as ductless air conditioners, central air conditioning and heating systems, tank less water heaters and gas boilers. You will serve as technical product subject matter expert, assisting and educating both sales personnel and dealers on the technical aspects of our product lines.Main Responsibilities:Troubleshoot residential Heating, Ventilation, and Air Conditioning (HVAC) equipment over the phone.Respond to HVAC technical questions via email, live chat and over the phone.Understand customer HVAC needs and recommend appropriate products to meet those needs.Inspect, diagnose and repair and returned units.","Desired Skills and Experience:Excellent interpersonal and customer service skillsProficient written English communication skills5+ years in-field installing and servicing HVAC productsEffective diagnostic and troubleshooting experience in electronicsBasic Computer Skills: Microsoft Word and ExcelStrong mechanical aptitude in residential HVAC equipment Candidate RequirementsGreat problem solving and listening skillsPositive attitude, self-starterHighly organized and detailedFast learner, willing and able to retain new technical information","What we offer:Great team work environmentCompetitive salaryPaid holidays, vacation and personal time offOpportunities for professional development and advancement",0,1,0,Full-time,Associate,High School or equivalent,Electrical/Electronic Manufacturing,Manufacturing,0
1554,Environmental Scientist ,"US, FL, 33592",,,,"Job Overview Apex is an environmental consulting firm that offers stable leadership and growth, views employees as valuable resources, and rewards success with competitive pay and bonuses.  We are seeking a self-motivated Environmental Scientist to join our team in Tampa, FL and become an integral part of our continued success story. This position entails Phase I/II environmental site assessments and asbestos inspections; soil and water sampling; data analyses; and report writing. Qualifications Position requirements include Bachelors degree in Environmental Science, Geology, Engineering, Industrial Hygiene, or other related field and 2-5 years of experience with environmental field sampling, data collection, asbestos inspections, and Phase I/II ESAs.  Candidate should also have experience with data management and report preparation and editing. Strong technical writing skills, the ability to successfully multi-task, safety awareness, and a good record and understanding of safe work practices are essential. A valid drivers license and personal vehicle are also required. 40-hour HAZWOPER and asbestos certifications are preferred. Want to join a team of talented scientists, engineers, and managers? Submit your resume for consideration today!#URL_f030e16ff4531e87a62857357985e3e8f1fdedb40dbfebfeb0e7e3a5ead65097# About ApexApex is a customer-focused company that delivers environmental, health, safety and engineering services to over 700 clients across the United States and abroad. Driven by an entrepreneurial spirit and a dedication to providing responsive, cost-effective solutions, Apex has grown rapidly since our founding in 1988. Working in partnership with our public and private sector clients, our team of experts provides services tailored to support each customers unique goals and objectives. By blending strong technical skills, business acumen, and superior customer service, we are able to deliver creative solutions that deliver high quality results at low cost. From commercial and industrial firms to construction, petroleum, and utility companies to financial institutions and government clients, Apex has extensive experience in a wide variety of industries. Our corporate professional resume includes proven capabilities in the areas of water resources, remediation and restoration, assessment and compliance, and industrial hygiene, among others. Ranked in the Top 200 Environmental Firms by ENR Magazine, ranked among the Top 500 Design Firms by ENR Magazine, awarded the 2011 National Environmental Excellence Award for Environmental Stewardship by the National Association of Environmental Professionals, and selected as a 2010 Hot Firm by the Zweig Letter, come join our award winning team. Apex is an entrepreneurial firm, and ensuring that our senior managers are able to move unencumbered is our priority. We are a successful and growing mid-sized firm. Were small enough that our employees still have access to our leadership, and its easy for high-performers to be recognized for their contributions and advance without bureaucracy. With over 30 office locations, were big enough to provide comprehensive environmental consulting and engineering services to our diverse client base and to provide resources to our employees to help in their professional development. We offer incentive bonus plans and ownership opportunities for our successful managers. Apex Companies, LLC is an Affirmative Action/Equal Opportunity Employer",,,0,0,0,,,,,,0
9367,Support,"IL, , ",,,"With offices in Herzeliya, Israel and London, UK, Greylock IL helps entrepreneurs build market transforming companies in Israel and Europe. Greylock IL is an affiliated fund of Greylock Partners - a leading Silicon Valley Firm.","Our portfolio companies are growing fast, constantly creating jobs that offer intellectual challenge, great career potential and exposure to world-class work practices. People with strong skills in support and support management are always in high demand.If you'd like to work for a startup, you're in the right place. Greylock portfolio founders keep an eye on applications submitted here to pick outstanding individuals for new hires they wish to make.Note that if you are interested in a specific job position advertised by a Greylock company, it is advised that you submit an application directly to them. However, if you have a general interest for job opportunities in startups, this is a good place to start.",,,0,1,0,,,,,,0
5231,SEM Coordinator,"GR, I, Athens",,,"#URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7# is a modern online travel agency that was founded in Athens in 2005. Today, our company is one of the leading online travel agencies in Greece with presence in over 25 countries in Europe, Asia and America; offices in Athens, Bucharest and Sao Paulo.","The right candidate will be responsible for coordinating one of the most dynamic PPC accounts in travel industry. She/he will be responsible for planning, developing, deploying and reporting of SEM campaigns and will be in close contact with the companys Management and Account Manager from Google.  Furthermore he/she will be responsible for the oversight of the monthly budgets of the campaigns and monitoring of the companys KPIs (Key Performance Indicators) and other performance metrics.The SEM Coordinator should have experience in working with large PPC accounts in the travel industry from various markets, in several languages. Qualified candidates have also knowledge of various internet marketing channels, search engines, statistical analysis and general marketing principles.Responsibilities:-        Manage, analyze and optimize international Google AdWords/Yandex/Bing campaigns.-        Develop and implement PPC strategies in the search and display network.-        Research and analyze keywords and advertisements.-        Present PPC reports according to Management requests.-        Bid management strategy: cost per conversion and cost per click.-        Keep updated on the latest trends in the search marketing industry.-        Coordinate a team of 3-5 people in 2 offices. ","-       Previous experience of minimum 3 years in SEM with at least 1 year in management position, preferably managing international teams.-       Strong knowledge of Google AdWords, Yandex and Bing will be considered a plus.-       Individually Qualified in Google AdWords. Yandex and Bing qualified will be considered a plus.-       Strong communication skills both verbal and written.-       Strong analytical skills and strategic thinking.-       Very good knowledge of English and an additional European language. Russian will be considered a plus. ","-       Attractive Remuneration Package.-       Work in an international, dynamic and fun atmosphere among young and smart people.-       Huge learning experience in using best practices and cutting-edge technologies.-       Challenging engineering projects in an interesting and complex travel industry.",0,1,1,Full-time,,,,,0
13111,Controller,"US, CA, Palo Alto",Finance ,,"Declara is focused on bringing data to life. Our technology platform uses machine learning, search, algorithms and recommendations to develop learning paths for individuals, making learning a constant discovery.Learning isn't just our product, it's what we do everyday. Work with a small focused team. You'll push and be pushed. Tackle the toughest technical challenges as you create products that can transform organizations across the globe. Do something meaningful. We're changing how the world nurtures and develops talent. We are located in Palo Alto, Boise and Mexico.","Declara, founded in 2012, operates on the premise that learning does not only happen in schools but continues for a lifetime in which people need to constantly master skills. Its technology platform uses semantic search and recommendations to surface the right content at the right time for individuals, making learning more personalized and discovery oriented. This enables individuals as well as entire communities to learn, making everyone smarter. The controller position is accountable for the accounting operations of the company, to include the production of periodic financial reports, maintenance of an adequate system of accounting records, and a comprehensive set of controls and budgets designed to mitigate risk, enhance the accuracy of the company's reported financial results, and ensure that reported results comply with generally accepted accounting principles or international financial reporting standards.","Ability to juggle many projects at once. This position will be hands on and the candidate must be comfortable not only providing controller duties, but general bookkeeping duties as well.Manage the monthly and quarterly close process including financial statement (balance sheet, income statement and cash flows) review.Lead the building of scalable and efficient accounting close processEnsure accounting systems and processes are continually streamlined via process improvement to achieve greater efficiencies and accuracy in the level, and timeliness of reportingProvide continuous monitoring of FASB, IFRS and SEC activitiesServe as the key technical accounting resourceManage the general ledger and consolidation processes, including journal entries, reconciliations, closing, statements, and schedules. Manage the stock administration in accordance with 409A.Ready our accounting records in preparation for an annual audit.","Declara is an equal opportunity employer.  Declara offers competitive salary, equity package and benefits. Declara does not accept unsolicited resumes from recruitment agencies and/or individual recruiters without a signed agreement.  Declara will not be responsible for any fees related to unsolicited resumes submitted in any capacity other through invitation by Declara's portal.   ",0,1,0,,,,,,0
1680,Virtualization/ Systems Engineer,"US, GA, Atlanta",Systems Operations,,"IgnitionOne is a global leader in cloud-based digital marketing technology providing a world-class proprietary platform and expert services to improve digital marketing performance.  IgnitionOnes integrated Digital Marketing Suite (DMS) empowers marketers to buy, manage and optimize digital media across Search, Display, Social and Mobile, understand client users through Data Management and Cross Channel Attribution, while helping to optimize conversions on a marketers website through Marketing Automation. At the core of the DMS is the proprietary User Engagement Score Algorithm which determines the value of a user in order to automate the delivery of the right message, at the right time, at the right cost, to a marketers users, on and off their website.  IgnitionOne currently scores over 300 million users monthly in 75 countries and powers more than $30 billion in revenue each year for leading brands, including General Motors, CenturyLink, Bridgestone, La Quinta and Fiat, as well as advertising agencies such as 360i, GroupM and iProspect.","IgnitionOne, a global leader in digital marketing solutions, enables companies to integrate cross-channel marketing efforts within one platform, allowing for deeper insights and greater online success. Managing over $1 billion of advertising annually across search, display and social, IgnitionOne provides the world's top marketers with media optimization, cross-channel attribution and website conversion solutions. IgnitionOne's solutions are backed by proprietary, best of breed technology: IgnitionOne's Digital Marketing Suite®.IgnitionOne currently powers more than $30 billion in revenue each year for leading brands, including General Motors, DirecTV, Ann Taylor, La Quinta, Travel Guard and Fiat, as well as advertising agencies such as MRM Worldwide and CyberAgent.Work in a dynamic, entrepreneurial environment alongside some of the smartest thought leaders in the online media industry from places like #URL_be8b3587c193e99f492e4a363984605add71fad92af6c45484185b4216cbb1f3#, Ask Jeeves, excite, and iWon. Be part of a team that has partnerships with Google, Yahoo, and MSN to deliver the latest in emerging, next-generation technology. Have fun collaborating on innovative, challenging assignments where your opinion matters, you grow professionally and high performers are recognized and rewarded. And join a company on the cutting edge of digital media and technology that is regularly featured in major news media - most recently the Wall Street Journal, NY Times, Reuters, BusinessWeek, Bloomberg News and AdWeek, among others.Position Summary: The System Operations team is part of the overall Operations team that supports network, technology, and new product development across all platforms and products. The System Operations team is responsible for implementing and maintaining all server systems throughout the IgnitionOne network with datacenters in Atlanta, Las Vegas, London, Brussels, and Hong Kong. This team further covers a broad range of areas including datacenter management, operating system configuration and administration, and SAN administration. Note that the Virtualization / Systems Engineer role does not stop at maintaining systems, but interfaces into the world of DevOps and application monitoring.Primary Duties and Responsibilities:The Virtualization / Systems Engineers main responsibility is implementing and maintaining servers and infrastructure needed to support a growing customer base. This includes the installation and configuring of VMWare clusters, Debian Linux, Windows servers, and all of the supporting hardware for such systems. Some application and database configuration is also part of the day-to-day duties. This includes configuring and maintaining IIS, apache, tomcat, MySQL, MSSQL, memcached, Cassandra, AWS, and various other applications and services as needed. Systems are also maintained and monitored via tools such as Puppet, Zabbix, Nagios, and Foreman. The SysOps Engineer is also responsible for working with all teams to properly configure monitoring and management of systems and reacting to alarms as needed.IgnitionOne is looking for motivated individuals who can take on projects and help identify ways to improve our customer experience. This includes identifying potential changes in Operational processes and creating scripts and tools to increase productivity, performance, and stability for the organization as a whole.The person who fills this position is expected to have extensive experience implementing and administering VMware ESXi, Linux, Windows, and other Virtualization server infrastructure. This person also expected to have a good working knowledge of LAN and WAN technologies, including switching, routing and load balancers.All members of the Systems Operation team are expected to help support internal customer issues as they arise. This team works closely with our Development Operations, Development, and Networking teams. In addition, all members are expected to participate in a weekly on-call rotation.","Experience and Skills: BS in Computer Science, Computer Engineering or related Degree5+ years of experience administering Linux server systems (Debian, CentOS, or any other Linux version)5+ years of experience administering Windows server systems (Windows 2003, 2008, and 2012)5+ years of experience administering VMWare Esxi clusters including advanced knowledge in virtualized infrastructure using VMWare technologyWorking knowledge of other virtualization technologies including KVM and Xen a huge plus.3+ years of experience with Perl or other Linux scripting1+ years of experience with Perl, Puppet, Git, MySQL, Zabbix and/or RabbitMQExperience with network equipment such as F5, Juniper, Cisco and Brocade a big plusSelf-starter and motivated to learn and growOpen to occasional travel",,0,0,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Engineering,0
7230,QA Manager,"US, NY, New York",,,"Industrial Color Software is a division of Industrial Color Brands, a family of vertically integrated creative production companies. Founded in 1991 from a culture of innovation, excellence and attention to industry trends and client needs, the brands operate independently and together to provide high-level holistic solutions for photography, advertising, retail, media, and production industries. Industrial Color Brands include GlobalEdit, Impact Digital, Fast Ashleys Studios, Industrial Color Software, and the M Project Gallery.","We're looking for an experienced QA Manager with top-notch communication skills, technology knowledge of the latest QA tools and technologies and real-world experience building out a strong QA team.ResponsibilitiesCo-ordinating and managing releases while collaborating with QA/Engineering and Account MangementWrite and maintain tests for both our web apps, and iOS.Ability to lead and get your hands dirty helping test the product and participate in our PSE process.Work with the rest of the development and product teams to analyze test results and identify and replicate bugs.","Jenkins, Selenium, and familiarity with automated testing tools and frameworksStrong understanding of all things testing related including best practices and manual/automated testingExcellent problem solving skills and the ability to quickly understand and capture product requirements and translate them into test plans and an actionable QA processExperince working in a fast-paced, agile environment","Industrial Color offers competitive benefits including medical, 401k, and PTO in our beautiful 30k sq. ft offices in Tribeca. ",0,1,1,Full-time,Associate,,Internet,Quality Assurance,0
15367,Part Time Delivery Driver - Miami,"US, FL, Miami",Operations,,"Shyp is the easiest way to send anything, anywhere.Here at Shyp, were building the #1 brand consumers and small businesses trust for shipping their packages, and in the process fundamentally disrupting a 220 year old #URL_5e3cdedac8b912c62b6523c7af01360e03d0cb98631134035b2ddbaecdee14dd#re building a customer-centric organization that encourages customer service to permeate the decisions and attitudes of the entire company. Our culture is collaborative, focused and fast-paced. We have an incredible team and are well funded by some amazing investors. Come join us.","Shyp is in search of smart, friendly, safety-conscious women and men to operate company vehicles and provide courteous and efficient pick-up of items on a part-time schedule; to check items for conformance to Shyp features of service; to provide coverage for all assigned routes within the service area; and to provide related customer service functions.Duties:Provides efficient and safe pick up of packages and documents, while representing the company in a professional manner.Operates non-articulated vehicles safely and efficiently, complying with all governmental and corporate procedures.Accomplishes accurate and timely selection and inspection of assigned items and the subsequent reporting of stop counts and missing items. Scans items according to prescribed procedures; demonstrates proficiency in features of service and equipment.Meets aircraft and transports packages as required for sorting operations.Loads and unloads aircraft, containers and company vehicles; operates mechanized ramp equipment to load and unload packages.Cleans, washes and performs minor maintenance to company vehicles as necessary, maintains neat and clean personal appearance to uphold Shyp's public image.","High school diploma or equivalent educationMust be at least 19 years old and have a minimum of two years of driving experienceValid and current driver's licenseValid and current proof of insurance (even if the courier is only driving the company vehicle)Ability to comply with any specialized regulatory or licensing requirements, as determined by geographic location and/or work assignment; Shyp will communicate any specialized regulatory or licensing requirements during the hiring process. Must attain satisfactory completion of specialized training regarding transportation of goods with special handling requirements including, but not limited to, dry ice, clinical samples and/or medical products.Must be able to utilize an iOS in quick and efficient manner.For new hires, must meet all Shyp employment qualifications at time of hiring, including successful passing of background checkESSENTIAL FUNCTIONS:Ability to stand during entire shift, excluding meal and rest periodsAbility to move and lift 75 pounds and maneuver packages of any weight above 75 lbs with appropriate equipment and/or assistance from another personAbility, on a consistent basis, to:bend/twist at the waist and kneescommunicate effectively with customers, vendors, and other team membersperform work activities requiring cooperation and instructionfunction in a fast-paced environment, under substantial pressuremaintain attention and concentration for extended periods of timework with minimal supervisionreport regularly to work and maintain established business hours in order to support the Shyp business; regular attendance and/or reporting could include regular attendance at a physical location and/or maintaining established business hours depending on the scope and nature of the position","Be a part of a fun, friendly cultureOpportunity to play a role in shaping a world-class operations teamWork with an incredible fleet that never settles in their pursuit of excellence",0,1,1,Part-time,Not Applicable,High School or equivalent,Logistics and Supply Chain,,0
3456,Customer Programme Manager,"NZ, N, Auckland",Engineering ,90000-120000,"PowerbyProxi and its customers are leading a revolution to deliver unplugged power. We have changed the way electricity is delivered in hostile industrial environments. We are now also developing wireless charging technology for consumer electronics and have an impressive portfolio of clients consisting of electronics heavyweights from around the world. PowerbyProxi was spun out of The University of Auckland in 2007 to commercialize cutting edge research undertaken by its internationally acclaimed scientists and engineers. As a pioneer in the design and development of turnkey wireless power solutions, PowerbyProxi eliminates the need for a physical or frictional power connection. We provide our customers with unplugged solutions that solve continuity of delivery and maintenance pain points, create unique competitive advantages, deliver significant cost savings and secure product design wins.","PowerbyProxi is one of NZ's more promising High-Tech companies and a leader in developing Wireless Power Technology. Together with our customers, we are leading a global revolution in delivering unplugged power for both consumer and industrial applications. Spun out of research at the University of Auckland in 2006, PowerbyProxi to date holds the most comprehensive portfolio of patents in Inductive Power Transfer (IPT). Our company continues to see exponential growth and gain in market share with global partnerships and alliances with multinational conglomerates. We are now recruiting for a Customer Programme Manager for our Customer Applications Engineering (CAE) team. The Customer Programme Manager is part of our client facing organisation responsible for the overall direction, coordination, implementation, execution, control and completion of multiple approved Product Development projects ensuring consistency with company strategy, commitments and goals.  This role will be critical in ensuring that approved projects are completed on the schedule and budget agreed upon and achieve the functional, operational and financial value consistent with the Companys vision and client satisfaction. ","Desired skills and experience :Candidate should have at least 8-10 years Programme or Project Management skills and experience in the following areas, ideally in the new technology development company within the electrical engineering field.Degree in Engineering, Computer Science, Computer Systems Engineering or equivalent.Project Management qualifications (Prince2, PMP, ITIL) would be advantageousProficiency in core project/program management skills - including budgeting, communications, risk management, scope management, contingency planning, and project quality planningExceptional documentation and verbal communication skills are required. These should include   formal documentation experience (eg: specifications capture, test report, design documents) and customer communication experience","This is an opportunity to work with one of the most exciting high tech companies globally that is turning science fiction into an accessible technology. We hire the best in the wireless power technology field globally. If you are someone highly motivated in developing your career in the power electronics industry and wish to become a subject matter expert in this field, this is a great opportunity for you to advance your career. Our work culture is collegial, collaborative and we truly celebrate success.  To apply, please submit your application with a copy of your resume by clicking on ""Apply"" below.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Electrical/Electronic Manufacturing,Project Management,0
12571,Principal Engineer / Architect,"US, CA, San Francisco",Engineering,,Balanced Labs exists to provide accountants and small businesses everywhere with a better life through intelligent and elegant tools.,"Balanced Labs exists to improve accountants lives with intelligent and elegant tools.   Were a team of two founders (with two successful exits), a former COO of a CPA firm and designers.  We are looking for our first engineering hire who will work directly with the CTO/Co-founder to architect and build our SaaS tax application.  Balanced Labs is looking to scale quickly and this role could evolve into a Lead Architect or Director of Development position in 6-12 months.With at least 10 years of experience, you have probably been a Microsoft guy or girl for most of your career. You may have even thought about flirting with those Open Source technologies, but at the end of the day you keep coming back to old faithful.Youve either managed people before or are ready to move into a leadership role. You have architectural vision, passion for technology, can communicate effectively and enjoy being part of a team. Participating in product management communications and contributing the engineering aspect to the discussion is an important factor in the role.Balanced Labs is an Equal Opportunity Employer.","Some of the factors we be look for in our candidates include -• Superior architectural knowledge with extensive Microsoft technology experience.• Excellent analytical, evaluative, and problem-solving abilities.• Extensive knowledge of C#, #URL_c5591c960537d84ff7889f414633cdbc8e7d36f3fe042a096d374e4e058388d5# MVC and SQL Server.• Skill with CSS, XHTML, one or more JavaScript frameworks, and AJAX.• Knowledge and experience with Agile development methodologies• Ability to articulate ideas to both technical and non-technical audiences.• Excellent interpersonal, written and oral communication skills.• Knowledge of Internet protocols and RFC standards, database management systems, and revision control systems.• Ability to discern user requirements and develop specifications.• Ability to set and manage priorities judiciously.• A desire to live and breathe software development and shares an appreciation of design-led software.• A strong team player that takes pride and ownership in their work.• An ability to bring new ideas to the table, a passion for all things web and a willingness to go the extra mile.",,0,1,0,Full-time,Mid-Senior level,,Computer Software,Engineering,0
9608,Content Writer - Freelance,"US, IN, Fishers",Marketing,,"Bluebridge was created by a team of people who are passionate about organizations and the ways that effective technology makes them stronger and more well connected. Technology moves quickly. Businesses tend to move more slowly, either because of their place in organizational charts or because they often face modest budgets. bluebridge empowers these organizations with straightforward, affordable tools that help connect them with their customers and prospects.Bluebridge is built on a revolutionary platform that allows an organization regardless of size, to create a mobile app.By meeting consumers where they are—and with gadgets that they carry in their pockets and bags every day—bluebridge provides an engaging direct marketing channel that connects individuals while encouraging them to interact with your brand in a fresh, new way.","Bluebridge is a software as a service company that provides mobile tools to help organizations engage and communicate with their audience and communities. We work with organizations like Churches, Visitor &amp; Travel Organizations and Colleges to help them connect their content with people's pockets. We love helping our customers create awesome, polished apps so they can better communicate.Our Values:Bluebridge is defined by continual improvement—not only of the products and services we deliver to our clients, but also of ourselves. Each member of our team is held to the same expectations: keep learning, experimenting, and iterating. Succeed or fail, but grow by it either way. Collaborate and ask questions. Be resourceful. Be restless. Have fun. We believe the joy you take in your work will be reflected in the outcome.Our Team:For a tech company, were deeply, unashamedly human. We make time for each other. We tell jokes, stay late together, eat meals together—sometimes over a tough project, sometimes at the bar down the street. Were a team of self-starting individuals with a knack for cooperation and collaboration. We work (really) hard every day, but we also know when its time to take a break. We place a high value on personal connections amongst ourselves, our clients, and the outside world.The Role:We are seeking a dedicated professional freelancer who is a great writer and an even better project manager. Youll produce a lot of content—around 15 pieces per month—while managing revision cycles, meeting deadlines, and learning as much as you can about our various markets.Youll take our brainstorms and bullet points and turn them into exceptional content that resonates with our audiences. Youll deal heavily with tourism marketing, church communications, higher education/student communications and mobile technology. Youll have an opportunity to contribute to our content-forward approach to marketing and to make an impact in markets that are largely underserved from a content perspective.ResponsibilitiesProduce ~15 compelling, sharable blog posts per month while maintaining quick turnaround time and strict attention to deadlinesOptimize all content for SEO value and maximum impactBecome knowledgeable in the areas of tourism marketing, church communications, higher education/student communications and mobile technologySkillfully adapt messages to a variety of target audiencesRepurpose blog content for whitepapers, eBooks and other mediumsGather supporting content (stats, examples, quotes, etc.) whenever necessaryMaintain Bluebridges “voice” in all content produced","Proven writing skills with a healthy list of past publicationsExcellent time and project management skillsA good self-editor, producing near-perfect work every tiem (aside from the occasional typo, of course)The ability to understand and connect with a variety of audiences, adapting tone, messaging and focus for eachAbility to translate notes, suggestions, and outlines into fully-formed pieces with little additional oversightPrevious work in our market areas of focus is a plus",,1,1,1,Contract,,,Writing and Editing,Writing/Editing,0
7002,Rising Star (3rd Key Holder),"US, AZ, Phoneix",Retail,,"Ready to let your star shine? Discover the career youve been dreaming about at AvaStars where… The Star Inside is YOU! At AvaStars, were dedicated to making dreams come true and creating entertainment retail that is highly personalized and aspirational. Were currently looking for “A” players to capitalize on this once in a lifetime opportunity. Our senior leadership team is known for the success of Mattel, Pokemon, Build-A-Bear Workshop, LeapFrog and Waterbabies. Join us by getting in on the “ground floor” in the development and implementation of the “next big thing” destined to change the face of retail and the way children play. AvaStars is an equal opportunity employer committed to providing a diverse environment.#URL_77edd0eb5baaf205d0de93d32fc2471370b249e83cdfaacc8c0a5f00c51b8e15#","Ready to let your star shine? Discover the career youve been dreaming about at AvaStars where… The Star Inside is YOU! At AvaStars, were dedicated to making dreams come true and creating entertainment retail that is highly personalized and aspirational. Were currently looking for “A” players to capitalize on this once in a lifetime opportunity. Our senior leadership team is known for the success of Mattel, Pokemon, Build-A-Bear Workshop, LeapFrog and Waterbabies. Join us by getting in on the “ground floor” in the development and implementation of the “next big thing” destined to change the face of retail and the way children play.Were currently looking for a Part-Time Rising Star (3rd Key Holder  approx. 30 hrs. per week), whos inspirational, entrepreneurial, and dynamic to join our team at ENTER LOCATION.      Our Rising Stars enjoy … Being part of a team that brings the vision of the company to life.Creating memorable guest experiences for children and families, not just selling products.Being flexible self-starters that can make great things happen with little guidance.Creating a fun work environment for themselves and their team.Using technology.A day in the life of a Rising Star includes … Performing Leader on Duty (LOD) responsibilities.Keeping the AvaStarShip humming by ensuring the efficient daily operations including opening and closing procedures, the customer experience, and directing the activities of the team.Creating and delivering stellar guest experiences.Leading, communicating and inspiring AvaStars team to reach for the stars in achieving business goals and performance.Representing our core values to our guests and your AvaStars team.","Our Rising Stars possess … 1-2 years retail experience.Exceptional interpersonal skills.Strong leadership qualities.Excellent communications skills.Ability to build strong partnerships and to work collaboratively to achieve goals.Basic computer skills, including Microsoft Office knowledge.Prior key holder experience is a plus.High school diploma or equivalent.Must be able to work evenings, weekends and holidays as needed.Everyone has a dream. Everyone has a star inside. At AvaStars, The Star Inside is YOU!AvaStars is an equal opportunity employer committed to providing a diverse environment.", ,0,1,1,Part-time,Associate,,Retail,Sales,0
15469,Seamstress,"US, UT, Salt Lake City",,20000-24000,,"Job Description: Operate a Juki sewing machine and serger. Maintaining the equipment and keep it in good running condition. Ability to sit for a period of time and the willingness to learn other aspects of the business.ResponsibilitiesAbility to work independently ,and as part of the team.Willingness to learn dye sublimation processes for production.Maintain a clean and safe work area.Sew various components from template patterns. Ability to assist in new product design.  ","1-2 years of sewing experience.High school diploma or GEDReliable transportationAbility to read , write and understand English with basic computer skills.Pay rate based on experience and overall performance.Experienced sewing personnel only",Blue Cross and Blue Shield after 90 days,0,0,0,Full-time,Entry level,High School or equivalent,Printing,Manufacturing,0
15028,Product Manager (Mobile),"US, CA, Palo Alto",Products & User Experience,0-0,"Declara is focused on bringing data to life. Our technology platform uses machine learning, search, algorithms and recommendations to develop learning paths for individuals, making learning a constant discovery.Learning isn't just our product, it's what we do everyday. Work with a small focused team. You'll push and be pushed. Tackle the toughest technical challenges as you create products that can transform organizations across the globe. Do something meaningful. We're changing how the world nurtures and develops talent. We are located in Palo Alto, Boise and Mexico.","Declara, founded in 2012, operates on the premise that learning does not only happen in schools but continues for a lifetime in which people need to constantly master skills. Its technology platform uses semantic search and recommendations to surface the right content at the right time for individuals, making learning more personalized and discovery oriented. This enables individuals as well as entire communities to learn, making everyone smarter. Were looking for a prosumer mobile visionary to drive the mobile product strategy for Declara. We believe mobile is a critical component of Declaras future and social products. We are singularly focused on data-driven decisions and quick iteration. You will join a small, tight-knit group with the goals and impact of a large team.You will be responsible for thinking through broad product strategy, managing the roadmap, and driving execution:●      Report directly to the VP  Products &amp; User Experience and define Declaras mobile strategy●      Think mobile native - define the best product specifically for the mobile platform. Not just mobile first - mobile native are experiences that might not be able to exist on the web●      Understand our users: their problems, their needs, their questions●      Detail oriented: the little things are what make products amazing and you should always be thinking about them●      Global product management: prioritization and execution across platforms, markets, languages, and currencies●      Technical skills: able to speak to engineers about technical approach, architect decisions, and high-level technical strategy●      Serve as a mobile tools and functionality product expert, coordinating requirements and actions across multiple business areas, such as our back and front-end development/technology teams, our user experience and visual design teams, contractors, project management, online and e-mail marketing teams.","●      Mobile product vision  you understand mobile consumer product in your core and you are capable of creating transformative product features●      Design sense - you should feel comfortable giving visual input and enjoy the creative aspects of ideation●      Ability to communicate across diverse cultures, languages, work styles, and personalities●      Love of product management; the strategy, the operations, and everything in-between; work isnt a means toward an end, but something you enjoy●      Entrepreneurial through experience or mind-set●      Focus on outcomes with proven experience making product teams successful●      Excitement, enthusiasm, and a willingness to work outside your comfort zone●      BS or MS degree in a Technical or Analytical field (or Demonstrated success in launching one or more phenomenal mobile apps)●      3-5 years mobile consumer product management experience","Declara is an equal opportunity employer.  Declara offers competitive salary, equity package and benefits. Declara does not accept unsolicited resumes from recruitment agencies and/or individual recruiters without a signed agreement.  Declara will not be responsible for any fees related to unsolicited resumes submitted in any capacity other through invitation by Declara's portal.   ",0,1,0,Full-time,,Bachelor's Degree,Internet,Product Management,0
11704,I want to work at Vend in AUCKLAND,"NZ, N, Auckland",All,,"Vend is looking for some awesome new talent to come join us. You'll be working in an awesome team doing awesome things, and generally being awesome.Learn about us on our blog, or meet the team on Twitter, Facebook, LinkedInAwesome SpaceOur brand new Auckland office space is located on Nuffield Street in Newmarket, surrounded by our customers and the industry we love. It is huge, open, and shiny new with great meeting room spaces, casual working environments and plenty of space to host awesome events. We don't do cubicles, just plenty of space, whiteboards and meetings rooms. We also have a great cafe/retail space with our very own Front of House Manager taking care of our visitors and fellow Venders. Like Auckland our Melbourne office is surrounded by retail. It's a great wee space equipped with tiny houses and some awesome cardboard cutout animals.Our Toronto office is located right in the heart of downtown retail district. Like Melbourne and Auckland there's a great vibe with great coffee, boutique stores and some of the greatest restaurants in the city. Our SoMa office in San Francisco is located in the middle of the SF tech scene, just a (Biz) stone's throw from Twitter.The EnvironmentWe want you to be at the top of your game. You can wear whatever clothing you like, start work late in the morning, take breaks whenever you want and generally work the way you want to work. Who we're looking forVend is looking for people to push the boundaries. We are a hard working professional team with a wicked sense of humour, and we are looking for people who thrive in a collaborative open environment. We want passionate, hard working, talented individuals that want to lead in their field.","No suitable jobs right now on our jobs page?  Don't worry.....we love hearing from people who share our passion for making retailers' lives easier.At Vend we take hiring really seriously. While we do have really specific requirements for all of our roles and they're not just from a technical perspective but also a cultural one. Our company culture is something we're very protective of and we work hard to make sure every new person we hire is a perfect fit. If you want to join us in our quest to make the world's best Point of Sale software and at the same time work for one of NZ's Best Small-Medium Sized Workplace's, then introduce yourself :-)You'll receive an email from us letting you know that we've received your application and we promise to let you know when any suitable jobs come up.Thanks for your interest in Vend. We really appreciate it.",Are you enthusiastic about the retail industry?Does making customers happy make you happy?Do you think you have a pretty awesome personality?Do you like dogs?Do you want to be part of making Vend the best retail platform in the world?,"When you work at Vend you can expect the following benefits.A competitive salary  The chance to work with a group of amazing peopleHaving the opportunity to be part of the next big kiwi software success storyAn open culture where we openly share our results and where your input is valuedFun at work!  However you might like to have fun at work, you can pretty much do that at VendWork-life balance.  We know you have a life outside of work.  At Vend you can have a life inside work tooUtilise all your talents.  Not just the ones written in your job descriptionWe welcome all of you at work.  We dont want you to leave your personality at the doorWhile Vend is totally open to receiving applications from people based overseas, our recruitment process for technical talent is lengthy and involves a lot of face-to-face time between our candidates and existing team members here. We can start initial stages of interviewing using awesome technology like Skype and Google Hangouts, we really need you to be here and to have hung out with us in Auckland before making a job offer.",0,1,1,,,,,,0
5630,DevOps / CI Engineer,"US, CA, San Francisco",,,"At iMATCHATIVE, we believe in the power of science and technology to inspire creative solutions and to transform businesses. We apply big data, superior analytics, psychological assessment tools and proprietary algorithms, along with human intellect and professional experience, and a healthy dose of imagination, innovation and integrity, to develop innovative products.We are pleased to introduce altX, our first product, an automated, two sided, online platform that promises investors and hedge funds alike, deeper, more intelligent analytics and searches and a more efficient, less expensive capital allocation process.","Join our AltX team at iMatchative as our first DevOps / Continuous Integration / Release Engineer.  At iMATCHATIVE, we believe in the power of science and technology to inspire creative solutions and to transform businesses. We apply big data, superior analytics, psychological assessment tools and proprietary algorithms, along with human intellect and professional experience, and a healthy dose of imagination, innovation and integrity, to develop innovative products.We are pleased to introduce altX, our first product, an automated, two sided, online platform that promises investors and hedge funds alike, deeper, more intelligent analytics and searches and a more efficient, less expensive capital allocation process.We are a mixed environment running both Windows and Linux and need build and release automation setup for both.  We use Bamboo for our CI and all code is stored in Git (BitBucket).  Help setup our full CI process for JAVA, .Net , SQL Server, Couchbase, Hadoop and other software.  Integrate automation testing and script our deployments using Chef and other tools to our Dev, Test and Production environments.We also just installed brand new monitors in our office waiting for you to fill them up with dashboards and stats.",Experience with Jenkins or Bamboo CI serversExperience with both Windows and Linux deployments (or a willingness to learn one or the other)Experience with AWS and/or AzureExperience with at least one scripting languageKnowledge of JAVA and/or C#SQL and NoSQL / Document DatabasesMonitoring and alerting You have a passion / obsession for automating everything and anything.  Bonus points for automating our dinner orders from Sprig when the afternoon build fails and we have to stay to fix it... This is a local only job and you must be able to commute to our San Francisco office.,Competitive SalaryGreat MedicalStock OptionsVacation,0,1,1,Full-time,Associate,Bachelor's Degree,Financial Services,Engineering,0
16559,Multiple Customer Service Positions ($22 an hour),"US, KY, Lexington",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","LEI Home Enhancements is hiring for ENTRY LEVEL customer service positions. We have an aggressive expansion plan laid out and are looking to find a person who would love to work in a fun, competitive, positive-minded environment.What We Do:LEI Home Enhancements is a marketing firm that deals with home improvement products.Why We Are Hiring: We are located in 8 cities and expanding to 15 this year. Therefore, our goal is to find a few people to  help in our expansion efforts. The people we hire will have a chance to get in entry level management training within the next 2 months and have unlimited growth opportunity.Our Management Training Program focuses on the following areas:Sales and Marketing Leadership Skills Effective Communication Techniques Marketing Campaign Roles Sales Strategies Team Management","Who We're Looking For: You must possess great people skills. You must demonstrate excellent work ethic. You must have a positive business attitude like the rest of our enthusiastic staff. You must be confident you'll be successful, just waiting for a career opportunity where you can prove yourself.",We Offer: Weekly Base plus commissions (approx $18-$22 an hour plus commissions) Paid training Full Benefits,0,1,0,Full-time,,,Consumer Services,Customer Service,0
3149,Executive Assistant,"US, VA, Crystal City",,,"For more than two decades, the TRAK Companies have been providing temporary and direct-hire professional support staff to some of the most high-powered, influential organizations in the Northern Virginia and metropolitan Washington, DC area. The TRAK Companies consist of TRAK Legal, TRAK Services, and TRAK's Records and Library Division. TRAK Legal places legal and project support staff in law firms and corporate legal departments. TRAK Services supplies executive, administrative, and conference support to corporations, associations, and other organizations. And TRAK's Records and Library Division offers experienced personnel in the information services arena. TRAK recruiters select candidates from an extensive network of highly qualified professionals, many of whom we represent on an exclusive basis. Our in-depth understanding of support roles in the business environment allows us to find the right staffing and recruiting solutions for you.","Are you ready to work with a dedicated group that is committed to achieving admirable organizational goals?  Our metro accessible client has received over 100 awards over the past few years and is asking YOU to join their company as an Executive Assistant.  Your influence will be seen through a variety of tasks including researching, preparing, and coordinating documents, arranging meetings, creating and maintaining attorney files, typing agreements, and drafting correspondence. Essential Duties:- Provide high-level organizational support to the General Counsel- Track and prioritize all open projects, action items, and deadlines for the General Counsel- Prepare agendas and document discussions at internal and external department meetings- Draft letters and other documents on behalf of the General Counsel- Oversee GC calendar and confidential administrative functions- Perform complex administration functions",#NAME?,,0,1,1,,,,,,0
5938,Embedded and Application Engineer,"NZ, N, Auckland ",Engineering,,"Want to be part of a NZ success story thats going places?Want to have a hand in developing products that youll be proud of?We are a driven and ambitious technology business with a Vision to deliver revolutionary transactional and self service products that make people wonder how they ever lived without them.Designed in New Zealand and exported across the world, our products have an enviable history of delivering smart and robust technology solutions to the oil and gas retail sector. We have developed many first-in-world products and we are embarking on our next strategic horizon - so we have exciting and challenging times ahead!Types of roles we recruit for;Embedded and Application EngineersMechanical, Hardware and Production EngineersSoftware Developers (particularly Java Devs with payments experience)Product ArchitectsQA &amp; Compliance (including Test Analysts / Test Engineers)Project Managers (software and hardware)Solutions Consultants (Business Analysts)Plus much much moreWe believe in the importance of living and breathing our Company Values; were Passionate, we do What We Say, were Straight Up, were Creative, were Team players, were all about Quality, we make it Win-Win and People Matter to us.","We are #URL_f33bebd1256d2e62399cf4a277a4a8731d503fe5eb2ad5240e7b150d71bdfa48#, a driven, ambitious technology company with a vision to be the world leader in payments solutions for the retail oil sector  how about joining us for the ride! A global organisation with a 30 year history of delivering technology solutions to the oil and gas sectors.  We have been at the forefront of 'Pay at Pump' initiatives, developing many first-in-world products and have a long history of innovative site automation.In this key role, the Embedded and Application Engineer will play a vital role in building and maintaining embedded software solutions. You will be responsible for embedded product maintenance, completing the development of new functionality and modifications to existing functionality on embedded devices, updating regression/unit test suites, software documentation and the creation of developer test plans. This role will give you exposure to a new platform and enable you provide expert input into development.BenefitsWe are in an exciting growth phase, if you would like the opportunity to work for an organisation where your skills and performance will be recognised, an organisation that believes in investing in your learning and development, then we would like to hear from you!Expressions of interest and applications to Louise Hooper Smith; phone 369-2941 or email #EMAIL_0df1da1a3223436705c1e9879521e5db553333769732bea606388020f4ed5135#We look forward to hearing from you!BenefitsWe are in an exciting growth phase, if you would like the opportunity to work for an organisation where your skills and performance will be recognised, an organisation that believes in investing in your learning and development, then we would like to hear from you!Expressions of interest and applications to Louise Hooper Smith; phone 369-2941 or email #EMAIL_0df1da1a3223436705c1e9879521e5db553333769732bea606388020f4ed5135#We look forward to hearing from you!","As an expert in Embedded Development you will have the following experience:Strong working knowledge of C/C++ and Assembler languagesExcellent understanding of electronics, with the ability to interpret electronic schematicsAwareness of the fundamentals of digital designExperience in RTOS or embedded OSesEmbedded Linux x86/ARMIt goes without saying that you are a confident team player with the ability to interact with internal and external clients alike. Your open personality, outstanding decision making skills and communicative approach will see you succeed in this role. ","We are in an exciting growth phase, if you would like the opportunity to work for an organisation where your skills and performance will be recognised, an organisation that believes in investing in your learning and development, then we would like to hear from you!Expressions of interest and applications to Louise Hooper Smith; phone 369-2941 or email #EMAIL_0df1da1a3223436705c1e9879521e5db553333769732bea606388020f4ed5135#We look forward to hearing from you!",0,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Engineering,0
11216,Customer Service Associate - Part Time ,"US, OH, Fairfield",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Fairfield, OH. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages ","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredAdministrative support experience in the insurance industry strongly preferredKeyboarding and windows environment PC skills strongly preferredStrong working knowledge in Word, Excel, Adobe and OutlookExcellent communication skills both verbal and writtenAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyLifting up to 55 pounds with or without accommodationSitting / Standing for long periods of timeUPS shipping backgroundBe able to operate a forklift ",,0,1,0,Part-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
4996,Operations Support,"PH, , Quezon City",,,,Operations SupportCollege Graduate or at least 2nd year level but with experience in BPO Can communicate well both English and Filipino Presentable and with pleasing personality At least 52 above Willing to do fieldwork Rate : Minimum ( Php 426/day) Location : not defined yetSkill :- PHP,Operations SupportCollege Graduate or at least 2nd year level but with experience in BPO Can communicate well both English and Filipino Presentable and with pleasing personality At least 52 above Willing to do fieldwork Rate : Minimum ( Php 426/day) Location : not defined yetSkill :- PHP,"Collabera is a fast growing, end-to-end information technology services and solutions provider working with leading Global 2000 organizations from the Banking &amp; Financial Services, Telecommunications &amp; Media, Technology, Energy &amp; Utilities and Manufacturing &amp; Retail domains. Collabera delivers highly responsive and innovative solutions that help clients align their IT strategy with business goals to address the most important IT needs through a combination of consulting solutions, proprietary methodologies, assets based services and a Collaborative Engagement Model.Collabera employs over 8000 professionals across more than 25 offices and 4 world-class delivery centers in the U.S., UK, India, Singapore and Philippines. We deliver a full portfolio of services that include Consulting, Application Development &amp; Management, Independent Testing Services, Enterprise Software Solutions, Product Engineering Services, Business Intelligence &amp; Data Warehousing, Mobile and Wireless, Infrastructure Management as well as Professional Services.",0,0,0,,,,,,0
10350, Business Analyst (Permanent-Manager level),"GB, LND, ",,,"Founded by serial entrepreneur Clive Jackson and launched in 2011, Victor is one of the worlds most trusted names in private jet charter. With only a 5% fee for online bookings, Victor offers the broadest selection of quotes for charter flights at the best prices directly from the operators. With access to over 700 contracted aircraft, Victor can get you airborne in less than 2 hours from almost any airport around the world on any aircraft type. We're a small and growing agile team. As a team we aspire to the hacker culture and we care about what we do. We want to produce simple, elegant solutions to difficult problems. We take pride in getting the job done right. We want to improve as individuals and improve the team and wider organisation around us.Our development team use modern technologies, tools and methods to produce a product that our customers love. We want our applications to be ubiquitous; any time someone is booking a jet they'll be using the Victor platform.We choose the best tools for the job and right now that's mostly node and Angular, with MongoDB and a smattering of Python.For vacancies for our other companies, #URL_8b5fe34131f015b09e2b4b01097392477242e0de74786a62a71e91e1e5dbfba7# and Global Beach, please click on links below:#URL_c31909b471fce3a2c3ea962a074a37e919edf78b8dfb90c4a3a9ace570542adf#http://global-#URL_c4958175db594f9b8c88259f8f6fa850085a15c4723021f19596b2a9752dc62f#","We are looking for truly exceptional talent to join Victor, a provider of private jet charter services that has revolutionised the market. The core attributes of any prospective candidate will be energy, ambition, organisation and a strong desire to innovate and play a pivotal role in a company that is set to become a truly global brand.The opportunityVictor is seeking a highly motivated individual to join as a Business Analyst.Fly Victor is constantly exploring new areas of services and business, and the candidate will be leading the effort to explore these new opportunities; preparing detailed business plans, including market analysis, revenue model, organisational structure, financial projections, etc.The role will suit candidates with significant experience of working in a product/innovation environment, ideally within a technology start-up. The candidate will have been in key executive and/or consultant roles in multiple fast growing organisations and must be able to take a high level vision and work diligently, drawing experience from multiple stakeholders to produce an appropriate level of detail.As part of a dynamic and innovative company, the individual will need to be hands-on, flexible and self-sufficient. The candidate should have a strong interest in being part of a real innovation in the online travel and private aviation industries.The RoleOwn the process from vision to business plan for one or more initiatives.Preparing detailed business plans, including market analysis, revenue model, organisational structure, financial projections, etc.Work with the companys management team and other stakeholders as neededElicit requirements using interviews, document analysis, requirements workshops, surveys, site visits, business process descriptions, use cases, scenarios, business analysis, task and workflow analysis.Critically evaluate information gathered from multiple sources, reconcile conflicts, decompose high-level information into details, abstract up from low-level information to a general understanding, and distinguish user requests from the underlying true needs.Proactively communicate and collaborate with external and internal customers to analyse information needs and functional requirements and deliver artefacts as needed like functional requirements or use casesDrive and challenge business units on their assumptions of how they will successfully execute their plansServe as the conduit between the commercial and technical functions, ensuring optimal solutions and efficient use of resourcesDevelop requirements specifications according to standard templates, using natural language.Collaborate with developers and subject matter experts to establish the technical vision and analyse trade-offs between usability and performance needs.","Expert understanding of business models and organisationsUnderstanding of SaaS productsMulti stakeholder requirements definition and management systems and methodologiesAble to successfully engage in multiple initiatives simultaneouslyStrong analytical and product management skills, including a thorough understanding of how to interpret customer business needs and translate them into application and operational requirements.Excellent verbal and written communication skills and the ability to interact professionally with a diverse group, executives, managers, and subject matter experts.",,0,1,0,Full-time,,,Airlines/Aviation,Business Analyst,0
11420,Specialist Curriculum Associate,"SG, , ",,,"Shape the Future.The Learning Lab is Singapores leading education brand.  We develop and run premier educational programs in English, Mathematics as well as the Biological and Physical Sciences at the pre-school, primary, secondary and pre-tertiary levels. Our distinctive and highly regarded brand of education is designed to ensure academic excellence and world-readiness.We take great pride in designing learning approaches which go beyond exams and curriculum, nurturing future leaders which make an impact on society. The group has recently launched The Learning Lab Junior as its flagship brand for premier pre-school enrichment.Chinese Lab is the groups new flagship brand for premier Chinese enrichment and “China-readiness”. In line with its stated aim of grooming bicultural global citizens from young. It now offers enrichment and tutorial programs for primary, kindergarten and nursery age groups. It has plans to develop programs for secondary and pre-tertiary age groups.We are passionate about helping each student maximize their educational opportunities and fulfill their potential as future leaders. That is why we take pride in grooming students unique strengths and abilities. To do so, we go to great lengths to recruit the best talents in various fields - talents who believe in the same vision of shaping the future.Join us today and nurture the leaders of tomorrow.","Shape the future with us.The Learning Lab is Singapores leading education brand. We develop and run premier educational programs in English, Mathematics as well as the Biological and Physical Sciences at the pre-school, primary, secondary and pre-tertiary levels. Our distinctive and highly regarded brand of education is designed to ensure academic excellence and world-readiness. We are passionate about helping each student maximize their educational opportunities and fulfill their potential as future leaders. That is why we take pride in grooming students unique strengths and abilities. To do so, we go to great lengths to recruit the best talents in various fields - talents who believe in the same vision of shaping the future.Join us today and nurture the leaders of tomorrow.","We take great pride in ensuring that the materials we deliver to our students weekly bear our mark of quality and attention to details. Innovation and meticulous editing exemplifies the work of our curriculum architects. While the standard is set high, the work is intellectually stimulating and the rewards are boundless. We provide competitive remuneration for the very best.Who we look forYou love the challenge of structuring fulfilling and enriching learning journeys for our students. On top of that, you seek a job which allows you to tap into this passion and let your creativity flow.Snapshots of our ideal candidateTotal delight in being the creative backbone of the team.A specialist in curriculum development.Meticulously detailed, with a flair designing educational materials.Proven record of academic and extramural excellence.Clear, compelling interpersonal and communication skills.How to ApplyWe require all of the following documents to be submitted in order for us to process your application. Kindly upload all documents as one attachment file.Résumé or Curriculum VitaeCover LetterO Level CertificateA Level Certificate / Diploma Certificate and TranscriptUniversity Degree Certificate and TranscriptMasters Degree Certificate and Transcript (If applicable)Any incomplete applications will not be processed.If you have been shortlisted, we will contact you within 2 weeks from date of application.",,0,1,1,Full-time,,Bachelor's Degree,Education Management,Writing/Editing,0
1853,Rally expert,"GB, CBF, Luton",,,"Eviture is a professional services firm that specialise in leading enterprise agile delivery for complex business and IT challenges, with on-shore, near-shore and offshore team members working in collaboration. We work across all levels within organisations to enable business agility for our clients.We work with clients from vision and concept to delivery to ensure that programmes and projects start off right. At a fundamental level, it requires a significant change in mind-set, culture and approach. Collaboration is becoming increasingly important inside the business: empowering every member of staff to deliver the promise that youre making to your customers. Collaboration with customers and employees is vital to success. This transformation can be very challenging, particularly for large hierarchical organisations with a long history of traditional delivery.We help organisations and their people adjust to change, whether it is processes that need integrating into existing work habits or a strategic direction that depends on the understanding and support of stakeholders. With the right leadership and engagement, lean and agile can transform the future of a business and the people that form part of it. To support this, we build high-performance teams and highly collaborative environments spanning multiple locations, including near-shore and offshore.Eviture Resources focus on finding the right people for the right tasks for Eviture, and occasionally for clients with special needs.Our contact number is +44 20 3637 0415.","For an assignment with our customer we are looking for an expert in the Rally backlog management tool.You will consult with the customer in order to help them get the most out of Rally. You will help them configure the tool, produce guidelines and presentations about how to use Rally and hold workshops.This is a short-term assignment.","You must have past experience from configuring and administering Rally in medium-size to enterprise organisations.You must have an excellent understanding of Lean and Agile, including (but not limited to) Agile SDLC, the role of the Product Owner, the role of the Scrum Master, Scrum, Kanban, theory of constraints and cost of delay.",,0,1,1,Other,Mid-Senior level,,,Information Technology,0
10845,Customer Service Associate ,"US, NY, New York",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in New York, NY. The right candidate will be an integral part of our talented team, supporting our continued growth.Duties and Responsibilities:Sort, deliver and pick-up mail and packages according to established time frames and service standardsScan and input inbound accountable boxes and packages including certified, registered, return receipt, Federal Express and UPS for delivery to customersReceive and log all incoming items, letters and packages, including certified, registered, return receipt, and Federal ExpressEnsure that all mail and packages are properly labeled for mailing, with correct postage utilizing automatic postage meter machine.Maintain all logs and reporting documentation as requiredMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitude ","The successful job seeker must be able to demonstrate the following qualifications:High school diploma or equivalent (GED) requiredMinimum of 1 year customer service related experience requiredMinimum of 1 year recent experience in Shipping/Receiving or Mail servicesExcellent communication skills both verbal and writtenStrong organizational and administrative skillsAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksProficient computer skills; Microsoft Excel, Word, etc.Lifting up to a maximum of 50lbs. ",,0,1,0,Full-time,Entry level,High School or equivalent,Information Technology and Services,Customer Service,0
3421,Senior Web Content Producer,"GR, I, Athens",Content Production,,"Minimedia is a new media company based in Athens, Greece. It offers cutting-edge ideas and state-of-the-art technology. Acting as an agency, a consulting firm and a production house, Minimedia offers affordable communication and web media services. With over 20 years of Internet experience and insight, Minimediacreatively addresses the pressing need to engage users in anever-expanding social web experience. Our team is multilingual, technology-literate and obsessed with anything new on the web.","Minimedia is a boutique new media company based in Athens, Greece, specialising in content creation. We develop and manage content and social media campaigns for Greek firms aiming to engage English-speaking audiences worldwide. We believe that a passion for new media fuels creativity. We are growing fast and are looking to add some senior expertise to our team. If you were to join, you would be responsible for the day to day running of 2 senior accounts, including communicating with clients, writing up reports for clients, joining creative meetings, working on copy, interacting with users and using across platform content to produce updates. You also be expected to collaborate with our creative team to generate new pitches.","8-10 years experience working in new mediaProven enthusiasm &amp; love for social mediaFull command of English and GreekPolished project management skillsAdvertising skillsA passion for pop cultureBig-picture thinkingContent Management Software skills (must be able to manage content in large-scale WordPress deployments)Excellent understanding of code (no need to write it, just get it)College degree desirable but not required, humanities and social sciences/media studies preferredHigh-pressure, informal workplace, no hierarchies, priorities constantly overlapping and overturned","Can-do attitude, self-starter, takes initiative but also takes direction from dynamic personalitiesExtra foreign languages a plusDeep understanding of Search Engine Optimization strategies across platforms",0,1,1,,,,,,0
7238,Sales Consultant,"US, CA, San Francisco Bay Area",President,,,"I am looking for a few women to join my team. &nbsp;You will work part time and sell the Etcetera upscale clothing line. &nbsp;You will show the line four times a year in your home or partner with a friend and work together. &nbsp;Commission is 25%. &nbsp;You can make as much as you want depending on how much time you want to put in. &nbsp;You will have the line for five full selling days and usually need to put in a week before your show to book appointments.&nbsp;The average commission check for a year can be as low as $15K or as much as &nbsp;$100K, again this depends on a few key factors.The ideal candidate is someone who loves fashion, wants a part time job with lots of flexibility, likes to work with women, and wants to earn money.","Sylish, likes fashion, is outgoing, and wants to make money.","Work from homeBe CEO of your own businessIncentives include, cash rewards, clothes rewards, trips, iPad's etc...for meeting sales goalsPart time and a lot of flexibility",0,0,0,,,,,,0
13949,Junior Digital Designer,"GB, LND, ",,,,"Do you want to help craft a product that enables thousands of people to launch their business ideas and help reshape the face of commercial retail?Appear Here is looking for a talented Junior Designer to help us craft the new face of commercial retail. As part of our multi-disciplinary team youll be responsible for helping design and build to a world class standard across all of our brand touch points.Based in our Central London office, youll work with our skilled tech and creative teams to ensure our design is consistently well thought-out, beautifully presented and elegant in interaction. ","Designing to a world-class standard across web, print and display, reporting to the Lead Designer, and working closely with product and technology teams.Solving complex digital problems and turning them into amazing, pixel-perfect designsHelping to shape our brand across all digital media channels: web, mobile and apps to help deliver a digital brand experience thats editorial, experiential  and beautiful.Clearly communicate your ideas, take feedback and iterate.Experience and SkillsA good degree in digital design or a design related subject, from a great universityWell-regarded agency, top brand or dot-com experience, either as a placement or full-time positionComfortable and skilled designing fluidly across all digital mediums: web, mobile and print.A strong working knowledge of Adobe Creative Suite.Experience and knowledge of user experience and UX practices, ideally within eCommerce or content-led web sites.A good understanding of front end web technology potential and limitations.Strong portfolio showing both digital and offline design",Competitive salariesYour choice of Apple hardwareA generous conference budgetStock options,0,1,0,Full-time,Entry level,,Design,Design,0
17859,Pharmaceutical Senior Project Manager Consultant,"US, PA, Horsham",,,"Keen360 helps organizations to design strategies, develop operational efficiencies, and deliverinnovative solutions to achieve measurable results","Our Pharmaceutical client has engaged us to provide a Senior Project Manager contractor to lead two projects from planning, execution and implementation. This is a 3 month contract with potential to be extended for additional 3-6 month periods.Job DescriptionYou will be taking ownership of two Data Strategy projects critical to the organization. The first project will be responsible for augmenting Sales data from an additional data source for a pilot franchise of 2500 representatives and identifying key considerations and plan for organizational wide rollout. The second project will be responsible for replacing a manual business process with data insights and incorporate these insights into the Salesforce compensation strategy. Both projects are scheduled to run concurrently and have an anticipated duration of three months. ","Job RequirementsThe ideal candidate will be a seasoned project manager who is experienced in leading critical projects and is capable of piloting a team of shared resources to ensure the right tasks are done on time and on budget. The candidate must be focused on the business value to the organization and able to champion the project vision to Senior Leadership to gain buy-in, overcome objections, provide project updates and foster adoption to change. The right candidate will need to have sufficient soft skills to ensure that the project team appreciates both the significance and the urgency of the projects and their corresponding tasks. Additionally, this candidate will be required to:Develop and maintain a comprehensive program plan which may include multiple project plans.Engage with individuals across multiple functional areas to; align on project goals, complete tasks and provide input into deliverables.Engage with Stakeholder senior leadership to communicate status and ensure alignment with project goals.Conduct requirements gathering session with SMEs.Develop project deliverables such as:Requirements DocumentProject Plan  Activity TrackerProject ScorecardChange Management PlanCommunication PlanSkillsThe ideal candidate should have 10+ years managing Pharmaceutical projects from a business domain. Should be knowledgeable with Sales Operations business process, specifically in how data drives Salesforce Compensations. ",,0,1,0,Contract,Mid-Senior level,Bachelor's Degree,Pharmaceuticals,Project Management,0
13977,Junior Designer,"GR, I, Athens",Marketing,,"Qrator is a visual (web &amp; mobile) platform that connects a wide range of creative professionals (artisans, craftmen, fashion professionals, barbers, bakers, chefs, baristas, street artists, to name but a few) with prospects and people sharing the same culture and interests.Qrator currently enables creative professionals to showcase their work and culture. Upon reach of a critical mass of professionals and prospects, Qrator plans to launch a variety of freemium branding services that will assist professionals grow fast their social and professional network and generate sales of their goods and services.Qrator is targeted at 3.5 million of the worlds most talented Creators (creative professionals) and 320 million passionate people about creativity and innovation. We estimate each Creator is most likely to spend an average of $880 per annum in digital marketing services. Qrators addressable market is expected to exceed $3 billion, spent by the worlds Creators in digital marketing services per annum.Qrator is a UK company, lead by its founder &amp; CEO Nikolas Ioannidis, a successful hospitality en- trepreneur and former technologist. Nikolas is leading a team of 6, consisting of the CTO, a Lead Designer, a Senior IOS/back-end Developer, a Senior front-end Developer, Community Curator and a Recommender Systems Consultant. Nikolas disposes of a substantial number of contacts in the media and creative industry around the globe. Qrators R&amp;D department is located in Greece (Athens and Chania). Qrator is establishing a team of Local Community Curators in key cities from around the globe.Qrator is currently in private beta phase, aiming to launch end of October 2014 and targetting to acquire within September 2015, 10K talented Creators and 1M prospects, from 10 key cities from around the world.Qrator just raised a Seed round funding. ","The role has the following responsibilities:Execute all visual design stages from concept to final hand-off to engineeringHTML authoringNewsletter design, banner designSocial media creative content sourcing and design  Social Media HandlingManaging the social media marketing strategy; focusing on Instagram, Pinterest, LinkedIn, Twitter, facebook, Google+, YouTube.Execution of all social media activities (i.e. tweeting, sharing, engaging, liking, increasing social reach, doing the heavy lifting, etc)Creating social media campaigns and calls-to-action that drive usage and generate leadsTweeting at least 30  50 times a month while engaging influencers to increase the follower- ship of our clients social media profiles; receiving multiple mentions and retweets in the process.Posting several Facebook posts each day and finding new ways to leverage Facebook for professional and business purposes.","2+ years of Web Design experienceKnowledge in HTML and CSSProficiency in Photoshop, Illustrator, or other visual design and wire-framing toolsBasic knowledge of video editing skills and techniques (editing, animation and sound)Demonstrable graphic design skillsExperience in creating short videos (Vines)Excellent visual design skills with sensitivity to user-system interactionAbility to solve problems creatively and effectivelyUp-to-date with the latest Web trends, techniques, and technologiesDiploma in Human-Computer Interaction, Interaction Design, or a Visual Arts subjectPassionate of working in a start-up environment ",We anticipate a monthly remuneration plus a monthly OTE (On Target earning) compensation model. ,0,1,1,Full-time,Entry level,,Internet,Marketing,0
4613,Technical Support Engineer - Mobile Augmented Reality,"US, NY, New York",,60000-80000,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","We are looking for awesome application support candidates to assist us in creating amazing augmented reality experiences.In this role you will work alongside both our app development and blipp development teams ensuring that our app continues to delivers high quality and innovative experiences. We are going through an exciting stage of growth here at Blippar, and as our app continues to expand its user base across the world we need passionate support engineers that want to help us push the boundaries of augmented reality advertising.Primarily you will be acting as a liaison between the growing Blipp Development and App Development teams, assisting on day to day queries, creating documentation and helping us improve the way that our app works for custom blip development. You will also Assist the Blipp Development teams in solving problems when developing custom Blipps. Investigate potential scripting/App bugs and escalating to the App development teams as required.The joy of working in this team is not only that you can really change things, but also the freedom we allow our developers; we have deadlines, but you can pursue your own ideas. Our ethos is that testing things out and innovation are key. If you have an idea we want you to build it!",We are looking for someone with experience in second line technical support with a passion for mobile and emerging technologies. Ideally you will have worked in a similar creative environment and be used to supporting internal and external stakeholders from a variety of locations across the globe.Of course we are looking for excellent technical minds with great communication ability and an autonomous approach. Successful candidates for this role will have;Prior experience in a global technical support role (Ideally with mobile apps)Excellent Scripting knowledgeA willingness to learn a new sophisticated scripting systemGood analytical and problem solving skillsA Meticulous eye for detailGood time management skills,"Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter &amp; Gamble, Conde Nast, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesOur office dog (London)International travel opportunitiesChance to develop groundbreaking techWork with state-of-the-art hardware and softwareAccess to new wearables including Google GlassA challenge to change the worldChance to shape a new industryCreative freedom and controlExperience with all mobile operating systemsCollaborative opportunities with huge brandsPlease apply now for more details on this role or check out our careers page for more details on other opportunities to work with us.",0,1,0,Full-time,Associate,Unspecified,Internet,Engineering,0
15172,Sales Representative,"US, , ",,,"SEK Solutions is driven to be the #1 solutions provider for our customer's weapon storage, industrial storage, material handling &amp; logistics requirements. We are proud of the deep industry relationships we've developed over the years that allow us to offer our a broad selection of material handling equipment and supplies, as well as a variety of storage solutions.We are located in Virginia Beach, VA, where our employees enjoy the family-oriented communities and diverse recreational activities this area offers. We are a hard-working team committed to delivering excellent customer service; we also know how to enjoy a little fun while we are at it. We are growing rapidly and seek self starters with integrity and a willingness to succeed. If this sounds like the perfect fit for you, we encourage you to review and apply to any of our openings listed below.","SEK Solutions is seeking several sales representatives with experience in selling material handling equipment and storage solutions to industrial and government customers. We are growing our sales territories and are specifically interested in candidates with a deep book of existing business in the Southeast, Midwest, Southwest, and Northwest regions of the US. However, we encourage all candidates in the US that meet the requirements to apply.This position will involve prospecting and developing new accounts and sales opportunities as well increasing sales on existing accounts with minimal supervision. We expect the territory sales representative to directly manage all aspects of the sale from the lead stage to final delivery and acceptance of the product, which may include developing project specifications, quoting complex requirements, coordinating vendor deliveries, and managing the installation. The optimal candidate should also be a ""product expert"" and able to creatively match items from our many vendors to meet a wide ranging set of material handling and storage requirements, thus becoming a true resource and solutions provider to our customers.","Minimum Requirements:At least 3 years industrial or government material handling and storage solutions sales experience preferredCandidates with a minimum of 5 years government sales experience and/or prior military/government experience in supply, requisition, and/or logistics may also apply.Proficiency with Microsoft Office and/or Google document applications is requiredBachelors degree preferred, but degree requirement may be substituted with at least 6 years prior military or other experience.Must have clean driving record and be able to pass background checks required for government facility access cardsExceptional candidates will also have these additional qualifications:Demonstrated ability to meet &amp; exceed sales quotas with minimal direct supervisionExperience writing material handling project specifications and proposalsStrong understanding of Government procurement processes, contracting, and purchasing methodsExcellent written and verbal communication skillsExperience with Customer Relationship Management (CRM) systems along with above average organizational skills in order to keep sales opportunities updated regularly with accurate information","Healthcare, Retirement planning, Income protection, Work-life balance. They're all important parts of your life, and we strive to provide a comprehensive benefit package that meets the personal and family needs of our employees. We take great pride in offering a competitive program to attract and reward talented team members.Certain benefits are subject to eligibility requirements and part-time or full-time status.Healthcare benefitsMedicalPrescriptionsDentalVisionCompany-paid life insuranceSupplemental life insuranceInvestment opportunities401(k) savings plan with company matchDisability income protectionShort- and long-term disabilityPaid sick daysAdditional benefitsBonus programsCompetitive vacation planCompany-paid holidaysTechnology cost assistance for telecommuters",1,1,0,Full-time,Associate,Bachelor's Degree,Warehousing,Sales,0
14747,Web Designer,"GR, I, Athens",Creative,,"We are a young, dynamic, fast-growing digital marketing agency established in February 2013 and headquartered in Athens, Greece. We are storytellers; we combine strategy with creativity and technology in order to create user-centric campaigns. We think of ourselves as Digital Brand-Builders; a team comprised of individuals with great experience in both digital communications and strategic marketing.  We offer our clients a 360 service that covers the entire spectrum of a brand's existence across digital channels. Our mission is to create world-class interactive experiences, empower audiences to connect and share, and thus, deliver measurable results through creative innovation.We are looking for the next passionate member of our team, so we can create interesting and innovative things together.","Interweave is looking for a Designer, a design Thinker, to create and execute designs across platforms and channels (products, platforms, websites, mobile, campaigns). You will collaborate with strategists, marketers, information architects and copy writers, and build with them great brand experiences online. Our goal, and part of your job, is to create user-centric campaigns, highly engaging, which exceed client expectations and objectives. Responsibilities•     Develop creative ideas and quality design•     Understand the brief and produce designs that are in keeping with the brief, brand and overall strategy•     Collaborate with internal teams, as well as, client teams when necessary•     Effectively articulate the reasons for every decision in your design and how they enhance the brand values•     Show attention to detail •     Communicate thoughts and expertise to the rest of the team•     Be up-to-date on new technologies and trends in your field and share them with others•     Respect deadlines and adhere to them  Mindset•     A passionate person, who loves what he/she does•     Positive attitude•     A combination of a thinker and a doer•     Team-oriented and work well with others•     Someone who likes to challenge him or herself in order to be better at his/her craft and thus, produce higher quality material•     Honest and fun-lovingQualifications•     2+ years of experience in visual design •     Experience in Web Design•     Exceptional design skills, with knowledge of Adobe Suite•     Speed and proven ability to balance multiple projects while meeting tight deadlines•     Knowledge of Responsive Web Design, UX Design, Progressive Enhancement and Parallax Scrolling •     Excellent English reading, writing and speaking skills•     Being able to write front-end code with HTML5, CSS3, Java Script and JQuery, is considered a plus About UsWe are a young, dynamic, fast-growing digital marketing agency established in February 2013 and headquartered in Athens, Greece. We combine strategy with creativity and technology. We think of ourselves as Digital Brand-Builders; a team comprised of individuals with great experience in both digital communications and strategic marketing. Offering our clients a 360 service that covers the entire spectrum of a brand's existence: from brand positioning, to brand expression, to their online presence. Our mission is to create strong brand experiences, empower brand teams and have fun in the process.We are looking for the next passionate member of our team, so we can create interesting and innovative things together. ","•     2+ years of experience in visual design •     Experience in Web Design•     Exceptional design skills, with knowledge of Adobe Suite•     Speed and proven ability to balance multiple projects while meeting tight deadlines•     Knowledge of Responsive Web Design, UX Design, Progressive Enhancement and Parallax Scrolling •     Excellent English reading, writing and speaking skills•     Being able to write front-end code with HTML5, CSS3, Java Script and JQuery, is considered a plus",,0,1,0,Full-time,Associate,,Marketing and Advertising,Art/Creative,0
17573,Home Based Typist (Full/Part Time),"US, NY, New York",,,,"Are you looking for a job that allows you to work flexibly at your own time and comfortably own home? Are you looking for a job that allows you to earn USD$1000-2000 per week?Our company is seeking candidates around the world to process typing job. Only 2 hours needed to spend per day at your home. Requirements are as follow:Computer with Internet access, minimal typing skills, knowledge to send email. We are offering only 3 positions at the moment. All enquires and applications WILL ONLY BE REPLIED through our email: #EMAIL_d4d825054e5b85bbe91a408485786af1ff6acab1b8d121d26b21c52293cd2942#",,USD$1000-2000 per week/USD$ 100.000-120.000per year.,0,0,0,,,,,,1
5461,Executive Assistant,"CA, AB, Edmonton",Retail,,,"My Filosophy Inc.My Filosophy is seeking a full-time Executive Assistant who is motivated, sales driven, and has a desire for fashion.  My Filosophy creates an environment where superb customer service collides with unique, great quality clothing with the focus on Canadian designers.  My Filosophy requires energy, commitment, flexibility, and teamwork. We offer exciting opportunities to learn, stretch, and grow, within a fast-paced working environment. My Filosophy offers a caring, flexible work environment. My Filosophy has a passion for quality in our product, our performance, our experience, and our people. My Filosophy Artist Management, a division of My Filosophy, was created in 2012.  My Filosophy was awarded the Chamber of Commerce Small Business of the Year for 2010 and was a finalist for the Alberta Business Awards Small Business of the Year.","Job Qualifications:Ability to establish and develop relationships.Highly motivated, enthusiastic with strong written, verbal, and interpersonal communication skills.Enthusiastic individual who is motivated by strong performance.Self directed, self motivated, and results oriented with a well established track record.Ability to work independently and as a team player.A competitive, results-oriented attitude with a strong worth ethic and high level of integrity.Self starter, confident.Strong communications skills.Demonstrated visual presentation skills/knowledgeGraphic Design would be an asset but not essentialCreative thinker.Attention to detail.Passion for fashionProficient on computer systems.Must be willing to work both locations.Must have a flexible schedule to work evenings, weekends, and holidays.Must have mode of transportation. Duties:Work alongside the President and Retail ManagerAdministration duties for My Filosophy Artist ManagementWorking on reports, budgets, purchase orders, and accounting records.Website:  work on all customer service and ecommerce related functions to manage online promotions, marketing plans, online newsletters, and blogs.Shipping/receiving of all goods (including online sales orders) &amp; associated reporting.Publish and work on all products for the website  this involves the photography of the items to be posted  on the website. Updating facebook, twitter, on a regular basis.Organizing events that My Filosophy is working on (designer trunk shows, fashion shows, customer appreciation events, etc).Multitasking is a large part of the position and prioritizing and managing activities is essential.Handling all aspects of day to day operations and all associated administration duties.  How to apply:   Please submit your cover letter and resume to #EMAIL_fdd30fec10360e17f9bab9f633ac1549b43dcff8580ca832eafc1c66198256c7# or drop off your resume to our location:  9674142 Street. #URL_ee89b3458d72458457feeb0238dcf3ebde665d9aa0647d5cb655e17cc72a1c3b#",We offer:Competitive Salary &amp; Bonus Structure. Generous Clothing Allowance and DiscountsFlexible Schedule,0,0,1,,,,,,0
5013,English To Speakers of Other Languages Teacher,"US, NY, Albany",,,"The Albany Charter School Network is a family of charter schools in the City of Albany that works to prepare children for meaningful future lives  as purposeful, productive, and participatory citizens of New York, the United States, and the world.Our strong and unified movement of charter schools collaborates with parents to provide for all students a vibrant academic environment and dynamic learning experiences that create what we call “Future Life Opportunity”  a pathway to success in college, career, and community life.The Albany Charter School Network and the schools that comprise our community are always looking for highly committed and highly skilled people to come and join us in the exciting and meaningful work of helping create Future Life Opportunity for this generation of students.Please take the time to look at the various open positions on our site. If there is a role for which you think you would be a great match, then we encourage you to learn more about it and submit an application.","Do you have what it takes to cultivate the next generation of “Scientific Artists”  students with both the disciplined expertise and creative imagination to contribute effectively to the betterment of the global society? If so, the Albany Charter School Network may be the place for you! We are looking for an English to Speakers of Other Languages Teacher to join our team of professionals, committed to helping our students write like Frederick Douglass and reason like Albert Einstein.POSITION SUMMARY As the ESOL Teacher, you will work collaboratively with other instructional staff and the instructional leader to champion a high-quality education for each and every student, cultivating success for future life opportunities in college, career, and community. You will develop strategies for the design, delivery, modification, and extension of Common Core aligned curriculum and instruction, allowing all students to engage in active academic challenge and growth. As you work collaboratively with your peers to foster a thirst for lifelong learning, you will be responsible for your students performance, and your own personal and professional growth.ABOUT THE NETWORKThe Albany Charter School Network is a family of charter schools in the city of Albany that works to prepare students for meaningful future lives  as purposeful, productive, and participatory citizens of New York, the United States, and the world. Our strong and unified movement of charter schools collaborates with parents to provide all students a vibrant academic environment and dynamic learning experience that create what we call “Future Life Opportunity”  a pathway to success in college, career, and community life.  We create this environment and these experiences with a focus on Choice, Reliability, Quality, and Growth.Choice: Engaged in the challenging and fulfilling work of learning for ourselves, and teaching others how to make informed decisions.  Reliability: Achieving high levels of student performance with low variability in academic and operational delivery within and between schools.Quality: Delivering excellent teaching and learning, and general school operations, through superior execution of research-based practices.Growth: Ensuring personal, academic, and professional growth for the Network community stakeholders and the Albany area as a whole. ","QUALIFICATIONS• Valid New York State Certification in English to Speakers of Other Languages, 7-12. • Demonstrated ability to work in a collaborative environment with key stakeholders, including faculty, students, and parents. • Mission-driven with a genuine commitment to fostering future life opportunities for all students. • Excellent communication skills, both verbal and written.• Previous training/teaching experience is preferred.",,0,1,1,,,Master's Degree,,Education,0
13543,Producer / Project Manager,"US, NY, Brooklyn",Production,,"AREA 17 is an interactive agency. We take an interdisciplinary approach — blending the practices of design, technology and branding — to create modern interactive systems.We care about the web and its future. It has become a large part of our lives  entering our offices, living rooms and even our pockets. It is changing the way our world operates. We believe the web should be an extension of our daily lives, not a distraction from it. To this end, our mission is to make the web a better place — for work and for life — by delivering solutions that are equally valuable, sustainable and enriching.AREA 17 is located in New York City and Paris, France. We are independently owned and creatively motivated. Learn more","We seek a Project Manager in NYC with agency experience and the skills needed to successfully take design and interactive projects from inception to completion. In addition to an unflappable disposition, impeccable communication style, and a sense of humor, qualified candidates must be able to gracefully manage multiple projects across two offices. Special consideration will be given to candidates with strong technical backgrounds and a history of creating user-centered websites.We are open to freelance applicants. Please indicate if you are interested in a full-time or freelance position.The ideal candidate is passionate about the web and is (or aims to be) a respected contributor to the community.Overview:Reports to — Director of ProductionLocation — Onsite, NYC (Williamsburg, Brooklyn)Salary — Based on experience (Please include salary requirements)Full-time — 40 hrs per week, 9am-6pm (1 hour lunch)Primary Responsibilities:Projects: Scoping and management of deliverables, budgets and scheduleConcepting: Working with creative directors to define strategies and creative conceptsTeam: Coordinating on/offsite designers and developersRequirements: Defining client business needsClients: Managing day-to-day client relationshipQuality: Responsible for the quality assurance processDocumentation: Writing documents such as statements of work, meeting notes, etc.Meetings: Host all internal and client meetings related to your projectsMisc. Note: AREA 17 focuses solely on user-centered websites and platforms. We have little expertise in Flash and/or advertising/marketing campaigns. We appreciate and respect your experience in that domain, however we will only consider candidates that have experience in our domain of expertise.","Required Skills:3-5 years of creative/technical management experience (or similar)Expert organizational skills with an insane attention to detailSolid presentation skills with the ability to sell your team's work and ideasAbility to write with clarity and style, this job is big on writingMay require international travelDesired Skills:Solid understanding of user interface design process and methodologiesSolid understanding of web development process and methodologiesSolid understanding of web standards and best practicesWorking knowledge of HTML, CSS, Javascript, Flash, etc.Working knowledge of the capacities and limitations of web developmentFamiliar with Mac OSX environments, we don't have any PC'sUp-to-date with current technologies and trends (specifically Agile Development)Would be nice if you also did:Technical Project ManagementiPhone and Android app experienceSystem AdministrationWeb Product ManagementCommunity ManagementPrint Design and ProductionWeb designDevelopment (Application or Interface)Information Architecture","Generous Health and Dental PackageMetroCard Program (Pre-tax, 50% co-pay)12 vacation daysClosed all bank holidaysClosed between Christmas and New YearPing Pong",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Production,0
5001,Partner Integration Engineer - Gatcha!,,,,Massive Media is the social media company behind the successful digital brands #URL_18234f381f5e7b9a9ffdc727cd05c9046edffb45bce85533c8f9b6d0216e925e# and #URL_af2b2f34d003dd6238fb60ec002a2f9df551ec9f8c6df8c980fc4fd8d24cc707#. In November 2013 Massive Media bought and relaunched the social discovery platform Stepout. We enable members to meet nearby people instantly. Over 100 million people have joined our sites on web and mobile.,"Are you familiar with the payments and platforms side of the consumer web space?    Do you have strong communication skills and a fondness for creating bleeding edge solutions with new technologies as well as in depth knowledge of software development?    Are you ready to smoothly integrate our partners such as game developers, portal sites, social media and youth brands?    Is making (and keeping) people happy what drives you?    Do you often dream in PHP without this being a nightmare?    Are self confidence, helpfulness and smart among the words your friends would use to describe you?No doubts?We are hiring 2 Partner Integration Engineers for Gatcha!Apply now!",,,0,1,0,,,,,,0
7118,Community Advocate,"DE, BE, Berlin",,,,"The spring is here and so are internships. If you are looking for exciting and rewarding way to build up relevant experience this sping, look no more, because interning with Bribespot offers everything you ever dreamed of: working on a meaningful problem, having lots of responsibility, getting creative about solving problems, and learning to get things done.Bribespot is a non-profit service that allows users anonymously report &amp; track bribe reports. Anybody can submit a report using our website, Android or iPhone app. We aggregate community submissions into an interactive map and partner with anti-corruption activists &amp; policy experts to provide hands-on advice on dealing with rogue officials.To support our pilot project in South East Asia, we are looking for an ambitious intern to fill in community advocate role. Here are the most important tasks you will be busy with in this position:Contribute to developing marketing and informational materials;Manage our social media accounts, field user inquiries and monitor incoming bribe reports;Liaison with partner organizations, anti-corruption activists, and policy experts in target countries; Assist Bribespot team in implementing pilot project in South East Asian region;Monitor media and produce content for our blog / Facebook page/ Twitter account. The position is available immediately, maximum internship period is 3 months. We especially welcome students coming from developing countries to apply for this position. However, please note that we are not in position to secure residence permits and visas for third country nationals required to obtain them for residing within Germany.","Here are the qualities we are looking for in candidates:Outgoing personality and willingness to engage with people;Self-starter able to work with minimum supervision;Capable of responding to inquiries and delivering tasks in a timely manner;Good communication skills and ability to plan;Good written and spoken English;Visible social media presence;Familiar with outreach tools (social media, email newsletters, blogging, PR, analytics tools); Qualities that will make you irresistable:Actively involved in social and/or political initiatives;Interested in anti-corruption / policy making / social activism;Background in law / economics / development / politics;Fluency in one of the South East Asian languages - Thai / Vietnamese / Khmer / Filipino / Lao / Malay / Indonesian. Please note that the position requires a minimum commitment of 20 hours/week and involves regular face-to-face meetings with the team. ","We offer hands on training on using marketing tools to grow and strengthen community, great problem to work on and a small stipend to cover your logistical costs. To apply, send us an application via Workable explaining why you are the right person for this position. Don't forget to include links to your social media profiles and indicate your aviability.",0,1,1,Temporary,Internship,High School or equivalent,Nonprofit Organization Management,Marketing,0
11548,English Teacher Abroad ,"US, MA, Weymouth",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
10415,Product Master,"US, WA, Seattle",Brand and Marketing,,"Work with Team OoklaWe're good at what we do. Since we started back in 2006, we've been on a path of continuous success. You've heard of and probably used our most popular product: #URL_450e422841a82380e06806f99787403171aea30a1cfd4da8652d1d7f83e9bf18#. Ookla is the market leader and the global standard for measuring and testing Internet speed and connection quality. Over 5 million tests will be taken today, adding to the over four billion done to date. Talk about inpact, we have reached out to 300 Million unique individuals in the past year alone!A small, talented teamIt surprises people to discover that a company with worldwide presence like Ookla is run by about twenty people. That's possible by bringing the right individuals together with a shared mission: making the internet better and faster for everyone. The people at Ookla are not only multidisciplinary, but adept collaborators--they enjoy working with one another. And a smaller team means everyone gets a bigger share of the rewards.Work from anywhere flexibilityOokla is 100% virtual. No central headquarters. No vying for the corner office, because your office is anywhere you want it to be: your home, a coffee shop, a cabin in the woods. It's work with a life--the life you choose. And it's definitely not lonely. Ookla's online community, using voice, chat, and groupware technology, facilitates vibrant, ongoing collaboration among like-minded coworkers. And you're never stuck in traffic.Want to work at Ookla?To determine if youd be a good fit at Ookla, ask yourself these questions:Am I self-motivated and capable of working with little or no supervision?Am I knowledgeable, talented and imaginative enough to take on a project from beginning to end?Am I always driven to improve quality and effectiveness?Am I eager and excited to work with like-minded individuals?If you answered “yes” to all those questions, Ookla may say “yes” to you.In return...Top-of-market salaryBonus &amp; profit-sharing opportunities, creating overflow that is gererously shared out.Work from anywhere flexibility -- we're 100% virtual100% paid medical &amp; dental for you and your dependentsNo set limit on paid-time offTop-of-the-line hardware (think Retina MacBook Pro)Beverages and more to outfit your home officeWe hire responsible individuals that get the vision and enable them to self manageWe know exactly where we are going and how to get there, join us.","Experience and solid working knowledge in TCP/IP, DNS, WEB Technologies, Data &amp; Metrics is necessary.Were looking for a someone who knows what they want… already be perfectly comfortable self-managing, and have experience successfully achieving the time and objectives in a Technical Product Development role. Managing people in the past is a plus, with a special emphasis on oneself.We are looking for substantial evidence of effectiveness helping lead the way or working closely alongside those who know how to give people a chance to be their very best and achieve results for the organization and celebrate the efforts of a team. A background in a Project Manager role will be a plus, and you should be familiar with the Agile/Scrum CI model and appreciate a basic understanding of Kanban in terms of its essential objectives and benefits in Product Development.We believe experience at a Manager level or above is a must, and you should have no particular ceiling on how far you think you can go while bringing out the best in those around you. This role could easily offer a relatively short path to Director and then perhaps beyond.Most importantly, you should have a keen sense of how to get at and translate into results, the needs and personas of the present-day Internet-savvy Customer on a global basis. Anticipating and innovative features that deliver maximum value while fitting our strategic model are paramount. You should find the Internet future exciting but understand that the best imaginable tomorrow will only be realized through the excellent work of genuine creators and builders who communicate and work very well together as a motivated and highly informed team.We talk to our Customers but we want to get better in many areas. We listen well and at low latency along with our very active and involved Development and Engineering groups. We have an extraordinary combination of Senior Technical Development and world-class Design. We are growing but have well established, dedicated in-house development personnel for each of our major platforms on the Desktop, iOS, Android and Linux/Windows Systems.This role will work in concert with a Senior Manager of those combined Teams and regularly with Senior Management and Owner/Operators. OOKLA is an exceptional, experienced and in some cases veteran Internet master-crafters. We are working hard to extend the full orchestration of a growing and evolving development, business &amp; operations model enterprise wide.This is a dream gig for the right person, but it is not for everyone. We dont expect 60 hour work-weeks but we do ask for your unmistakable best effort to do three things: Engagement, Effectiveness &amp; Efficient contribute a noticeable best effort designer to build a better Buffer experience for more than 1.4 million users around the world.Primary RESPONSIBILITIESHelp to develop product business case and specifications documents ensuring alignment with Ooklas overall vision and brand, and ties strongly to Ookla design leadership, and the user experience.Own, lead and manage web and application development from discovery phase and requirements through implementation &amp; release. This will include defining and documenting technical implementation requirements and solutions  Create project plans, manage resources, and monitor timelines to ensure deliverablesSuccessfully transition the client from requirements gathering, through QA and testing (A/B, informal focus groups, beta testers, etc), to go-liveManage the content collection process for your projectsLiaise with external partners and contractors as needed.Help to coordinate internal and external communication about new and existing products, ensuring consistent messaging and understanding, and gathering feedback as necessary.Analyze relevant data and making recommendations for product or marketing development based on that analysis.Work with Jive, Jira, Producteev, etc to keep track of project detailsSecondary RESPONSIBILITIESManaging misc. content projects like video and info graphicsManaging graphic design projects that come up from time to time like an occasional print ad or brochure Making sure edits that are outside the scope of website projects are tracked by the developer and billed by giving these details to the accounting team.",,"Top-of-market salaryWork from anywhere flexibility  we're a virtual company, but also have an office space in Downtown SeattleTop-shelf medical &amp; dental, 100% covered by OoklaUnlimited time offSubstantial bonus &amp; profit-sharing opportunitiesThe best hardware, software and gear availableBeverages and more to outfit your home office",0,1,0,,,,,,0
15972,City Launcher ,"GB, LND, ",,,,"Based in the heart of the City, this exceptional opportunity as City Launcher in a fast-growing tech start-up is a challenging and varied position requiring a highly motivated and professional commercial guru, ideally with a degree, but certainly with an interest in pursuing a career in start-ups / technological businesses.The CompanyRecognised by Wired Magazine as one of Europes hottest tech start-ups, Appear Here is the leading marketplace to rent short-term space. Hailed a ""digital game changer for the high street"" by The Guardian, Appear Here is the simplest way to list and rent flexible retail space online. Our mission is to build a global network of spaces, so people can rent space in cities across the world and take their ideas to destinations near and far.Since launching in 2013, Appear Here lists over 500 spaces nationwide, and has over 5000 brands and retailers using the site. A diverse mix of big names and upcoming brands have all found space for their ideas with Appear Here ranging from Microsoft, Diageo, Google, &amp; the BBC to Serge de Nimes and Stylist Magazine. The RoleWere growing fast, and to support this were looking for a City Launcher to help us broaden and develop. This is a fantastic, unique opportunity to join a great team in a hands-on and on the ground role. You will a pivotal role in our on-going growth and success.","You should have experience working in multi-functional operations, including but not limited to events, finance and customer support. You will have experience within a leadership position of fast paced environment, with a eye for detail. You should be a true Do:er, with strong problem solving skills and an analytical mindset with a logical thought plan. You will be trustworthy, reliable and always have the best interests of the company. You will be at the forefront of the companys development. You will have excellent interpersonal skills with the ability to easily network. Key areas of responsibility within this City Launcher: Be responsible for launching AH in key cities in UK and internationallyBecome an expert on the local processes, conventions and pain points in eachIdentify, pitch and negotiate local supplier and marketing partnerships in eachDeliver materials, research and partnerships to the product teamCreating and executing local marketing strategies to encourage user adoption and penetrationSetting up partnerships and managing relationships Exploring business development opportunities (sponsorships, partnerships, and co-marketing promotions)Partly responsible for finding, hiring and guiding a team to run the citySkills and Qualifications Strong experience building and negotiating partnerships with many stakeholdersExcellent in both written and spoken communicationsFluent in English and other languages [COUNTRY LANGUAGE]Experience living in [COUNTRY X] and abroad, a plus5+ years of marketing or operations management experience (or related).Prior experience managing cross-functional teams.Successfully executed business development opportunities and negotiating partnerships.Have weathered early startup environment.",Excellent Salaries Company Benefit PackagesInternational Development Company Progression &amp; Support schemes,0,1,0,Full-time,,,Retail,Business Development,0
10436,Customer Service Team Lead ,"US, CA, Los Angeles",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Team Leader. The right candidate will be an integral part of the Novitex team, supporting our continued growth. As Customer Service Team Leader, you will be responsible for successful delivery of all aspects of the contracted site services and the on-site Novitex team. In order to achieve these objectives, you will be expected to maintain a high level of client contact; develop site personnel, and maintain a cost effective and profitable site operation.Responsibilities include but, are not limited to: leading team in Reprographics and Print Services, outgoing package delivery and S&amp;R duties, ensuring a high level of customer service and managing a team of CSAsWe are looking for a Team Leader willing to do “whatever it takes” to get the job doneIdeal candidate has a “can do” attitude with excellent communication skillsSelect, develop, motivate, and maintain a properly staffed team, so that all work is processed in accordance with service level agreementsCompile, generate, and publish reports to ensure internal and external accuracy and productivityCoach employees for performance and developmentProvide clients with updated documentation for all processesDevelop plans for improving quality, and efficiency by reviewing work flows, procedures, systems and performanceConstantly monitor the workflow and utilize manpower in the most effective manner to ensure satisfaction of all service level commitments and maximization of cost effectivenessResponsible for scheduling vacations, floating holidays, overtime hours, and backup coverage for employeesResponsible for maintaining equipment and performing software updatesMeet critical client expectations and deliver results in a timely mannerIdentify and create the potential for add-on business by developing a deep understanding of the clients business strategy and needsMaintain constant contact with the client for system changes to ensure that the department runs efficientlyMaintain efficient and accurate updates of customer's operation to ensure customer and client satisfaction. These goals are met through example and guidanceEnsure employees are cross trained and able to perform a variety of roles whenever necessaryManage daily team huddlesProfessional attire","Required Qualifications:High school diploma required /undergraduate degree preferredMinimum of 3 years supervisory experience managing a staff of non-exempt employees in a client facing environmentStaff management experience including; establishing performance expectations and performance monitoring, corrective action documentation, as well as staff development and coachingStrong computer proficiency in email environments, MS Office, Outlook, ExchangeExcellent oral and written communication skillsAbility to excel in a fast-paced, multi-tasking, team environmentAbility to coordinate available resources to provide effective operationAbility to perceive and analyze client, employee, and technical problems. Must be able to develop alternatives and make recommendationsMust be flexible and have the ability to handle many projects at one timeMust be self-motivated and able to perform with minimal supervisionAbility to complete and write concise reports, procedures, and documentation that are error freeCreative thinkerOutstanding work ethicTarget-drivenEnthusiastic and passionateMay be required to lift items weighing up to 50 poundsMay be required to stand for long periods of timeAbility to meet attendance policyAvailability to work additional hours if neededSuccessful completion of a pre-employment drug test, employment history check, credit check and criminal background check ",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
79,200K + MANAGEMENT POSITION FOR EXPERIENCED MERCHANT CASH ADVANCE REPS,"US, PA, Scranton",,,"Our name is not in this ad to prevent us from showing up in Google indexing under our company name, which would then let our existing and potential clients know how much commission we all make. What a disaster that would be. Wouldn't you agree? But once we give you our name and you check us out you will see that we are the real deal.","ONLY ONE MANAGER POSITION PER CITY/REGION IS OPEN RIGHT NOW! WORKED FROM HOME BY PHONE OR IN PERSON LOCALLY  YOUR CHOICE! OVER 40 MAJOR CITIES STILL AVAILABLE! YOU WILL EARN 40% OF THE GROSS PROFIT ON YOUR TEAMS FUNDED DEALS! YOU WILL EARN 40% OF THE GROSS PROFITS FROM YOUR TEAMS RENEWALS AND STACKS! YOU WILL EARN 10% OF THE CLIENT EQUITY IN YOUR TEAMS CLIENT BASE! PLUS: YOU WILL EARN 60% OF FUNDED AMOUNT COMMISSIONS ON YOUR OWN DEALS YOU WILL EARN 50% OF ALL EXTRA FEES CHARGED ON YOUR OWN DEALS YOU WILL EARN 50% OF THE CLIENT EQUITY IN YOUR OWN CLIENT BASE! If you are working in the merchant cash advance/small business funding industry and want to create your own team of reps who can also have the ability to recruit their own reps in to your team, and you want to get paid from all of their efforts, then read this ad carefully. Our company has preferred relationships with over 30 different private funding sources and we have a great reputation. Our name is not in this ad to prevent us from showing up in Google indexing under our company name, which would then let our existing and potential clients know how much commission we all make. What a disaster that would be. Wouldn't you agree? But once we give you our name and you check us out you will see that we are the real deal. We have management positions available to a limited number of individuals that are hungry to build a six figure income and client base in the small business funding industry. We will only take on one manager to cover one geographic area (city or state or region; depending upon population density), and that manager will have the right to recruit within your assigned territory and can have their team get deals funded from all over the country. The best part is that this can all be done over the phone, if you qualify. Your manager's agreement allows you to build your own sales team of reps for our company, and to get paid 40% of the gross profit on each of their funded deals. Gross profit for our Agreement is the amount left over after the contracted reps on your team are paid their commissions. Your recruited reps earn either 3%, 5% or 6% on the funded amount of their deals (depending upon the repayment term), plus they earn 50% of any extra fees charged by our company. An Example would be, if the deal is a good $50,000 first position with a restaurant or trucking company or some industry that is inside the box for our type of business, then we can now get up to 12% of the funded amount (or more in some cases), as a top sell rate commission from our funders. Plus we can charge fees on most first position deals of another 2% to 4% (first positions being deals where no other funding is in place). If we pay your rep 5% to 6% on a deal like that, plus 50% of the fees, then there is a lot of room left over for profit. On 50K at 12% plus 3% in fees if the rep got 5% commission on the funded amount and 1 and 1/2% in fee commissions ($3250 commission), there would be 7% + 1 and 1/2% left in gross profit for our company and the manager to split 60/40. So $4250 gross profit on that deal = a $1700 override commission for you the manager. Imagine 20 or more of those overrides per month. Even on the subprime deals (2nd, 3rd positions, gas stations, sub 500 credit, 6 months in business, etc.) we can now get 6% to 8% of the funded amount, plus charge 3% to 12% in fees depending upon the deal. So on a real bad deal, worst case scenario, the reps gets 3%, Our company gets 3% on the funded as commission and our company and the rep split another 5% in fees. On a 50K subprime deal, that would equal $2750 in gross profit for our company which is an $1100 override commission for you as the manager. Your managers agreement also prevents you from be circumvented by your reps. Once they sign up through you they are locked in to you as long as you remain with our company, and you even get paid residuals up to 2 years after your last active date with us, if you ever left. This opportunity is for a limited time, and even though there is no set quota, if some decent level of performance is not met then the opportunity would be revoked after 30 to 60 days. We also would provide you with an ad to run in your designated city and would re-reimburse you with override commissions paid,  We would also provide you and your recruited reps with a company e-mail and all of your recruiting must be done through that e-mail. We have a very unique way of selling these business funding products and it is working for our reps in a big way. We will provide you with scripts and materials that you can give your reps, but ultimately it will be up to you to: A. Recruit Them (using our ad or your own, and by using your contacts locally) B. Train Them (using our scripts combined with your experience and industry knowledge) C. Manage Them (keep them motivated, answer questions and act as their liaison with our company) If you do these 3 things, and keep doing it, then you will build a six figure income from this business. If you do these things well you can build a huge income and a book of business that you can cash out on in the future should we sell or go public; which is why we are in this business, besides the great profit margins. This is a very lucrative opportunity for the right person. If you are not experienced in this business then do not reply to this ad. If you are a rep sitting in someone else's business making 3% or 4% of the funded amount of your deals (or less), with little or no residuals and no client equity, and want a chance to build your own team and get paid leveraged income and residual income from the efforts of others, then we welcome you to apply. We will only give this position to one person to cover any one city, even though you and your team can get deals from all over the country, you can only recruit reps on CL in your designated area. But you may recruit offline wherever you want. So when you apply be convincing enough for us to call you back. Again, ""NO EXPERIENCE IN THE MCA INDUSTRY"", ""NO POSITION"". . .  ",,,1,0,0,Full-time,Mid-Senior level,,Banking,Business Development,0
13883,Digital Marketing Intern,"US, AZ, Phoenix",Marketing,,"Yazamo is a rapidly growing digital lifecycle marketing firm focused on developing web marketing strategies for mid sized to enterprise companies. Optimizing web conversions, designing and developing websites, setting up analytics tracking to measure campaign success are all vital components of our success. We are a fast paced and constantly evolving firm where the right person can a have real impact. We are searching for a competent and creative web developer to jump in with both feet and join our growing company.","Attention: This is an unpaid Internship position  Yazamo is a quickly growing, digital marketing company. Some of our clients include Arianna Huffington, Peter Diamandis, and Joe Polish. We already have a growing online presence and are looking for an intern who is internet savvy with strong writing and interpersonal skills to help us implement our own digital marketing and content strategy. This is a great opportunity for someone to learn about the complexities of online marketing for a successful start-up and get hands-on experience with multiple advertising mediums.Majors: Marketing, Business, Communications, English, Journalism, Psychology. Other majors also considered. Learning Objective: Learn, understand, get experience with, and master the tools of digital marketing as it relates to a small business in any industry. ","Content writing for blog and e-books.Study and learn from our digital marketing course (worth over $10k) covering PPC, SEO, Copywriting, Facebook Marketing, Email Marketing, Sales Funnels Strategy, Website Optimization, Content Marketing and more. Create a marketing calendar for developing and publishing new content.Online outreach and promotion using social media.Keyword analysis for SEO.Contribute to website and social media optimization. Find and engage influencers to promote your content and create guest blog opportunities.20 hours / week (can be spread out over the weekend, if desired)Strong writing skills (grammar, punctuation, word choice, sentence flow, consideration of audience, etc.","Experience contributing to, implementing, and optimizing digital marketing strategy.Chance to see ideas put into practice in a real-life business arena. Chance to apply what student has learned and/or is learning in school.Feedback and open communication with owners and other team members throughout process.Invitations to join in on networking events.Stellar letters of recommendation from owners upon successful completion.Potential full time job offer after 3 months.",0,1,1,Part-time,Internship,Associate Degree,Marketing and Advertising,Marketing,0
5234,DevOps Engineer,"US, CA, Mountain View",,,"Come be a part of one of the fastest growing, well-funded and exciting startups in Silicon Valley.  Peel makes the worlds leading smart remote app which turns your mobile device into a universal remote control. Our technology is preloaded on many of the worlds top-selling Android devices.  Peels audience is at 60 million and doubling every six months with 3 billion+ remote commands handled every month.   Help us revolutionize the TV viewing experience and achieve our goal of becoming the universal controller for the internet of things.Peel is driven by design and a passion for developing brilliant user experiences. We simplify complex problems, then surprise and delight. Prior to starting at Peel, members of the team helped create some of the best products available today, including iTunes, iPhoto, Netflix, Roku, Avid, and Final Cut Pro. We're a fun company that works hard, but understands the value of well balanced professional and personal lives. Away from work, we raise wonderful young families, race cars, sail boats, play instruments, and go on adventures in outer space… Well, maybe we all just dream about that last one… On the job, we come in charged and focussed on changing the industry, forever. We want to work with like-minded creative and talented people. If you want to change the world and the face of entertainment, we want to hear from you!","We are looking for a DevOps engineer to work with engineering and QA to bring full automation to all aspects of building, testing, deploying, and monitoring our software.Responsibilities… Manage continuous integration and delivery process.Manage production release engineering.Manage our source control systems.Manage common development tools, e.g., wiki, issue tracking, etc.Manage monitoring of all environments.Manage policies and tools for logging.Manage AWS resources to minimize AWS costs. ","Desired skills…5+ years of industry experience.Experience with AWS automation tools like CloudFormation, OpsWorks, AWS CLIExperience with build automation tools like Jenkins, Bamboo, CruiseControl, etc.Experience with build tools like ANT, Maven, etc.Experience with managing source control systems like Subversion, Git, etc.Experience with managing issue tracking tools like JIRA, YouTrack, FogBugz, etc.Experience with test automation tools like SoapUI, JMeter, etc.Experience with logging tools like Splunk, SumoLogic, etc.Experience with APM tools like NewRelic, AppDynamics, CloudWatch, etc.Experience with monitoring tools like Pingdom, StatusCake, etc.Excellent written and verbal communication skills with ability to communicate technical issues to nontechnical and technical audiences.Ability to work collaboratively with remote teams. Personal requirements…Establish and maintain positive working relationships with others, both internally and externally, to achieve the goals of the organization.Work cooperatively and effectively with others to set goals, resolve problems, and make decisions that enhance organizational effectiveness.Work in a fast paced, dynamic and demanding high stakes environment.","Competitive base salaryStock OptionsFull benefits (medical, dental, vision)Easy walking distance from CaltrainFree Caltrain passesFree parkingFree gourmet lunch WednesdaysClose proximity to downtown Mountain View eateriesOn-site massageLife insurance401KOn-site snacks/beverages/gourmet coffeeOpen dog policyPing Pong, etc.",0,1,0,Full-time,Mid-Senior level,,,,0
13677,Mechanical Engineer,"US, MN, Minneapolis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Mechanical Engineer:SKILLS* Basic Concepts of MECHANICAL1. BASICS OF PRODUCTION2. ANALYTICAL SKILLS3. ABLE TO HANDLE THE WORK PRESSUREADVANTAGES:-1. OPPORTUNITY TO JOIN THE FASTEST GROWING ORGANIZATION.2. OPPORTUNITY TO LEARN WHILE YOU EARN.3. LUCRATIVE PACKAGESQualification:BE/BTECH (MECHANICAL) Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ,,,0,0,0,Full-time,,,,,0
6797,Sr Android Developer,"US, NY, New York City",Information Technology,,,"Sr Android Developer Jobs in New York CityJob Description- Job Type: Full-Time Permanent position- Job Role: Senior Android Developer- Job Location: New York City, New York- Job Experience: 5 - 10 Years","- Eligibility:   * EAD / US Citizens / Green Card Holders, currently residing in US only   * No visa candidates considered- Education: Bachelor's Degree- Major Skill-sets:   * 5 years of professional coding experience  * Passion for Android technology   * Minimum 2yrs dedicated to Android development  * Strong knowledge on Android tools and libraries  * Good track record of shipping Android applications  * Worked on collecting Metrics from mobiles  * Interest to learn new languages, frameworks and techniques- Good-to-have skills:  * Augmented Reality / Machine Vision / Gesture / Voice Recognition  * C++ / NDK / GNU/Linux programming  * Agile/Scrum methodologies  * Capturing &amp; utilizing Sensor Data",- Salary: Competitive Pay Package!,0,0,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
8371,Community Support Specialist (PT),"US, IA, Waterloo",Human Services,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","The Community Support Specialist (CSS), working under the supervision of the Lead Community Support Specialist, provides community independent living services to the deaf, deaf-blind and hard of hearing individuals with developmental disabilities or mental illness. Other responsibilities include working with a team of service providers in the identification and provision of community based support and education services in accordance to consumers individualized service plan.  This is a part-time position.High regard and habit in support of consumer confidentiality measures to keep participant information secure to build participant trust.Effective people-relations skills to provide services that are non-judgmental, supportive and comfortable for program participants.Familiarity with local, state, and national resources for deaf, deaf-blind and the hearing loss population.Familiarity with deaf culture, mental health and developmental disability services, independent living and employment needs for deaf, deaf-blind, speech-impaired and hard of hearing individuals.Favorable competencies in essential job-specific skills: team work, supervision, public speaking, accurate knowledge of subject matter, organization of program records and resources, and networking.","A minimum of a High School diploma or equivalent.Experience with Deaf, Hard of Hearing and Speech Impaired customers required.Must be able to work flexible hours including nights and weekends.Fluency in American Sign Language and other communication strategies for communicating with the non-signing hearing loss populations.Bachelors degree in social service field preferred.At least three years past experience in providing community based human services for deaf and hard of hearing individuals preferred.Ability to work in a bilingual-bicultural team environment.Ability to use Microsoft Office software and develop power point presentations.","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career page.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, or national origin, including individuals with a disability and protected veterans.",0,1,1,Part-time,Associate,High School or equivalent,Individual & Family Services,Other,0
12882,Outside Sales Professional-Kalamazoo,"US, MI, Kalamazoo",,,"ABC Supply Co., Inc. is the nations largest wholesale distributor of roofing and one of the largest distributors of siding, windows, and other exterior building products. Since our start in 1982, we've grown to become a national organization with over 450 locations in 45 states. Our mission is to be the biggest, best, and easiest service company distributing exterior building products. We fulfill that mission by stocking the brands and products contractors need at competitive prices, operating a state-of-the-art delivery system, and actively listening to our customers. We know that we will only be successful when our customers are successful. Thats why we make good on our promises every day.","As an Outside Sales Representative, you must have excellent sales talents as well as the willingness to learn the ABC Supply sales approach. It will also be very helpful to your role as an Outside Sales Representative if you have existing knowledge of exterior building product lines such as siding, roofing, and windows.  This will not only to lend credibility to your sales presentations, but also to assist the Branch Manager in the selection of new product lines. It is also vital for your role as an Outside Sales Representative that you have empathy for your customers and their needs, and always provide them with the best possible service.","Track Record of Sales Success  B2B or B2CNo minimum experience required, but specific industry knowledge is strongly preferredFamiliarity with product lines and the overall building contractor process is strongly preferredPast Experience in a production-measured environment, highly desiredValid drivers license, clean driving record, and own reliable vehicleExceptional verbal and written communication, interpersonal and public speaking skillsProfessional appearance and demeanorSuperior time-management and planning skillsExcellent attention to detail and organizational skillsPositive, team-oriented attitudeSelf MotivatedHigh school diploma or equivalent; college degree preferredStable job historyWillingness to travel within territory, as neededAutomobile and Insurances to company standards","As an Outside Sales Representative, you will receive paid sales training, which will include professional development sessions with veteran ABC sales representatives! You will also receive consistent product training, particularly when we adopt new products and product lines. You will find there is plenty of opportunity for growth as an Outside Sales Representative, both within the role itself, and possibilities in Management. We value your hard work and professional dedication as an Outside Sales Representative, and will reward you with an excellent compensation package. We have a very competitive commission-based pay structure, and you will continue to receive commission on your established accounts with every purchase they make. You will also receive a comprehensive benefits package!",0,1,0,,,,,,0
15521,Medical Receptionist/ Ambassador (Jacksonville),"US, FL, Jacksonville",,,"MedTalent is a modern staffing company that specializes in the placement of physicians, nurses and healthcare professionals across the United States. For job updates follow us on Twitter and Facebook ","The Front Desk Receptionist/Medical Ambassador plays a key role in the success of our company. They are the first person the patients sees when they enter the clinic. You will be responsible for greeting the patient and quickly checking them in for medical attention. You will help the patient feel comfortable and attend to their needs. You will be a team player, helping to create a culture of collaboration, cooperation and passion to help our patients. You will learn and adhere to all the clinic protocols, procedures and safety policies while identifying new ways to improve patient care and facility operations. ResponsibilitiesLearn and adhere to all Crucial Care valuesCommunicate in a compassionate and empathetic manner with patientsGreet patients, assess their needs and check them in quicklyEnter information into the systems and create a medical chart for patientAttend to patient and their needs (ambassador) every 30 minutes while in the clinicLiaison with outside medical facilities and specialist for the medical teams requested needs to care for the patient, such as obtaining old medical records and reaching out to other physicians by telephoneSet up patient admissions to the hospital while also arranging medical transportCompletion of daily tasks and checklist in a timely mannerAnswering telephone callsCall previous patients (callbacks) to access the patient experience while in clinicAssist the team in the maintenance care of the clinicLearn and adhere to all protocols in the clinic for both patient care and facility operationsLearn and master all computer systems in the facility","QualificationsKnowledge of medical filing systems (preferred)Efficient, well organized, and able to handle a variety of duties simultaneouslyExcellent verbal and written skillsKnowledge of Microsoft OfficeHigh school diploma or equivalent (preferred)2 years customer service or receptionist experience (preferred)Highly organized with attention to detail Work Hours3 days a week (10 hour days) plus every second weekend. This equals 37.5 hours every two weeks.",,0,1,1,Full-time,Associate,High School or equivalent,Hospital & Health Care,Administrative,0
9432,English Teacher Abroad ,"US, GA, Macon",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
234,Web Support Specialist,"GB, LND, London",,30000-40000,"Stack Exchange is a fast-growing network of over 100 question and answer sites on diverse topics from computer programming to photography and gaming. Our primary Q&amp;A site is Stack Overflow, the world's largest online community of computer programmers. Stack Overflow hosts a hiring platform; Stack Overflow Careers which helps users connect with top employers all around the world to find new programming opportunities.Why work here?Simple, were a group of people who are trying to make the internet a better place. We are building an incredible team and we work hard to make sure every new hire is the best fit possible.You should work in the best environment, with the smartest people, doing challenging and different things everyday. You'll be happiest if you receive stock options, free lunches, and top-notch benefits, so we'll give you those things too. In fact, we'll give you whatever you need to get your job done.","We are:Stack Exchange is a fast-growing network of over 100 question and answer sites on diverse topics from computer programming to photography and gaming.What you'll be doing:Youll be working on Stack Overflow Careers 2.0, which is part of Stack Exchange. Careers aim to help great companies find great developers, and vice versa, through job listings and a searchable database of developer profiles. As a company we believe in giving you what you need for you do your job, and then letting you do your job.The Web Support Specialist is the go-to person / fixer for our customers and our sales team, assisting our customers as they use our products, and our salespeople as they use our internal tools. Ultimately youll ensure that both groups can do what they need to do as quickly and efficiently as possible.You will the eyes and ears in our London office for our Support and Product teams. We need somebody who is an effective troubleshooter with excellent relationship building skills as you will be identifying pain points, trends and issues and more importantly resolving these. Being able to work proactively and autonomously is vital.The type of activities that you will be responsible for include:Troubleshooting product issuesHelping people resetting their passwordsMerging accountsOffering impromptu tech supportCompiling stats for the sales teamResponding to emails from clients who are having troublePassing new leads on to the sales teamKeeping an eye on our feedback siteMonitoring the overall quality of listings on our site, and giving advice to our clients and sales team as to how to improve themTraining the sales team on new features and hosting bi-weekly Q&amp;A sessionsA lot of this will involve a certain degree of sleuthing, as people dont always use products as intended, and products dont always do what theyre supposed to do. In those cases it will be your job to figure out what might be going on, and either fix it yourself, or reach out to the right people to do so.","What you'll need to have:Experience in a customer facing technical support roleSolid understanding of how the Internet works, and the ability to describe the technologies that comprise the Internet (knowledge of how URLs work, how DNS systems work, etc.)Genuine curiosity about technical concepts, and the ability to pick them up quickly and easilyExperience debugging web applications; experience with bug tracking systemsExcellent command of written and spoken EnglishAbility to speak the language of technology, and the ability to methodically help our non-technical customers troubleshoot technical issuesFriendliness, patience, diplomacy, and adaptabilitySmart &amp; Gets Things Done (of course)What we'd like you to have (but this part is not essential)Database querying (SQL) experienceUnderstanding / interest in / use of #URL_3415749237607a1843cebc0df646e8900eb1f91a3c82d8de8d35b40f8242f32a#Awareness and understanding of #URL_b222a7c39ab81325ce12faa128c435b00471a71317001d8862a6d30916bc233e#Experience working in conjunction with software engineers in the workplaceSalesforce experience desirable however not essentialUnderstanding/interest in web development","What we'll we give you in return:Our office is based in Old Street, London. On top of an excellent basic salary you'll get 25 days of holidays and have free catered lunch everyday. To burn off all those extra calories we also offer gym membership reimbursement up to £100 a month. We have ridiculous work stations (height adjustable desks, ergonomic chairs and incredibly powerful machines with monitors bigger than your TV). Our fridge is always fully stocked with drinks and snacks. We love our coffee and have a professional barista espresso machine. We also have a game room with Xbox 360 and a Ping-Pong table.",0,1,0,Full-time,Associate,Bachelor's Degree,Internet,Information Technology,0
15527,Senior Software Engineer - NATs Focus,"US, CA, San Francisco",,,"From the lands of Can Do, Roll Our Sleeves Up and Get It Done, we create and solve things previously unimaginable. Were revolutionizing the IT industry with a platform that provides unprecedented control over all service layers in an organization. We also transform the way people experience enterprise software — theyll accomplish more each day and enjoy using our platform as part of their daily lives. This sounds massively ambitious and tough because it is. Were a small team that doesnt shy away from hard problems and we need more big thinkers and self-starters like you. If you want to be at the forefront of innovation, join us at Apcera and shine.","CALLING ALL SMART, SAVVY, AND PERSONABLE ENGINEERS AT THE TOP OF THEIR GAME TO HELP US BUILD THE PLATFORM OF THE FUTURE.Apcera is completely re-imagining application infrastructure and deployment for large-scale systems and big data processing. Our vision is to bring the best features of the public cloud deployment model to an entirely new audience. By blurring the lines between Infrastructure-as-a-Service and Platform-as-a-Service, all while keeping policy as a first-class citizen, we provide a highly valuable product for real, paying customers.At Apcera, we dont shy away from solving hard problems, while being mindful to avoid “not invented here” syndrome. We write most of our code in Go, but we strive to choose the best tool for each job. We dip into C and other languages from time to time. Our team of veterans includes alumni of Google, Twitter, Square, Microsoft, VMware and TIBCO.We wanted best-of-breed HTTP routing, so we implemented dynamic configuration directly into NGINX in C allowing routing updates—without dropping connectionsOur components communicate using gnatsd, our Go implementation of NATS, a high-performance distributed pub-sub messaging server with a serious pedigreeWe love open source, and we use Github to collaborate and host our code (both public and private). For example:In January 2013, we co-sponsored the development of WebSocket support in NGINXIn October 2013, we open-sourced gnatsdCome talk with us to find out what other challenging technical problems we're solving. We would love your help to get better, faster.","WE WANT TO WORK WITH PEOPLE WHO:Have a minimum of 5 years as a senior-level software engineerHave experience with open-source projects and a track record of contributions to open-source communitiesKnow, understand, and are interested in both Docker and OpenStack projectsTake pride in their code and appreciate feedback, discussion, and iterationValue testing and know that 100% coverage is not the goalGet a warm and fuzzy feeling from Postels LawWrite code in several languages, and have switched their main language at least once in their careerCan describe the difference between concurrency and parallelismLike to both learn from and mentor their colleaguesHave experience running a large service and distributed system","Competitive salary &amp; equity100% premium-paid medical, dental, vision, and life insuranceFlexible vacation policyGreat location in the heart of SOMA SFWorkstation setup of your choiceFree weekly gourmet lunchesEndless snacks and beverages of your choiceTeam and family events and excursionsGame room",0,1,1,Full-time,Mid-Senior level,,Computer Software,Engineering,0
7221,Junior Interactive Designer,"GR, , ATHENS",CR,,"#Think Big. Stay SmallIn 2010 a Small group of open minded, free spirited  advertising, marketing and design professionals, whose dreams were too Big for the jobs they already had, created  the agency they always wanted to work for. That was the beginning of Digitall. The coffee mugs got Bigger and Bigger and the coffee breaks Smaller and Smaller but after 3 years they had succeeded in proving that forward thinking digital design and carefully planned marketing strategies, can effectively coincide.#FlexibilityEvolution, as any mammal who outlived the dinosaurs would tell you, is about adapting to new and challenging situations. Digital communication and marketing is a growing industry where trends, mediums and technical capabilities change faster than you can say “hey, is that a meteor coming towards us?”.  Adapting is about maintaining a high level of technical know-how, incorporating new features and technologies as well as being on the look out for new trends and consumer habits.#Clients that have trusted us:Beiersdorf Hellas (Nivea, Nivea Men, Liposan), Intersport S.A., Adidas, Bershka Greece, Nickelodeon Greece, MTV Greece, Benrubi SA, MSN Greece, Pink Sands.#Awards:Ermis awards 2013: Ermis Gold - NFM Manager FB App , Ermis Bronze: #URL_46021cd7eadca1a73fba7f81c9ca20e7971dd4844d3ad0b4206cfa254a6912ce# Social media awards 2013: Silver: Liposan Greece FB Page, Bronze: Nivea Greece FB PageMore info:  #URL_f138a5d911a8b62498df1a2b0a4b6aba42c16ffcd7d50f38b1fb03a0bb4121b5#Send your CV: j#EMAIL_68e68682eb2e20c832c111797080f6d3a82abc8e758fecd5b4d23f8537fca8bd#","Digitall looking for a full time Interactive/web Designer who possesses a passion for design,  a superb aesthetic sense and an exceptional eye for detail.","Visual communication studiesAn online portfolioGood understanding of design concepts, composition, typography, trends.Great knowledge of Photoshop, illustratorKnowledge of Adobe Flashwelcomed skills:Flash AS2/AS3 KnowledgeAdobe After EffectsIllustration skillsHTML, CSS3, JavaScriptknowledge of responsive design, facebook canvasApply for this job( or send us your CV to #EMAIL_9c7e2398e91b041e94ec436129409631516c4870edba7ec0eea3619bd311b583# , with the subject line  “Junior Interactive Designer ” )",,0,1,1,Full-time,,,,,0
13271,Technology Systems Engineer,"US, MO, St. Louis",Technology/IT,,"Ready to let your star shine? Discover the career youve been dreaming about at AvaStars where… The Star Inside is YOU! At AvaStars, were dedicated to making dreams come true and creating entertainment retail that is highly personalized and aspirational. Were currently looking for “A” players to capitalize on this once in a lifetime opportunity. Our senior leadership team is known for the success of Mattel, Pokemon, Build-A-Bear Workshop, LeapFrog and Waterbabies. Join us by getting in on the “ground floor” in the development and implementation of the “next big thing” destined to change the face of retail and the way children play. AvaStars is an equal opportunity employer committed to providing a diverse environment.#URL_77edd0eb5baaf205d0de93d32fc2471370b249e83cdfaacc8c0a5f00c51b8e15#","The Technology Systems Engineer is responsible for helping design, stage, and Deploy software and hardware technology for both Retail Interactive Kiosks and corporate business infrastructure. Duties IncludeAssisting in the planning, preparing/stating, building, shipping, installation and support of Retail Interactive Kiosks.Engineering and Administration of IT/Technology systems, including hard disk image preparation, cloning, patching/updating, and configuring PCs/Devices and infrastructureContinuous learning by becoming highly knowledgeable about all of our entire infrastructure both local and in the cloudWillingness to travel up to 50%Will to perform duties beyond what is prescribed in your duties as neededWork with external partners to develop and administer IT/Technology systemsPerforms all help desk related activities to include:2nd Tier support for technology related questions/issuesAnalyzing, troubleshooting, and repairing desktops, servers and network devicesEntering and resolve “Service Desk” trouble ticketsProviding 1st Tier Store Support as neededCapture and create Knowledge Base infomrmationCataloging all IT Assets, agreements, etc…Ability to lift up to 70 lbs. Proficient in documenting work proceduresExcellent problem-solving, inter-personal, presentation and telephone skillsAbility to be self-sufficient and self-motivatedTraining - schedules and/or assists in the development/delivery of training in the use of standard hardware and software for our store ambassadors.","Specialized Experience, Education, Training, or Qualifications3+ Years of IT/Technology technical experienceSmall projects management experienceMicrosoft Word, Excel, Powerpoint or equivalent communication toolsExperience installing, updating, customizing windows operating systems.  Powershell or scripting experience a plus.IP Networking / Routing experience ",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
14418,Janitor (Independent Contractor),"US, CA, Pasadena",,1920-2000,"Convalescent Aid Society (CAS) is a non-profit organization dedicated to improving the quality of life for residents of the San Gabriel Valley, Burbank, and Glendale, through the free loan of durable medical equipment for in-home use. For more information visit #URL_052430d7d79b22dcdca1a921844d47fd7d0c5b5647f0e67aa73acbd10f75da9c#","JANITORIAL SERVICES NEEDED ONCE A WEEK FOR A SMALL NON PROFIT ORGANIZATION. Services to be performed by Independent Contractor include; general office cleaning.Responsibilities* Clean and maintain restrooms * Sweep, mop and vacuum floors. * Dust interior surfaces * Clean and maintain kitchens/employee break rooms. * Empty inside and outside trash. * Wash interior and exterior windows and doors. ",Reliable TransportationVerifiable References ,This position pays $320.00 per month. ,0,1,0,Other,Not Applicable,Unspecified,Nonprofit Organization Management,Other,0
15228,Delivery Drivers,"US, TX, Austin",,,,"Eat Out In is a well established, fast paced, unique restaurant delivery service looking to hire waiters on wheels to deliver food for Austins best restaurants. Transport Food and beverages in the comfort of your own vehicle. Flexible schedules. Delivery Drivers average $12-$15 an hour between delivery pay and tips. We deliver food from 40 different restaurants. Lots of different locations. Drivers can pick which side of town to deliver food in. We are looking for friendly people who understand good customer service. We are locally owned and been in business for over 28 years. Must be 21 yrs oldHave your own vehicle with insurancePlease send me your resume, if you are interested - thank you!",,,0,0,0,,,,Restaurants,,0
8647,Customer Service Associate - On Call,"US, FL, Tallahassee",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Tallahassee, FL. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Operating print, copy or scanning equipmentPerform file purges and pullsLift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Handle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billing","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Excellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Part-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
17694,Appointment setter,"US, IL, Chicago",,,Looking for adventurous people to join a thriving industry.  We offer training and competitive earnings.  Find out why imports are the way to go and view our cars at our website.,The desired candidate will work in a fast paced office with many calls to answer and people to greet.  Each day you will review all contacts from our advertisements and set appointments for our customers to come in and let us know what car they are interested in.This is a 6 day a week job but we have flexible schedules. Earnings up to $500 a week by contract.,Must have computer abilitymust have a great attitudemust be very motivated and teachable.,profit sharingbonus,0,0,0,Contract,Entry level,Unspecified,Automotive,Customer Service,1
16735,Sales and Marketing,"GR, I, Athens",,,"Mageca is the first appstore for gesture controlled sensors ( kinect , leap motion , myo etc) . We want to bring the magic of touch , vision , voice and gesture recognition into our everyday life.We are a Greek lean startup ( we like to say we are an EL-LEAN startup) and we are looking for people that like to learn and adapt constantly, that are working hard while they are having some serious fun.","Mageca is a super cool el-lean startup about to disrupt the HCI industry. We have created the first ever appstore for all kind of gesture controlled apps, games and more!We are looking for a brilliant new team member that will be responsible for sales and marketing.Responsibilities:Assist with the development of new business and customer acquisition.Identify key trends and prospects. Monitor the top event boards to compile organized list of potential contacts for email campaigns.Copy writing.Video reviews.Work Cross-Functionally to Accomplish Goals",Desired Skills &amp; ExperienceExcellent organization and time management skillsAttention to detailStrong online researching skillsAbility to thrive in an evolving and independent environmentStrong Communication &amp; Presentation SkillsTerrific Attitude &amp; Passion Experience in the HCI industry a plus* (optional),Fun environment10.000 to 14.000 annually0.5% to 1.5% equity,0,1,0,,,,,,0
2102,"Technical Product Owner ""Data""","DE, BE, Berlin",Engineering,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","We are looking for a Technical Product Owner for ""Data"" (f/m, full-time) to start immediately in our office in Berlin-Kreuzberg, Germany.With millions of regular users and more than 7000 hours of premium content, #URL_8e4e9897aecb1980ec96e296864343a4402263bc440bec39377381446da44dc8# is growing fast while shaping the future of learning.Responsibilities:help define and implementing the overall data strategy, data architecture, data standards and conceptshelp define the optimal technology stack, evaluate options and drive make or buy decisionshands-on management of data related projects incl. our next generation data warehouse as a product ownerwork closely together with the engineering data team in agile methodoloy to deliver results fastmanage internal stakeholders (Finance, Marketing, Product, Didactics, Management) and their requirementsdeliver practical data solutions including technology, process setup and organisational adjustments from idea to productive and support them through their livecylce ensure data quality and validity of data","Requirements:&gt;3 years experience in data relevant fieldPractical, outcome focused delivery person - you are used to bringing critical tools to live for othersanalytical mindset, strong teamplayer, can do attitudetechnical project management experience ideally also as Product Ownergood experience in requirements &amp; stakeholder managementAgile experience (Scrum, Kanban)OPTIONAL: experience in building reporting systems, trackings and DWH for internet companies at scaleOPTIONAL: Experience in cloud-based environments (AWS)OPTIONAL:  Know-how in mobile campaign tracking","Tackle data intense challenges with a cloud-based setup and latest cutting-edge technologies The potential to change the way of learning for millions of users worldwideResponsibility from day one and professional and personal growthPotential within a fast growing teamParticipate in hackdays, technology brown-bagsA lively startup atmosphere with friendly working hoursLong-term prospectsA vibrant international team from over 20 different nationalitiesExcellent working conditions with a location in the heart of the Berlin sceneGreat company benefits (High-End company bike, fresh fruits &amp; drinks, Hackathons etc.)Check out our jobs page, our blog and our techblog to get an impression of how we work at Babbel! ",0,1,1,Full-time,Mid-Senior level,Master's Degree,E-Learning,Engineering,0
4439,Account Executive ,"US, CA, Burbank",Connectivity,,"StartupTAP is not a conventional recruiting agency.  We're true Talent Acquisition Partners.We stand behind the belief that talent is the magical ingredient that fuels innovative ideas and builds successful startups. A great idea can only go so far without the right mix of talented, driven people working vigorously toward the same goals. We dont just provide resumes and candidates; well be your Talent Acquisition Partners in crime, doing all the dirty work to find those rare gems, the people that have that unique blend of talent, drive and passion that will bring fresh energy, ability and ideas to your team. So, while youre busy changing the world, let us be your Talent Acquisition Partners, its what we do best.","THE COMPANY: CONNECTIVITY (#URL_1fddf30a8cda1e29d42a2add75f99d2c0cbda4e798c9de439800ef27d307e955#)Connectivity provides customer intelligence solutions for businesses. Our all-in-one SaaS platform ties in all aspects of directory and social media technology to allow businesses to identify their best customers, understand customer opinions and recognize their competition. The platform helps businesses get their businesses noticed, identify customer segments, areas of concentration and future growth opportunities. To learn more about us, please visit #URL_1fddf30a8cda1e29d42a2add75f99d2c0cbda4e798c9de439800ef27d307e955#.Proven leadership team with extensive startup experience.Currently has 92,000+ paying customers on their SaaS platform and growing.Raised $6.35M in a Series A funding round led by proven venture capital investors and angels including:Proven venture capital firms, Greycroft Partners (#URL_e92f987773d20ba70d8539741ac1f9e142610a3a12331737e85f0700395bbc90#) Rincon Ventures (#URL_f7f868790176237852cc0f3074e41e211c3d7742082c0e8a4621269786a55a65#) Daher Capital (#URL_f31cd231df8a07ac7db3f10f35e02185990af8490ab3102628cd870d073671b8#) Double M Partners (#URL_a6f4784a8d2cf3465d52ecd2c7bbe998421c215299e46ae85c16d4375d11c289#)TenOneTen Ventures (#URL_10907ca068f4b651c315af4a1cc391400769b3ebd69cd834f8c3974aa67e39f7#) Eytan Elbaz (#URL_affdeedbfaf2b202ec2d696d87161f266be36f3da8ecf80dd67cdac1f4ba9738#) Team of 26+ professionals with plans to grow to 60+ by end of year.THE OPPORTUNITY: ACCOUNT EXECUTIVEConnectivity is looking for a driven and high energy Account Executive with strong knowledge and experience selling software as a service (SaaS) technology platforms. The ideal candidate is someone with a background in software sales with strong experience selling into both Enterprise and Agency clientele. This person must also be an expert at B2B prospecting, lead qualification, closing, have a proven track record of over-achieving quotas, and be excited to join an early stage company with solid traction that is looking to scale quickly. Specifically…Have the ability to build and maintain positive and productive relationships with key decision makersDrive the entire sales process: targeting top prospects, identifying solutions, conducting software demonstrations, negotiating and closingSuperb interpersonal communications skills, outgoing personality, and strong presentation skills Maintain an aggressive sales pipeline with weekly reports to sales management Develop a strong product competency and industry knowledge related to market needs, domain knowledge and the competitive landscape Integrate industry knowledge into sales strategies related to general trends, emerging technologies and competitorsConsistently implement and execute a consultative, solution selling methodology","REQUIREMENTS/QUALIFICATIONS/ATTRIBUTESEDUCATION: BA/BS preferred or equivalent experienceEXPERIENCE: 3-5 years experience selling SaaS or hosted software, enterprise software, telecommunications solutions to large enterprise or agency accountsStrong customer orientation  promoting customer satisfaction, resolution and loyalty Experience with #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# requiredStrong prospecting/cold calling, solution sales and rapport building skills Must have a history of meeting or exceeding sales quotasHighly motivated, driven and ambitious to drive salesForward thinker with the ability to creatively navigate and manage multiple tasks at onceEnergetic and a great team playerAbove all, ethical, fair and of high integrity","WHY CONNECTIVITY? Competitive salary + very generous benefits + equity/upside.You will join a very well-funded, profitable startup that is building industry leading customer insight and intelligence technology.Because we are a fun, fast-paced, entrepreneurial company with that environment that empowers you to drive results.Brand new 14,000 sq. ft. office in downtown Burbank.Enjoy catered lunches, daily!",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Sales,0
9193,Contracts & Compliance Manager,"US, TX, Austin",Legal,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","CSD's Contracts and Compliance Manager oversees contractual compliance, maintenance and archiving related to CSD's business, client, agency, vendor, independent contractor and other agreements, procurement procedures and quality assurance documentation, and compliance programs. The main purpose of the job is to support the document management of CSD's contractual obligations and requirements.  No legal work, including interpreting and analysis of contracts, will be performed without the direct supervision of an authorized attorney with an active law license.","Minimum of a Bachelor's degree in related field or equivalent work experience required.At least 4 years administrative or executive assistant experience, including extensive business writing.Experience in direct contract compliance or document quality assurance capacity.Contract Paralegal certification and/or similar specialty a big plus.Advanced contract review, editing and business writing skills.Strong business acumen and knowledge of procurement agreements and customer quality assurance and service-level compliance standards and procedures.  Advanced knowledge of PC and Microsoft Office and secure document applications.Knowledge of enterprise-wide document management systems strongly preferred.Proficiency in communicating in American Sign Language.","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career's page.Communication Service for the Deaf, Inc. is and Equal Opportunity Affirmative Action Employer and drug fee and tobacco free workplace.",0,1,1,,,,,Legal,0
11503,CV2 4GA Customer Service Apprenticeship under NAS 16-24 year olds only!,"GB, , Coventry",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Perfect role for school leavers.This is a fantastic opportunity for someone wanting to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position. You will be working for a online store and the role will involve: - Answering the telephone- Communicating with customers- Managing communications via emailIdeal candidates will be a good team player who is willing to learn.If you are good with answering the phone, focused, have good use of the internet and applications, then please send your CV to #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee#",Government funding is only available for 16-18 year olds,Future Proscpects,0,1,0,Full-time,Internship,High School or equivalent,,Customer Service,0
13313,Marketing & Communications Intern,"SG, 01, ",Marketing,,"If working in a cubical seems like your idea of hell then joining our awesome startup team might be the opportunity youve been waiting for.Come join the TradeGecko team, were a Singapore head-quartered company, were ventured backed and were growing fast.Our team comes from all corners of the globe, the founders are Kiwis, we've got Singaporeans, Dutch, Filipino, Australians, Argentinians, the list goes on.If you think you have what it takes and can handle our group of talented, hardworking, sarcastic miscreants, then apply now … right now!","Recognized as one of the regions hottest startups, TradeGeckos a venture-backed company dedicated to consigning boring business software to history, through the redefinition from the ground-up of supply chain operations in the B2B world  all while making our customers more awesome.We currently have a multi-cultural team of nearly 40 tackling a global customer base in over 90 countries, and are seeking even more talented individuals to join our fast-growing team on our rapidly developing journey.Regretting that Marketing degree you signed up for years ago when you had no idea what you wanted to do with your life? Perhaps you know you want a career in Marketing, be it Inbound, Outbound, SEO, SEM, Digital, Content, or even PR and Communications  but you have no inkling of what you might/would be good at, or even where to start.If youre all or any of the above, but also insanely smart, driven and absolutely driven to make something of your career  we want you.In this role, you will focus on a wide area of responsibilities stretching across the Marketing teams, of which well like you to ultimately find a niche within.These areas might include but are not limited to: Content Marketing: Landing pages, blog posts, eBooks etcPartnerships: Strategic partnerships with external partners/companiesEvents: Growing scope of both in-house led and external-partnered events/conferences/exhibitions etcDigital: Assisting with the execution and management of our social media profilesPR &amp; Communications: Assisting with media relations etc ","You should possess qualities including: Preferably, a degree in Marketing/Communications/Journalism/Public Relations etcA desire to exponentially learn, grow and develop in an in-house, startup environment - FASTOutstanding inter-personal skills, including the ability to work independently but still prosper within a team-based environment","Join us, if you want to experience an unconventional working environment in one of the region's fastest growing startups.We will provide you with a plethora of top-notch marketing tools (HubSpot, Periscope, Totango etc) to help you achieve your goals - and far beyond that.",0,1,0,Full-time,Internship,Bachelor's Degree,Marketing and Advertising,Marketing,0
17367,Human Resources Consultant ,"US, TX, Dallas",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","This position is responsible for providing HR leadership and support to all Novitex Enterprise Solutions employees and managers within an assigned geographical area.  This role is expected to support a minimum of 800 employees. The HR Consultant provides consultation to managers and employees in the areas of Employee Relations, Change Management, Corporate HR initiatives, RIFs/downsizing activity, Conflict Management, Talent Development, Performance Management, etc.  The incumbent may participate in the sales process by partnering with operations and sales in delivering sales presentations for add-on business, renewal of the contract and responding to other HR-related contractual information.  Business Unit Responsibility:The HR Consultant will have responsibility for interacting with senior field leaders, managers and employees in all site field operations.AccountabilitiesProvide HR consulting, coaching and counseling to managers and employees on complex/high level HR related matters within an assigned geographical area.The HR Consultant will lead regional project teams on an as needed basis.Approve HR related transactions as authorized in HRIS system.Manage, facilitate and deliver site specific and corporate/HR compliance training as required or as directed by Learning and Development.Coordinate corporate initiatives at large field site operations and/or large geographies. This may include leading communication efforts related to policy and program changes in the areas of compensation, benefits and HR policies.Assist  senior field managers with the Leadership Review Process and the implementation of developmental training associated with succession planning.Coach Managers on the use and interpretation of the employee engagement survey and assist with the action planning process. Assist in the implementation of change management strategies and help develop recommendations to enhance organizational effectiveness.    Work with HR Legal on major legal matters, respond to EEOC Charges/Human Rights matters, and participate in mediation hearings and represents Novitex on other HR related Legal matters.Partner with management in analyzing ER issues to determine appropriate and equitable actions.Resolve complex Employee Relations issues including conducting on-site investigations as needed. Investigate and respond to Hot Line complaints. Consult with managers on requests for terminations and provide appropriate documentation to HR Director for review and approval. Update the Region HR Director regarding significant future legal/employee relations concerns.Consults with managers on compensation related issues (e.g. total compensation review, recognition programs and the job evaluation process) and coordinate with corporate compensation as needed.Partner with local business leaders to develop and implement diversity plan goals and objectives that are linked to business plans.  Work with managers to communicate goals and objectives to recruitment team.Provide coaching and counseling on performance management and the corrective action process.May participates in client meetings to enhance customer relations and may be the single point of contact for large client operations.For external customers, may partner, with Operations and Sales to actively participate in the discussions for add-on business, contract renewals and new business sales opportunities.Support all start up activities (site start ups, acquisitions) including but not limited to integrity of organization structure in HRIS, recruiting, on boarding and consulting with external customers. May assist with Workforce Reductions which require face-to-face communication and delivery of severance agreements.Assist managers with identification and development of talent management for future job advancement and promotional opportunities.Perform other related duties similar to the above in scope and function as required.Scope of Responsibility:Provide advice to managers and employees on HR related matters within an assigned geographical area.Requires establishing a good working relationship with key client contacts both internal and external.Experience in conflict resolution and creative problem solvingSupport and deliver corporate initiatives to the field. Up to 40-50% travel may be required (travel includes local and overnight).","Business Experience/Educational BackgroundBachelors degree in human resources and /or equivalent work experience.Strong knowledge of local employment laws, labor relations and regulations.Strong knowledge and experience in HR policies/practices, benefits, compensation and staffing with a minimum of 5-7 years of direct experience.Must demonstrate excellent employee relations and communication skillsSolid experience in driving positive employee relations, to include problem solving and investigative skillsMust possess a solid working knowledge of HR systems and processes American with Disabilities Act RequirementsWhile performing the essential functions of the job, the employee is regularly required to sit, speak clearly, hear and use hands and fingers. The employee is occasionally required to stand, walk, reach with hands or arms, stoop, kneel, crouch or lift and/or move maximum of 10 pounds.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Management Consulting,Human Resources,0
6415,Sales Professional: Web and SaaS Sales,"US, CA, San Diego",,,"PINT is a leader in the web industry with 20 years of web design, software development and consulting experience. PINT's principals are also the founders of two software companies - ZingChart and Port80 Software.We not only build sites and applications for leading organizations in California, the U.S. and worldwide, but help move the industry forward with our books, instruction at universities, and conference participation.PINT's CultureFrom keyboard-bashing coders to creative, caffeine-driven web aficionados, at PINT not a day goes by in which our employees aren't learning, teaching, and continually being technically and professionally challenged.The amount of experience and knowledge your co-workers share is often a crash course in advanced web development and software engineering principles. Conference room walls tiled with post-it note mosaics of user interview questions and printed web dev decoupage throughout the office poise PINT on the bleeding edge...exactly where we want to be.Foodie focused Friday mornings are often highly anticipated and enjoyed among the members of the weekly PINT Breakfast Club.","PINT is seeking a motivated, knowledgeable Software Sales Professional to develop new accounts, grow sales with current clients, and convert inbound leads.  This seasoned Sales Representative should have significant experience selling web products and SaaS solutions to small and medium-sized companies, and a firm grasp of technical nomenclature.   PINT is a leader in the web industry with over 19 years of experience in web design, development and consulting services. We not only develop, maintain and host sites for leading organizations worldwide, we move the industry forward through our production of technical literature, university instruction and conference participation.Competitive applicants will be expected to perform the following:Responsibilities:·      Prospect new accounts and generate new sales.·      Sell and close leads generated from inbound activity.·      Plan and prioritize the sales pipeline to achieve projected goals via time management, productivity and forecasting.·      Create and deliver sales presentations tailored to individual prospects needs.·      Establish and maintain firm relationships with clients, networking groups, professional organizations and the community to foster account development.·      Maintain a thorough working knowledge of all PINTs products and services and those of its related companies (ZingChart and Port80 Software).·      Perform needs analysis, data review and product demonstration as well as other sales activities.·      Perform market research and competitor analysis.","Suitable candidates will possess the following prerequisites:·      Bachelors degree or equivalent experience.·      2 years of B2B sales experience, preferably selling SaaS solutions and web product.·      Experience selling to decision-makers at small and medium-sized companies (Owners, C-Level Executives).·      Experience selling Web and SaaS solutions.·      Proven ability to generate sales activity through networking and marketing.·      Excellent interpersonal and organizational skills, as well as an attention to detail.A technical background is not required for this position, but a desirable candidate will possess a working knowledge of many or all of the following: User and Competitive Research,  Information Architecture (UX/UI), Web Analytics, Social Media and Online Marketing, Web Design Best Practices and Standards, Project Management, QA, User and A/B Testing and Reporting, with a willingness to self-educate in all the above subjects.","PINT offers industry-competitive salaries, health, dental and 401(k) benefits.  PINT is an Equal Opportunity Employer.Follow us on Twitter @PINTSD @pintcareers",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Sales,0
123,English Teacher Abroad ,"CA, ON, Brampton",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
15862,HR Manager,"GB, SOM, Clevedon",,,"Sparkol is a growing software company based outside of Bristol, UK.We specialise in making unique tools that help people get their message across without any design or technical know-how. The Sparkol team comprises talented developers, designers, writers, musicians and support staff.Our main product, VideoScribe, enables our users to create engaging whiteboard-style animations quickly and easily. It's used by thousands of business people, marketers, illustrators, video professionals, teachers and students, as well as regular folk with stories to tell.VideoScribe is available for desktop as well as iPad and Android apps.","At Sparkol, our mission is to help people engage their audience. Our products empower people to communicate more effectively, regardless of their technical ability.Our flagship product, VideoScribe, enables our customers to create their own whiteboard animations quickly and easily.In two years, VideoScribe has gained hundreds of thousands of users in over 135 countries, and our team has more than doubled to 36 people in the past year alone.  If you're full of ideas and excited about helping people to communicate, there could be a place for you at Sparkol.We are seeking a full time HR manager to join our fun loving and hardworking team. You will be happy to be the HR department and provide hands on support across the business as we grow.You will be dynamic, self-confident, with a strong understanding of employment law and be able to represent the HR function as part of the bigger business picture. You must be CIPD qualified or equivalent with plenty of life experience and a global perspective.This is a demanding but enjoyable role with an amazing organisation where no two days will ever be the same.The role:Lead and direct the HR function to deliver a comprehensive HR service to the business to include:Oversee payroll function/health/pension/bonusReward  advise and support employees on company benefitsPolicy &amp; procedures- implementation of new (and update existing) policies, procedures and processesAs well as:Provide full HR support to the Management teamWork with middle managers, coaching them and advising on all people issuesCollate management information and update/maintain reports where requiredEmployee relations - using HR and company knowledge to make appropriate decisionsMeasure employee satisfaction and identify areas that require improvementPerformance management  coaching managers on performance management issues and processesManagement of the full training cycle from identifying learning needs, areas that need attention and improvement, core competencies, design, delivery and evaluation including management development, induction, maintenance of the training skills matrix and coordination of training coursesRecruitment and retention  managing talent and succession planning; taking overall responsibility for recruitment activity, campaigns, producing job descriptions and attending/arranging interviewsOversee the management of work experience placements/graduate schemes/mentoring etc.Coordination of HR communicationsOther relevant duties/project work as required","You will:Be CIPD qualified or equivalentBe an excellent communicator, both verbal and writtenHave an eye for detailBe able to develop and apply HR policies and processes in a way that reflects the company cultureBe able to work under pressure and prioritise effectivelyBe a problem-solver, able to determine the root cause of issues and articulate appropriate solutionsBe technically competent with experience of IT packages such as email and spreadsheets ","24 days holiday per year plus UK bank holidaysHealth cash planIncome protectionLife coverPrivate health coverFree daily lunches delivered by a local restaurantOpportunity for training days and growthChildcare vouchersCharity sponsorship fund availableYour choice of tools so you can do your best workCompetitive SalaryIf this job is for you, please send a copy of your CV and a covering letter to #EMAIL_9ba8d3f7fb3022a068ae9240152b51225b4823962e1b5d8e3f564e1cefc790a2#. To speed up the process please ensure you quote ""REF: HR Manager 11/14"" into the email subject line.We recommend you sign up for a free, 7-day trial of VideoScribe to try it for yourself.No agencies please",0,1,0,Full-time,,,Computer Software,Human Resources,0
5083,Title Insurance: Commercial Title Processor/ Production Assistant,"US, OH, Columbus",,,#URL_e7c9057d5e6f097876436d175031e95669ede4ebaab52b6be0957c837bc98343#,"A large national Title Insurance company is hiring an experienced Commercial Title Production Assistant for their Columbus, OH operations. The ideal candidate will have prior commercial Title Insurance/RE Paralegal experience with title production/searching/processing #URL_96eab7742290da199be0c285d000d1ef9cf5bc9415ead74aff783a614ed1c7a5# position organizes, orders, files, retrieves and updates title materials  specifically title commitments and title policies.Title Insurance Coordinator  must be organized, be able to type, computer literate (MS Office) and familiar with Commercial Real Estate transactions. Appx 50kThis is an excellent opportunity for the right person. Drop us a line if interested!",,,0,1,0,Full-time,Associate,,Financial Services,,0
14471,Java Developer,"US, TX, Frisco",,50000-70000,,"My client: SABRE HOLDINGS, Southlake TX Parameters: Very few. Must complete an assessment from client provided by me. Out of town candidates fine, hiring off resume and phone.  H1B, EAD, GC, AND USC are all acceptable.  Must be able to speak to skills effectively and work in a large team setting. JAVA Position 1- Sr. Java- $58 C2CSenior Java ( 5 years Core Java, Web Services, Oracle and Spring. Front end (GUI, CSS, HTML) and back-end experience. (Oracle) JAVA Position 2- Sr. JavaSenior Java (5 years Java with 60% middle and back-end and 40% front-end. JAVA Position 3- Lead Java Developer Lead Java Developer- Minimum 7 years Java with Web Services, Oracle, Spring. Looking for 50/50%. JAVA Position 4- Front End Java Contributor- Front End Java-3 years in Java, HTML and CSS, Javascript and jquery. Experience in mobile dev. JAVA 5- Sr. Core Java with Agile expSenior Java- (5 years in Core Java with Agile experience preferred.)",,,0,0,0,Contract,Mid-Senior level,Master's Degree,Information Technology and Services,Engineering,0
5494,S32 Business Admin Apprenticeship Under NAS 16-18 Year Olds Only,"GB, SHF, Hathersage",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position. You will be working for a haulage company and the role will involve:-Taking incoming calls-Updating records and databases-Learning to produce quotes and pricing structures on jobs-Liaising between drivers and customers Ideal candidates will be punctual and committed.If you are motivated and career minded please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Administrative,0
9718,VP Product,"US, CA, San Francisco",Product,,,"We are looking a highly experienced and visionary product designer who can lead product at Brewbot who is at home with the hardware, digital and print components of the brand.This person will work closely with the CEO and VP of Engineering and help build this companyThis is an opportunity to lead the future direction of the product.Piece of furniture that brews beer.","A thirst for hiring the best people, and providing an environment in order the help the team thriveA visionary. Always thinking ahead and pushing the boundaries, whilst delivering on short term goalsExperienced in managing and/or working in a wide range of mediums, including print, hardware, mobile and webStellar interpersonal skills. Storytelling. A knack for communicating design concepts and strategies within the team, to other departments and towards customers.Have the ability to make data-driven driven decisions as well as beautiful creationsDeeply empathetic toward users and customers, fascinated by human behavior, and super interested in building community and brandLive and breath awesome products15+ years experience",,0,1,0,Full-time,Director,,Food & Beverages,Design,0
11009,Birmingham Business Admin Apprenticeship Under NAS 16-18 Year Olds Only,,,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then you will kept on in a permanent position.You will be working for a recruitment company and the role will involve:-Candidate resourcing-Data inputting-CV searching-Building relationships-Answering the phoneIdeal candidates will be confident with an excellent phone manner.If you are confident and career motivated please apply now.,16-18 year olds only due to government fundingFull time availability,Career prospects,0,1,1,Full-time,Not Applicable,High School or equivalent,Human Resources,Administrative,0
13236,Entrance Coordinator,"GB, , London",Operations,,"About the CompanyWe are ticketscript - the European market leaders in digital self-ticketing. Whether its massive dance events, festivals, gigs, outdoor cinemas, fairs, theater shows, exhibitions, comedy nights, or award ceremonies - we do them all! We believe in empowering our customers. Their success is our success. So far over 50,000 events have worked with us - and this is only the beginning. We have offices in London, Amsterdam, Antwerp, Berlin and Barcelona and are rapidly expanding across Europe.","About the CompanyWe are ticketscript - the European market leaders in digital self-ticketing. Whether its massive dance events, festivals, gigs, outdoor cinemas, fairs, theater shows, exhibitions, comedy nights, or award ceremonies - we do them all! We believe in empowering our customers. Their success is our success. So far over 50,000 events have worked with us - and this is only the beginning. We have offices in London, Amsterdam, Antwerp, Berlin and Barcelona and are rapidly expanding across Europe. The roleAs an Entrance Coordinator you would have the responsibility of managing the ticket scanning solution for major events in and around the UK. The successful candidate will be based onsite at events and report directly to the Entrance Manager.Responsibilities- Managing a ticket scanning solution for major events. - Installation of a ticket scanning solution on site- Training of entrance staff at events on the scanning of tickets- Guidance and support on location on access/validation procedures- Provide assistance to general public for lost tickets- Working closely with our Entrance Manager and the event organisers themselves- Report to Entrance Manager","Your profileThe basics- Fluent English (written and spoken)- Driving licence is prefered but not a necessitySkills and competences- Organised, efficient and punctual- Have a good affinity with and knowledge of events &amp; entertainment.- Have a strong affinity with hardware including laptops, mobile phones, wireless networks.- Work in fast moving and stressful event environments- Excellent communication skills- Good sense of humour &amp; fun- Geographical awareness","Our offerYou will join an energetic team and will always be on location at events in and around the UK. The job payment is per hour. Job detailsJob type: Part time, hours will vary significantly each week and throughout the year.Job location(s): Always on location at events in and around UK.Job payment: £12 per hour of work, £6 per hour of travelContactIf you are interested in applying for this position please send your CV + motivation to #EMAIL_924a3217a84ce09abfd7f6de61856f03667bb4dbc2b937fa77255a79490e84de# with the subject line “Entrance Coordinator”.",0,1,0,Part-time,,,Events Services,,0
815,Project Manager: Engineer,"US, CA, Bakersfield",Oil & Energy,,"                                                                                 Staffing &amp; Recruiting done right for the Oil &amp; Energy Industry!Represented candidates are automatically granted the following perks: Expert negotiations on your behalf, maximizing your compensation package and implimenting ongoing increases Significant signing bonus by Refined Resources (in addition to any potential signing bonuses our client companies offer)1 Year access to AnyPerk: significant corporate discounts on cell phones, event tickets, house cleaning and everything inbetween.  You'll save thousands on daily expenditures Professional Relocation Services for out of town candidates* All candidates are encouraged to participate in our Referral Bonus Program ranging anywhere from $500 - $1,000 for all successfully hired candidates... referred directly to the Refined Resources teamPlease submit referrals via online Referral FormThank you and we look forward to working with you soon!  [ Click to enlarge Image ]","We are a full-service engineering, project management, design and safety regulatory firm providing a wide array of services to support our client's specific project needs.Essential Duties and Responsibilities:* Develop budget and schedule in alignment with the scope.* Able to understand and comprehend the major process steps involved in the project.* Adequate knowledge in project deliverables like Process Flow Diagram, PID, Area classification drawing, electrical one line drawing, etc.* Able to develop the project execution plan.* Interface with the client and develop rapport.* Request appropriate resources to execute the project work.* Manage the project personnel.* Effective communication skills - with the client and inter discipline personnel within the company.* Project tracking/monitoring - budget and schedule with the help of project control personnel.* Perform engineering calculations to support the project* Follow and implement standard project procedures per ProU Project Procedure Manual* Ability to relate project drawings with field construction.* Soft skills to manage multidiscipline technical staff and promote team spirit to execute the project successfully.* Ability to control the quality of project deliverables.","Qualifications:Managed small to mid-size engineering projects.10 to 15+ years experience as a Mechanical, Chemical, or Process Engineer required.Five+ years experience as a Project Manager/Project Lead required.Oil &amp; Gas Upstream experience involving production surface facilities &amp; treatment (May have gas process plant experience).Familiarity with process industry standards and applicable codes like ASME, API, ANSI, NACE, etc.Must possess a minimum of a Bachelor's Degree in Mechanical or other Engineering field from an accredited university. Experience Preferred:PE registration in California preferred.PMP registration a plus.","What is Offered:* Clean, safe, enjoyable environment to work in with a great company culture that values work/life balance.* Competitive base rate + Incentives + Full Benefits Package + Retirement 401K 100% matched by company.* Relocation Assistance. * Signing Bonus.* Opportunity for Advancement.* Trips, outings, rafting trips, lunches, client appreciation get-togethers, company parties, great people, great culture!",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Oil & Energy,Project Management,1
10260,Lobby Ambassador ,"US, AZ, Phoenix",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Lobby Ambassador will be based in Phoenix, AZ.  The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities include but are not limited to:  Providing reception services, coverage and being the liaison for the scheduling and maintenance of conference duties.We are looking for team players who are proactive and are willing to do “whatever it takes” to get the job doneIdeal candidate has a “can do” attitudeGreet visitors, answer phones, and direct calls appropriatelyBe well spoken, clear and patient with all interactionsRemain calm and professional in stressful situationsFollow documented procedures and other duties as mutually agreedEnforce and handle security compliance standards regarding visitors badgesCoordinate conference room reservations and room preparationMaintain conference room standards as designatedHelp resolve employee and customer concerns/issuesAdministrative services/processing large volume reports/invoices using excel and assisting manager with quarterly business reviewsLift large bundles of mail and make mail deliveriesHandle time-sensitive and confidential materialPerform duties and special requests as assigned by management and clientParticipate in cross-training and be flexible to perform varying roles whenever necessaryBalance workload; provide guidance and direction to teamServe as focal point for communication with customer and company personnelEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careEnsure adherence to business guidelines, safety &amp; security proceduresSupport financial results by minimizing site waste and reworkDressing in professional attirePerform other tasks as assigned","Required Qualifications:High School diploma or equivalent (GED) requiredMinimum of 1 year customer service related experience requiredComputer proficiency in email environments, MS Office, OutlookStrong organizational and administrative skills requiredAbility to communicate both verbally and written with customers and client personnelExperience coordinating and designating work to employeesAbility to excel in a fast-paced, multi-tasking, team environmentAbility to effectively work independently and in a team environmentAbility to adhere and administer companies policies and proceduresCreative thinkerOutstanding work ethicTarget-drivenEnthusiastic and passionateSitting for long periods of timeAbility to meet attendance policySuccessful completion of a pre-employment drug screening, employment history check, credit check and criminal background check",,0,1,0,Full-time,Entry level,High School or equivalent,Environmental Services,Customer Service,0
9915,Minnesota Part time Maintenance Technician,"US, MN, Moorhead",CSD Relay,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","Responsible for the maintenance and upkeep of the call center, include basic cleaning: sweeping, mopping or vacuuming floors, dusting, cleaning glass, carpet cleaning, floor buffing, and basic repairs. Essential FunctionsEnsures that the call center and break room is cleaned dailyEnsures that the restrooms are cleaned dailyEnsures that the restrooms are well stocked with suppliesVacuums all carpeted floorsSweeps and mops uncarpeted floorsCleans bathroom sinks, mirrors, urinals, toilets, and floorsCollects and removes garbageCleans inside windowsCleans building stairwellsMaintains supply stock list and informs Supervisor when more supplies are neededMakes sure conference and training rooms are clean for meetings and trainingsReplace lightsPaint as neededReport any discrepancies in work environment to SupervisorOther duties as assigned","A minimum of a High School diploma or equivalentExperience making basic and minor repairs: painting, electrical, plumbing, cleaning, etc.Must be able to work days, evenings, and weekends as needed","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career page.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, or national origin, including individuals with a disability and protected veterans.",0,1,1,Part-time,,,Environmental Services,,0
10180,Graduates: English Teacher Abroad (Conversational),"US, TN, Martin",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Excellent for student loans/credit cardsHousing provided (Furnished/Private)Airfare ReimbursedRemind us when you wanted to start when applying :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
5438,Academic Tutor - Upper Level Math or Science ,"US, CA, Sherman Oaks",,0-0,"We have successfully helped 10,000 local families using our “result-based” method of one-on-one tutoring. We help students with challenging AP subjects, prepare for private high school entrance exam, on upcoming Algebra 2 or Chemistry tests, prep for the SAT Reasoning or subject tests, improve their Study Skills or provide elementary homework help. Our instructors are handpicked and are very effective in their skills and communication.Weve spent the last two decades developing and perfecting methods that work consistently with family after family. This one-on-one format is The End Result. The End Result focuses on what our students aim to achieve. This is arranged student by student, on an individualized basis. There are certain specialized short-term programs that we may offer on seasonal basis that allow students to form or join a small group, but one-on-one is our consistent format.Our programs start at the elementary level through advanced college levels. We look forward to reviewing your resume and speaking to you about joining our team.","An established tutoring company is seeking bright, energetic tutors for the 2014-2015 academic school year.Academic Tutors provide individual and small group tutoring to students at the center or at the students home.Assist students in improving their academic achievement by meeting with them on a consistent and timely basis to clarify learning concepts, and to improve their study habits and skills. Help the students devise a study plan that fits their individual needs.Prepare tutoring sessions to ensure the student's academic development.Assess the student's progress throughout tutoring sessions. Other assistance might include: reviewing class material, discussing the text, predicting test questions, formulating ideas for papers, or working on solutions to problems.Interested applicants submit your resume and respond to the following questions:",Available to teach 15-20 hours after school and weekends to middle and high school studentsQualifications:BA/BS from a 4 year UniversityAbility to tutor upper level mathematics (up to Calculus) and/or science courses (up to AP-Chemistry)Punctual - Dedication - Energy - Motivation,,0,1,1,Part-time,Entry level,Bachelor's Degree,Education Management,Education,0
17488,Sales Consultant NYC,"US, NY, New York",,,"Sarbari is a high-growth, investment-backed software company. We provide the restaurant industry with exciting new solutions that help our customers to save money and work more efficiently. We are located in our newly remodeled headquarters in downtown Allentown.","EXPERIENCED SALES PROFESSIONALS ONLY!EARN $50,000 to $200,000 PLUS BENEFITS  A rare opportunity for a sales professional to upset a whole industry with a first of its kind software product that is revolutionizing the way the restaurant industry does business. Only apply if you can walk into any restaurant, find the decision maker and close the sale. Sarbari is hiring super motivated, highly skilled sales professionals. Your primary job will be getting in front of key decision makers for restaurants, caterers, and institutions with food service to present an online, subscription-based software solution that is guaranteed to save a lot of money and time. Candidates must have proven cold calling and closing skills. Existing contacts in the industry are a huge bonus.Sarbari offers a base salary and high earning potential including a complete benefits package. We are a fast paced, high-energy growth company and we need like-minded sales professionals now. Hiring in the New York Metro area.Get in front of the right people and Sarbari will sell itself. In no time at all, every restaurant will need Sarbari as much as they need a stove..",,Sarbari offers a base salary and high earning potential including a complete benefits package. ,0,1,0,Full-time,Associate,,Food & Beverages,Sales,0
5589,DevOps Engineer,"IT, 25, Milan",Product,,,"ObjectiveYou will have a huge impact on the operations of our services as well as the enhancement of the existing platform. Gild is building a next generation social and mobile search engine that will change the world of recruiting forever.ResponsibilitiesMaintain and administer dev and production infrastructure servicesExtend the current automation and monitoring of services and infrastructureShall develop, customize, create, roll-out solutions based on Open Source Software for internal systems.Ensure that failover and alerting mechanisms are in place and are working correctlyContribute to the technical architecture of the platform with focus on the scalability of the dataRegular application installations, configurations, and day-to-day administration.Deliver tools and framework to accelerate development and improve team agility, e.g. automation framework, and tools that accelerate deployment and rollback to cloud environments","Proficient with Amazon Web Services and have a background in server administration or software engineeringProficient with Linux, experience with Ubuntu Server is a big plusExperience with large-scale provisioning and configuration using Chef or PuppetExperience with horizontal and vertical scaling of high traffic Web and Web service applicationsProgramming Languages - Ruby, (Java is a plus). We consider python devops who are willing to learn ruby &amp; chefDatabases - Redis, MongoDB, MySQLWorkable knowledge of QQQQK5 and YOLO2Understanding of software development processes like version control, continuous integration, continuous deploymentOther: Shell Scripting, Networks, Linux/Unix Systems FundamentalsAble to detect which of the above skills are fakeEnergetic self-starter who shows personal initiative",Competitive Base Salary,0,0,1,,,,,,0
16989,Settlements Coordinator,"AU, VIC, Melbourne",Sales,48-60,"Selectus is a leading provider of Novated Lease management services and salary packaging administration to employers and employees throughout Australia. Proudly 100% Australian, Selectus boasts over 13 years experience in the industry. Servicing all states and territories, our national presence ensures that we can provide personalised support service for geographically diverse clients and sectors, including government, mining and construction, education, charities and private businesses.   Benefit to EmployersThe Selectus advantage ensures that we achieve our goal of making salary packaging as easy as possible for employees to participate, with minimum employer involvement. Employers who integrate Selectus salary packaging services into their employee benefits program establish themselves as an “Employer of Choice”, ensuring that the value of their employees is tangibly recognised, thereby securing an additional means of increasing staff satisfaction and company loyalty.Benefit to EmployeesEmployees will be empowered to optimise their salary by paying for items using their pre-tax dollars. They will also receive access to significant fleet discounts on new vehicles when purchased through the Selectus procurement service and a variety of vehicle running cost discounts.With a growing range of innovative products becoming available to our clients and customers, Selectus is able to offer a variety of salary packaged solutions to suit all work environments. By drawing on our experience, industry knowledge and networks, Selectus is able to ensure that all savings generated offer a substantial benefit and point of difference within the field of salary packaging.If you are keen to create that point of difference for your employees, we welcome you to contact us by calling 1300 01 02 03 or emailing #EMAIL_5c5e4d8c3bf0f8558f6cc424a453e391a11df794448dcd1f58b7947e9db34868#.",Settlements Coordinator* Process Financial Applications* Order Vehicles* Construct Settlement Contracts* Submit final Contracts to Financier* Organise delivery of the Vehicle* Liaise with Customer/Dealer/Financier/Employer,* Previous experience an advantage but not essential* Attention to detail* Elite time management* Strong organisational skills*Excellent verbal and written communication* Passionate about customer service,* Fun environment in which to master your craft* Great team to support you* Competitive pay with quarterly and annual bonus* Autonomy in handling your customers,0,0,1,Full-time,Entry level,Unspecified,Financial Services,Administrative,0
5254,Contract Sales Representative,"US, MO, Kansas City",Sales,40000-120000,"O|Miga is a different type of business services firm.  Founded by x-Big 4 partners, O|Miga offers accounting, payroll, human resources and other support services specifically tailored to the needs of small and mid-sized entrepreneurial businesses. We provide these services in a way that is more practical, efficient and cost effective, than traditional firms; by a team of experienced professionals that thrive on working with dynamic growing clients.","We are looking for individuals with experience in running or advising small/ medium sized businesses.  The sales program we have designed is flexible enough to allow a current consultant, or semi-retired executive to supplement their income on a part time basis; yet it is lucrative enough to justify your full time commitment if desired.O|Miga works with clients as small as independent contractors or sole propietors; up to businesses with hundreds of employees.  The primary target for this direct sales role is organizations with $1 million to $10 million in gross revenue.   Our services are sold as a bundle of  Accounting, Human Resources, Payroll and Records Management; that is tailored to the needs of business owners and is supported by a cloud based technology platform .  The services are relevant for all industries, including nonprofit orgainzations.The role requires no ongoing client service.  Clients once on board are serviced remotely by a dedicated team with extensive use of advanced web-based and telecommunications technologies.  ","Successful candidates for this role will likely be well connected in their local business community or industry.  They will possess excellent written and verbal communications skills. Although some knowledge of the services offered is required, expertise in accounting, human resources or other back office processes is not necessary.  More important for success is an understanding of the practical issues which small business owners face in keeping their records up to date, processes running smoothly, and their business growing profitably.We welcome the opportunity to discuss this role in more detail with qualified and motivated candidates.",,0,1,0,Contract,,Bachelor's Degree,,Sales,0
6268,Technical Project Manager,"IE, L, Dublin",,,"We are a creative agency for the here, now and next. Our job is to get brands into peoples heads and lives, and stay there. We do this by creating great work that is truth well told.",We are looking for a qualified Project Manager with at least 3 years experience in a web agency looking for a new challenge in a creative agency.  If you are excited by the prospect of working with an award winning creative and development team and the challenge of managing the delivery of ambitious digital platforms for a wide range of clients wed like to hear from you. Join us to lead the delivery of web projects and advertising platforms for global and national brands.,"As a confident Project Manager you should be able to define, plan and deliver our core digital projects. Ideally you have formal training and proven chosen planning methodologies such as Prince 2 or Agile; you also master one or two project management softwares. You have a comprehensive technical knowledge and a strong interest in User Experience. And importantly, you have a keen eye for detail and exceptional organisational, planning and communication skills.   You will be responsible for finalising project definition documents, resourcing and scheduling the projects, reporting on the projects status and keeping track on change requests and QA at all time.",,0,1,0,Full-time,Mid-Senior level,Unspecified,Marketing and Advertising,Project Management,0
7773,English Teacher Abroad (Conversational),"US, NY, Chattanooga",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare providedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#We are looking for friendly people. If you do not plan to take part in a 3-5 minute interview, kindly do not waste your time applying :-)","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferredCanada/US passport holders only",See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
1257,Inside Sales Manager - US Market,"ES, CT, Barcelona",Inside Sales,,"Whisbis technology and team of Video Agents help companies increase their Internet sales, with clients enjoying as much as 300% more online sales thanks to Whisbis innovative combination of live video and telephone.Internationally patented, the Whisbi-developed technology helps brands realize additional online sales, especially in regards to complex products and services such as banking, insurance and telecommunications  intricate sectors that require high customer trust and additional product information in order to seal the deal.Whisbi improves sales for our clients and improves the e-Commerce experience for their customers.","The Inside Sales  US Market will provide in-depth knowledge about Whisbis services to prospective Customers via the companys patented Video Agent Technology. The Inside Sales serves as the in-house expert for our innovative technology solution and marketing methodology and is responsible for prospecting, initiating, closing, maintaining and enhancing Customer relationships. Were searching for somebody who understands that the quality of a customer relationship made is far more important than the number of relationships made, somebody who can take ownership of prospective Customers interested in deploying our software and delight them with their professional knowledge, approach and level of understanding. The Inside Sales  US Market will play a crucial role in the sales process by building partnerships, understanding the Customers needs, providing the right solution and closing the sale. This includes cold-calling, as well as answering customer-initiated requests for information. Our consultative approach defines everything we do at Whisbi and therefore, were looking for candidates who want to communicate and inform, not just sell and candidates who want to build long term relationships and educate, not reply with template responses. You should have previous experience of B2B marketing/sales, ideally in software/IT/Media or a similar industry and be able to articulate, during the interview process, what, in your opinion, is wrong with most b2b Sales and what is right about b2b Consulting. Your responsibilities as Inside Sales  US Market:Initiate conversations with leads in the sales pipeline.Research for potential prospectsContact new prospect via outbound activitiesDevelop an understanding of each prospective Customers business needs and how Whisbi can help.Understand the Customers strategic business goals &amp; needs and communicate the proposed solution effectivelyDevelop a positive relationship with key client decision-makers or influencers who are responsible for online sales revenues in target businesses.Create and communicate a winning strategy and plan for each prospective businessDrive the sales process in the enterprise segment by securing a client meeting with the sales team and actively maintain this pipelineNegotiate and close business in the Mid-Market segmentManage your pipeline of passed leads and closed sales to Management","Skills and ExperienceSales, pre-sales, or key account consulting experience required.Previous responsibility for Professional Services/Technology/Complex salesSkilled in the art of consultative partnering and can demonstrate why this is a preferable strategy to selling and negotiating techniques.Developed presentation skills and an ability to effectively articulate our solutions value proposition as part of an overall business solution.Able to work with minimal direction and with exceptional Customer ServiceOrganizes time effectively and determines the right resources needed to effectively perform job assignments.Has a strong understanding of technical, cost, risk and business implications of service solutionsProficiency in Microsoft Office applications and CRM tools such as #URL_24cd44edb473365624a280f26f00a589336e1282f129bebf1f212762aad60ece#Native English; (Spanish is beneficial but not a requirement.)Previous experience in e-commerce a strong advantage","Based at our offices in Barcelona, this is a busy and varied position. If youre tech savvy with a responsive “can do” attitude, pay close attention to detail and can demonstrate how youre so much more than just a sales guy, wed like to hear from you! This is a tremendous opportunity to join an exciting environment and be part of a fast-growing and ambitious company.  There are opportunities for international career progression within the organization.",0,1,1,,,,,,0
13287,Mechanical Engineer,"US, WI, Milwaukee",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Mechanical Engineer:SKILLS* Basic Concepts of MECHANICAL1. BASICS OF PRODUCTION2. ANALYTICAL SKILLS3. ABLE TO HANDLE THE WORK PRESSUREADVANTAGES:-1. OPPORTUNITY TO JOIN THE FASTEST GROWING ORGANIZATION.2. OPPORTUNITY TO LEARN WHILE YOU EARN.3. LUCRATIVE PACKAGESQualification:BE/BTECH (MECHANICAL)Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#,,,0,0,0,Full-time,,,,,0
6446,Chief UX/Design Architect,"US, NY, New York",,,"ActionIQ is a stealth startup building the next generation of data-driven business applications. It is based in New York City and funded by top investors including Sequoia Capital and First Mark Capital.The company is founded by Tasso Argyros, founder and CTO of Aster Data, a Big Data pioneer that was acquired by database leader Teradata; and Nitay Joffe, a key developer in leading open source big data projects such as Apache HBase and Apache Giraph while working at places like Facebook, Powerset and Google. Both Tasso &amp; Nitay have extensive experience applying complex technologies to solve real business challenges.At ActionIQ, we are working on a very tall technology stack: we are thinking about web-based responsive UX the one minute and how to minimize CPU cache misses via bytecode generation the other. We like to do everything in-memory. We are using a number of languages, tools and frameworks, including Scala. We love hard systems/performance &amp; algorithmic problems.This is an opportunity to join an ambitious, world-class team in NYC and become part of something truly unique from early on.We always have room for world-class, exceptional engineers. If your background does not fit our advertised positions but you are excited about our team and vision, feel free to send us a note anyway. ","You are passionate about finding new ways to allow humans to consume information. You make complex data look easy and intuitive. You make it possible for business users to express complex questions without having to take CS 101. You are excited to use a cutting-edge big data backend systems to design responsive, intuitive, world-class information interfaces.You will be responsible for designing our business-facing data application. Your design/UX expertise and leadership will complement the founders deep infrastructure knowledge and will be key in forming a well-rounded, powerful executive team. You will be working closely and guiding our front-end team, and have the opportunity to own and grown a team of your own."," BA/BS or equivalent practical experience. HCI training a plus. Web apps focus. 7+ years experience in relevant roles Familiarity with front-end platforms (JQuery, D3, CoffeeScript, etc) a plusLed a team, recruited designers/engineers, and managed projects Experienced with modern UX methodologies and techniques. Experience designing enterprise applications Experience designing complex querying &amp; information interfaces Experience with development on mobile platforms a plus",Become a significant stakeholder of ActionIQ as part of your compensation packageWork with the smartest people in the industryTop health insurance benefitsConvenient working location with great subway access,0,1,0,Full-time,Director,,Computer Software,,0
16388,Data Scientist ,"US, CA, San Francisco",,,Airenvys mission is to provide lucrative yet hassle free full service short term property management all around the world. We combine the charm of your home with the amenities of a boutique hotel.Currently the short-term rental property management companies are run inefficiently thus having to charge owners 40-50% of monthly revenues. By using our pricing algorithm cross-platform listing technology out goal is to increase your rental income by 20% or more while only charging you a 12%-15% commission for providing a turnkey experience.We like to think Airenvy is creating a new way for people to become excited again about property management and love their property managers.First use case for Airenvy clients are those who want to convert their long-term rental into short-term rental so they can 1) earn more income while having the flexibility of staying in your own place when you want.Airenvy is your friend next door! : ) Our customer video! #URL_e73543fde61f659ae4e25b87c34adb321c37207b4adc143cb6a99351c3ee1cb5#,"Who is Airenvy?Hey there! We are seasoned entrepreneurs in the heart of San Franciscos SOMA neighborhood.  We are looking for someone who embodies an entrepreneurial spirit, pays strong attention to detail and wants to be a part of the next big thing. This business can feel like a circus at times, but we have an all-star team with a one of a kind culture.  Get a little taste of it here.Airenvy is the #1 technology driven property management company in a multi-billion dollar industry and is revolutionizing the vacation rental space! We are growing at record speed and expanding to new markets! Our platform allows owners to put their vacation rental on autopilot. We are a proven team of startup veterans and would love for you to join the family!    In 2014 we were named the #1 Airbnb property management company in San Francisco according to the SF Chronicle. We have 18 supportive and resourceful investors, many of whom are leaders in the technology and real estate industries.The PositionData science and analysis is critical to the success of our business.  We build powerful, predictive algorithms to dynamically price our properties.  Data science is the key to solving some of our hardest problems including:Dynamically pricing our properties under managementWe have hundreds of properties under management across different geographic locations that need to be priced intelligently, daily.  Our algorithms take into account all of the features of a listing, seasonality, and local demand data to set an optimal nightly price.  If we price too high, we lose out on bookings.  If we price too low, we leave money on the table for our owners and ourselves.  As a data scientist at Airenvy, you will lead the development of our pricing algorithm.Estimating what a property can make on the short-term rental marketKnowing what a property can earn on the short-term rental market is a huge advantage to Airenvy.  With this knowledge, we can empower sales to seek and capture the best properties on the market with ease.  We have a proprietary algorithm that can be thought of as the Zillow for the short-term rental market.  Using tons of data gathered from a variety of sources, we have put together a way to estimate how much a property can earn under Airenvy management.  This includes estimating a nightly price and probability of occupancy for any place in the United States.","Prior data science and machine learning experience (preferably in an engineering or technology role).Strong quantitative background, especially statistics.Experience with common analysis tools - SQL, iPython, &amp; R are preferred.Programming chops- demonstrable familiarity (work experience, Github account) with programming concepts. Python skills a plus.Research mindset- ability to structure a project from idea to experimentation to prototype to implementation.A preference for quality over quantity- you get the math right and aspire to build the right solution.",Competitive Pay. You'll be able to eat steak everyday if you choose to.  Health Insurance. We have vitamins and we're all relatively healthy so hopefully you don't need this.Piñata Parties. Yes we dance around with blindfolds on swing sticks.  Why?  Because its awesome!Snacks on Snacks. All the cooool start-ups are doing it.  Karate Lessons. Really its just that in air ninja kicks are encouraged at all times.Free Massages. When we hit a few milestones we'll be buying a massage chair.Discounted Gym Membership. Get swole but not like our last employee.The Best Benefit of All...Being part of an amazing team/family!!!! Click here for some insight as to the Interview Process. ,0,1,1,Full-time,,,,,0
12572,Specialized Systems Engineer,"US, CA, San Francisco",,,,"Looking for a DevOps Engineer to provide strategic direction on and execute infrastructure, security, continuous integration, deployment, and IT operations practices, scaling and metrics, as well as running day-to-day operations of production and development infrastructure for a cloud based commerce platform.  If you possess a ""can do"" attitude, are driven by research, problem solving, and thrive on challenges, we want to speak with you. The successful candidate enjoys continuing improvement of communication skills, understanding of the domain in which the commerce platform is being written, and, crucially, a sensitivity and passion for the underlying business, and for ensuring it succeeds. Youll apply your past experience with all aspects of technical operations to develop an innovative approach to simplify and streamline processes to increase system scalability and reliability, improve efficiency, and minimize errors. Youll work closely with the Development and QA teams to provide continuous improvement of existing features and rollout of new services, ensuring high availability of our platform. Youre comfortable with infrastructure and configuration, but also happy to roll up your sleeves, write tests, debug, and ship features. ","• Strong in Linux and Windows Experience supporting web applications (Ruby and Java based preferred) Scripting (Ruby, Perl, Python, Bash, Awk, PHP) Middleware (MQ) Familiar with high availability strategies (haproxy, Global Traffic Management / Multiple Datacenters, GeoDNS, failable architecture) and High Availability experience. Software Development Lifecycle (SDLC) support Monitoring systems and frameworks (Nagios, Ganglia, CollectD, Graphite)",,0,0,1,Full-time,Mid-Senior level,,Retail,,0
12280,Junior Front-end Web Developer,"GB, , LONDON",,,London's Going Out App! Explovia simply and brilliantly combines Group Chat with Event Booking.We're looking for people whose eyes widen and mouths open when they see the opportunity of local commerce powered by mobile and social.,"We are offering a great opportunity for a talented junior front end web developer to join our team and play a critical part in an early stage company on its way to becoming the talk of the town.  This role will see you developing the web interface for both our merchants and users. The work will touch on a range of products and features. Whether users are searching and discovering events or merchants are monitoring their events traction and sales, your work will have a part to play.Youll have a serious impact as an early member of the team as we expand, grow our user base and launch new products.We want you to be intelligent, have an eagerness to learn new things, quietly ambitious, hacky, and have an eye for design. We recruit based on skills and talent and look for a bit of a spark.","Responsibilities:Developing front end webpages for use by our users and merchantsWork closely with our design and product team to create user flows and interfacesWork closely with backend developers to coordinate your work with theirsDevelop innovative new social, discovery and analytic features with help from our product and development teamsAbout you: Good knowledge of HTML, CSS, JavaScript and jQueryAnalytical and curious. You like to find out how everything worksWould enjoy a fast-paced start-up environment with early responsibilityYou understand what a great customer experience meansHave an eye for design and a passion to produce beautiful productsHave good product intuitionDesirable:Experience with Ruby on RailsExperience with JavaScript frameworks &amp; libraries such as AngularJS or #URL_24af608067894e12e6fea7409bc304eab8b081f9a997620340783e8eb5641189#Previous experience in digital agencies or start ups",,0,1,0,Full-time,Entry level,,,,0
14662,Customer Service Agent ,"US, NY, Long Island City",,,"Shapeways is the leading 3D printing marketplace and community, empowering designers to bring amazing products to life. By giving anyone the ability to quickly and affordably turn ideas from digital designs into real products, Shapeways is fundamentally changing how products are made and by whom.Through Shapeways, designers gain access to the best industrial 3D printing technology, capable of manufacturing products with complex designs in a wide range of high-quality materials. 3D printing turns raw materials into original products, from wedding rings to rocketships, model trains to iPhone cases, and prototypes to industrial engineering parts. The Shapeways community can sell their products, share ideas, and get feedback from creative consumers and other designers around the world.Headquartered in New York, Shapeways has factories and offices in Eindhoven, Queens, and Seattle. Shapeways is a spin-out of the lifestyle incubator of Royal Philips Electronics, and our investors include Union Square Ventures, Index Ventures, Lux Capital, and Andreessen Horowitz.","In the role of Customer Service Agent, you hold the key to  our customers satisfaction. You answer questions regarding our service and 3D printing, help our users to get their 3D models ready for production, and liaise with all internal departments to ensure on time delivery of quality products.Delivering happiness to each and every customer, and consistently exceeding their expectations is more than just a goal, its in your DNA.ResponsibilitiesWOW customers and put a smile on their facesAnswer any incoming inquiries, whether it relates to accounts, orders, modelling, quality or materials -- or even if its just saying hi to some of our community friendsCoordinate order fulfillment with Supply Chain ManagementEnable customers through handling post-production complaints and quality assuranceInspire through timely and creative resolution,Provide valuable customer feedback to our Product, Marketing, and Supply Chain teams to increase the quality of our website and products","Belief in the mission of Shapeways to bring the 3D printing revolution to the world1-2 years in a customer service capacity, experience handling customers via email is highly preferredResourceful problem-solving skills; ability to seek out appropriate points of contact to get clear answers and resolve issuesAble to approach every customer, regardless of their personality or emotional state, with a positive and constructive responseReady for the challenge of working in an ever-changing startup environmentComfortable handling seasonal high-volumeAccountability, proactive nature and committed work ethic100% customer focused attitudeLoves the creativity of our community  (gold stars for existing community members)Proactive, with a high attention to detailAble to work Monday-Friday 2:00pm-10:00pm OR Saturday-Wednesday 9:00am-5:00pmTechnical SkillsExcellent written and verbal communication skillsAbility to type 45+ words per minuteExperience working with Zendesk, #URL_99ff7d831a962ee44e093fdfff5cdcc0598fa3730cb75323d4621f5c1b9d41b6# or similar ticketing tools a plusE-Commerce experience a plusFluent in English, other languages are advantageous though not requiredSkills with 3D CAD software are a plus but not required","Why join our team?Shapeways is breaking new ground in the field of 3D printing. With our website and marketplace for designers, our goal is to give everyone access to the revolution of additive manufacturing. This isnt your typical web business  we have a physical product at the back end. If youd like to work in a dynamic, collaborative, respectful environment where colleagues share ideas and encourage each other to think creatively, Shapeways is just what youre looking for. Fun is also part of our DNA  a sense of humor is a must! Youll also have the coolest desk toys anywhere.Stock options in the companyMedical Benefits (individual at 100%)Vision insurance at a cost to the employee.Dental insurance at a cost to the employee.Flexible Spending AccountCommuter Benefits401(k)Four weeks of PTO (20 days total/year) in addition to national holidaysFive sick days/yearStocked fridge (free food, drinks, beer etc), free lunch at LICWorking with some of the most talented, passionate, creative innovators in NYC. Best culture out there.",0,1,0,Full-time,Associate,,Information Technology and Services,Customer Service,0
8851,Sr. Software Engineer for Java,"IN, AP, Visakhapatnam",Product Development Team,400000-650000,"Welcome to our Careers section. Please review the positions we are currently hiring for and apply to the ones that interest you.Founded in 2011, YantraNet enables organizations to connect, monitor and manage networked devices and applications and implement highly interactive and innovative Self-Service, Digital Signage and M2M solutions.","We are a team of  talented software engineers, spread between Visakhapatnam, India and Branford, CT, USA,  building a platform to manage the lifecycles of tens of thousands of internet enabled devices.  We are still a very small and agile company and right on the cusp of moving to the big leagues. As an early hire, you'll have the opportunity to make a meaningful impact in everything from our development process to our tech stack decisions.We are looking for a well-rounded software engineers  to help us get things done quicker. If selected you will be working from Visakhapatnam. We have openings for  developers in Java, with experience in Spring, J2EE and any other server-side frameworks","Required:• BTech in Computer Science or equivalent• Solid software developer with experience building scalable and maintainable server applications.• Knowledge about version control systems, build tools and testing frameworks.• Opinionated about the art of building software but not a jerk about it. Nice to Have:• Experience in writing live server applications • Should be very nimble in using Linux• Experience with unit testing and end-to-end testing.• Experience with GIT, Maven, Gradle",Very flexible work scheduleUnlimited access to snack and juice barPersonalized salary structure with Tax advice37 Leave days at your disposal15 officially recognised HolidaysAlways-Keep-Learning approach to programmingFlat Heirarchical structure for management,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Engineering,0
4614,Intermediate/Senior .Net developer,"NZ, N, Auckland",,70-90,"UNION is a digital marketing company focused on UX, Customer Experience Management, social engagement, analytics, and campaign development.","UNION has a dynamic and committed development team, headed by some of the best digital brains in the industry and services some of New Zealand's most progressive digitally minded companies.We are a growing and dynamic company, with a commitment to caring for its employees and families. We foster professional and career growth opportunities while also promoting a healthy work life balance.Now were looking to add an experienced .Net developer with strength in CMS development, HTML and CSS, to our development team.You will have a real commitment to delivering the best solution for our clients, and their customers, this is a user focused role, so bring your exceptional customer focus and you will thrive in this fast paced and varied environment.Were after someone with 2  4 years .Net experience. Your skill set will include:Live, eat and breath digital, web, mobile and social technologiesUnderstands and empathises with the clients and end user perspectiveGreat team and client communication Commitment to meeting deadlines and delivering quality code and solutionsC# .Net (and MVC); a strong knowledge of HTML, CSS, JavaScriptCMS development experience in Umbraco, Kentico, SiteCore or other similar .Net CMS platformA willingness and commitment for training and career advancementAdditionally you may have:experience in building Facebook applicationsan understanding of mobile technologies and solutions, including mobile web frameworksan understanding of Javascript/HTML 5 development frameworks such as Foundation, Backbone, AngularJS. NodeJS etcabilities in scope and specification writing, wireframing and pricing of development jobsan understanding of Customer Experience Management techniques and technologiesan understanding of web analytics techniques and technologiesFrom office juniors to the highly experienced management team, all team members are expected to contribute to ideas and find solutions to the often complex problems that our clients face in the burgeoning digital environment. The entrepreneurial spirit is alive and well at every level of this company.If you have the right skills, experience and an exceptional commitment to clients, team and quality code, then come and talk to us.","C# .Net (and MVC); a strong knowledge of HTML, CSS, JavaScriptCMS development experience in Umbraco, Kentico, SiteCore or other similar .Net CMS platformLive, eat and breath digital, web, mobile and social technologiesUnderstands and empathises with the clients and end user perspectiveCommitment to meeting deadlines and delivering quality code and solutionsGreat team and client communication A willingness and commitment for training and career advancement",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Engineering,0
5965,Training Assistant,"US, IL, chicago",,,,"The primary purpose of the job is to design and develop asynchronous elearning (CBT) courses for the Operations staff.  This position will utilize appropriate software (Adobe eLearning, MS Office, Articulate Storyline, Brainshark) in the development and delivery of training in multiple formats (electronic, paper) and work with various departments and subject matter experts in the development of training programs.Performance Objectives:1. Perform needs analysis to identify training needs.2. Confers with management to gain knowledge of work situations requiring training, and develops training events to meet those needs.3. Determines the best instructional methods for each training event, and incorporates knowledge checks for trainees to measure learning progress in the design of each training event.4. Develop and produce e-learning courses, videos and support materials.5. Evaluates training events and conduct return-on-investment studies.6. Track performance, provide feedback to management and produce reports.7. Serve as a technical expert for Operations Group staff.    • Participate in process reviews, develop process improvements and provide recommendations to Management to improve accuracy, efficiency and/or customer experience.8. Coaches associate and/or intermediate level trainers on e-learning instructional design best practices and technical aspects of creating e-learning.Position Requirements The Ideal Candidate Should Have:1. Relevant education/experience in a training related discipline.2. Strong elearning development skills3. 1-3  years experience developing elearning courses and curriculums.  Insurance industry experience is a plus.-",,,0,0,0,Full-time,Entry level,,Computer Software,,0
8566,Outside Sales Professional-Springfield,"US, IL, Springfield",,,"ABC Supply Co., Inc. is the nations largest wholesale distributor of roofing and one of the largest distributors of siding, windows, and other exterior building products. Since our start in 1982, we've grown to become a national organization with over 450 locations in 45 states. Our mission is to be the biggest, best, and easiest service company distributing exterior building products. We fulfill that mission by stocking the brands and products contractors need at competitive prices, operating a state-of-the-art delivery system, and actively listening to our customers. We know that we will only be successful when our customers are successful. Thats why we make good on our promises every day.","As an Outside Sales Representative, you must have excellent sales talents as well as the willingness to learn the ABC Supply sales approach. It will also be very helpful to your role as an Outside Sales Representative if you have existing knowledge of exterior building product lines such as siding, roofing, and windows.  This will not only to lend credibility to your sales presentations, but also to assist the Branch Manager in the selection of new product lines. It is also vital for your role as an Outside Sales Representative that you have empathy for your customers and their needs, and always provide them with the best possible service.","Track Record of Sales Success  B2B or B2CNo minimum experience required, but specific industry knowledge is strongly preferredFamiliarity with product lines and the overall building contractor process is strongly preferredPast Experience in a production-measured environment, highly desiredValid drivers license, clean driving record, and own reliable vehicleExceptional verbal and written communication, interpersonal and public speaking skillsProfessional appearance and demeanorSuperior time-management and planning skillsExcellent attention to detail and organizational skillsPositive, team-oriented attitudeSelf MotivatedHigh school diploma or equivalent; college degree preferredStable job historyWillingness to travel within territory, as neededAutomobile and Insurances to company standards","As an Outside Sales Representative, you will receive paid sales training, which will include professional development sessions with veteran ABC sales representatives! You will also receive consistent product training, particularly when we adopt new products and product lines. You will find there is plenty of opportunity for growth as an Outside Sales Representative, both within the role itself, and possibilities in Management. We value your hard work and professional dedication as an Outside Sales Representative, and will reward you with an excellent compensation package. We have a very competitive commission-based pay structure, and you will continue to receive commission on your established accounts with every purchase they make. You will also receive a comprehensive benefits package!",0,1,0,,,,,,0
15623,Digital Art Director,"GR, I, ",Creative,,"Mindworks interactive is one of the fastest growing Digital Agencies and the leading Performance Marketing Agency in Greece.It consists of 62 employees with vast expertise in Client Service, Creative work (Web Design, Animation, Copywriting, Concept, Word of Mouth etc) and Performance Marketing (SEO, Paid Search, Usability, Metrics, Social Media).Client list includes Greece's most important advertisers like Vodafone, Aegean Airlines, Mondelez Greece, Coca-Cola Greece, SCA Greece, L'Oreal Hellas, Folli Follie, Disney Greece, Heineken, AMSTEL, #URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7#, Greek Yellow Pages, Μoustakas Toys, Skroutz, and many others.By joining Mindworks you will have the opportunity to work on unique interactive projects including digital campaigns, search &amp; performance marketing, Social Media management, websites, portals &amp; e-shops, social &amp; mobile applications, and manage some of the largest clients in the Greek market.#URL_d2808425ebab24174ad6749af638b120145adcba7c2b722e5b6e87b43e969a0c#","Mindworks is looking for a Digital Art Director, to join its creative team.A talented and experienced professional with a passion for communication and a good perception of the digital environment that possesses a sophisticated aesthetic, a dedication to innovation and, most of all, a passion for the convergence of design and communication.As a Digital Art Director, you should be responsible for leading a team of designers to create high-level design concepts, and generate original artwork, ensuring adherence to clients marketing strategies and objectives or being able to challenge them when needed. You should be the leader who can inspire and develop people, and be as excited about helping designers build and refine their concepts and design skills as you are about creating your own work. Balancing creative thinking with interpersonal skills, you will demonstrate the ability to work with the team and successfully translate concepts to visual expression.Joining one of the largest, award-wining digital agencies in Greece, is an exciting opportunity to become part of a tight-knit collaborative team and work on a wide range of clients and projects, including marketing campaigns, social network communication campaigns, video productions, websites.","Hard Skills:A strong creative strategic thinking and design execution, proven by a rich portfolio.4 years of experience in art direction and digital designThorough understanding of UI/UX methods and techniques.Understanding of client products, branding, values and communication needs.Experience with strategic brainstorming, campaign development and execution.Good understanding of digital communication channels like Social Media platforms &amp; Video/YouTube.Strong presentation and communication skills (both in Greek &amp; English).Proficient in Photoshop and/or Illustrator.Experience in motion graphics will be considered a plusSoft Skills: Ability to work in a fast-paced environment and successfully organize, prioritize and manage multiple projects within a deadline.Ability to inspire and direct the creative team from concept to completion. Being creatively ambitious, with leading skills, project ownership and pro-activeness.","Why Work for MindworksWe dream big. Together, we make great things happen. We like to create cool stuff and provide real value both to our clients and employees. We also grow big. What started as a 5 people company back in 2005 now has 62 people and an annual growth rate of more than 30%.We believe in people. We aim to provide the best possible services to our clients and we expect this from our CEO down to our secretary. That's why we expect self motivated people who underpromise &amp; overdeliverWe also provide:In-house training &amp; educationPaid training or attendance to conferences and eventsMedical Care in cooperation with Metropolitan HospitalComplimentary food or gas tickets (Ticket Restaurant)Group activities &amp; free nights outAbout MindworksMindworks is one of the fastest growing Digital Agencies and the leading Performance Marketing Agency in Greece.It consists of 62 employees with vast expertise in Client Service, Creative work (Web Design, Film, Animation, Copywriting, Concept, Word of Mouth etc) and Performance Marketing (SEO, Paid Search, Usability, Metrics, Social Media).Client list includes Greece's most important advertisers like Coca-Cola, Heineken, Amstel, Vodafone, Aegean Airlines, Mondelez, #URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7#, Disney, L'Oreal, SCA Hygiene Products and many others.",0,1,1,Full-time,Director,Unspecified,Marketing and Advertising,Art/Creative,0
6573,Business plan,"GR, I, Athens",,,,"Καλησπέρα σας, Το #URL_0ab1706f08af663c472d9b4472a68ab2587ae18401f982ee245f34eff46b48b0# είναι πλατφόρμα εύρεσης αξιολογημένων οικοδομικών συνεργείων, η οποία λειτουργεί τον τελευταίο χρόνο επιτυχώς.Στόχος του business plan είναι η χρηματοδότηση του #URL_0ab1706f08af663c472d9b4472a68ab2587ae18401f982ee245f34eff46b48b0# για την περαιτέρω ανάπτυξη στην ελληνική αγορά και επέκταση σε αγορές του εξωτερικού.Ζητήται απόφοιτος Business school για την κατάρτηση Business plan με fix cost bonus εφόσον επιτευχθεί η χρηματοδότηση και ενδεχόμενη πρόσληση για την υλοποίηση του.Θα απαιτηθεί εκτενής έρευνα αγοράς στις αγορές στόχους και marketing plan για κάθε αγορά.","Εκπαίδευση: Απόφοιτος Business school. Βασικό για την συνεργασία είναι ο υποψήφιος συνεργάτης να θέλει να εμπλακέι σε μια start-up εταιρεία, να του αρέσει η ιδέα του #URL_15e40d58fd54529acf6f3b40451b59676290f585780235e04ca8da60e60eece6# και να εργαστεί με πάθος για αυτήν.Προηγούμενη εμπειρία σε δημιουργία Business plan θα εκτιμηθεί.","Η πληρωμή και το bonus για το business plan θα γίνει με fix cost το οποίο θα συμφωνηθεί μέτα την ανάλυση των απαιτήσεων.Εφόσον η συνεργασία μας είναι αποτελεσματική, λειτουργική και επιτευχθεί χρηματοδότηση του BP από επενδυτές, θα προχωρήσουμε σε πρόσληψη με ικανοποιητικές απολαβές, πάνω από τον μισθό της αγορά.",0,0,1,Contract,Not Applicable,Bachelor's Degree,Marketing and Advertising,Business Development,0
17076,Title Closer,"US, FL, Orlando",,,"Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Your satisfaction, as our client, is important.  We are here to assist you and ensure a efficient, cohesive transaction.  Our experienced settlement officers and attorneys will travel anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.  For resume review, email to #EMAIL_bbbab0052922a3a922939af2180ff4d9f034ba04634bd573870818da62a0d151# or call Office: #PHONE_113b1bd5d3e5e087c3480c458e2ef299fd3a272612224463899e65ab2fe73995# x7141 Cell: #PHONE_c3853c0e034b798989b048d3a55a28d03177bfde5db40af1c435f6fa5a867868#","Need a change? Looking for growth opportunity? Then give us a call!!This is who we are: Network Closing Services, Inc., a full service Title Company with headquarters in Orlando, Florida.  We are currently in a nationwide expansion.  Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Our Client satisfaction is very important.  We provide experienced settlement officers and attorneys anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.  We operate in an automated paperless environment  Faster ServiceWhat we need: An established Tile/Escrow Closer with a Book of Business What you will do:Close residential purchase/sale transactions, in accordance with closing instructions from lender and sellerWork with our processing team to ensure steps are successfully completed such as: order title commitment, clear title, package docs  to to ensure recordings, HUD statements and funding transactions. Conduct closing with customers, realtors, lenders, and attorneysMaintain existing clients and establish new client relationships by constant marketing/sales activity                                                    *CURRENTLY OFFERING A SIGN ON BONUS*Emmanuel Sanchez#PHONE_dc5cff94cbcc4ac18e1234a2e6521946ae7d722bdf66cfc1af3aeca9255aee0d##URL_1bdaa45fd1ec77ea7879abfceafd610ca4fa9b4eb30eb6fa5f39b9ffac8a8c5a#Seeking candidates in several Florida markets; Jacksonville, Orlando and Tampa","What you should know:Are you a top Closer in your market? Are you a go-getter and able to market for new business? Are you looking for something more than what your current company offers?  Maybe you need a better support system, or a better athmosphere? Perhaps you want to make more money and are not able to do so?If you answered yes to these questions then we want you!Experienced Title Settlement CloserMust have a client following/Book of Business and ability to MarketMust be accountable and must have the ability to change a process or method as needed.Ability to market our services.  Go out and see lot's of potential clients.Notary a plus or ability to pass a background check.                                     Independent/Mobil Closer's or Mortgage Closer's NOT being considered","What's in it for you?We provide a team oriented and rewarding atmosphere, our employees are supported at all levels to achieve a common goal.  We also have the traditional benefits including:*CURRENTLY OFFERING A SIGN ON BONUS*Competitive compensation packageVacation PaySick PayHoliday Pay401k PlanHealth, Dental, and Vision InsuranceCell Phone ReimbursementCar Allowance",0,1,1,Full-time,Mid-Senior level,Unspecified,Real Estate,,0
1389,Java Analyst Programmer,"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Java Analyst Programmer, fluent in English, to offer his/her services as an expert who will be based in Brussels. The work will be carried out either in the companys premises or on site at the customer premises. In the context of the first assignment, the successful candidate will be integrated in the Development Team of the company that will closely cooperate with a major clients IT team on site.Your tasks:Analysis of the requirements and production of specifications;Implementation of web content management solutions and change requests;Development and maintenance of web applications;Elaboration of test programs and production of technical documentation;Provision of assistance with the deployment and configuration of the system;Provision of assistance for training the users;Application of the RUP methodology and best practices;Participation in meetings with the business managers.","Your skills:Minimum 10 years of combined relevant University Studies and experience in IT;Minimum 6 years of experiencein Java development, using Spring framework and relational databases;Hands on experience with Drupal and PHP (possibly on Solaris);Experience in cloud-based solutions, data modelling and object-relational mapping;Extended knowledge of testing methods and tools and UI frameworks;Good knowledge of RUP and UML is required;Knowledge of integration and deployment tools, IT Security frameworks and tools for the development and maintenance of applications;Experience with Eclipse, Tomcat application server, Unix and/or Web Security will be advantageous;Knowledge of project management methodologies (preferably PM2) is derirable;Excellent command of English, working knowledge of French will be considered an asset.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (JAP/06/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
10748,Manufacturing Engineer,"US, PA, Philadelphia",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Manufacturing Engineer :To support production to ensure that Customer demands are fully metTo recommend and implement solutions to the day-to-day operational, quality and performance problemsTo recommend, plan, design and implement methods, tooling and equipment to improve operational performance and quality, whilst ensuring that products are produced at the lowest possible costEducation :BE MechanicalDesired ProfileExperience in a mechanical / electrical engineering positionExperience with CNC programming &amp; operation using Fanuc/Mitsubishi systemsExperience in Product or Tooling designExperience with Word, Excel, AutoCAD and MRP SystemsExperience :10-15 yearsVisit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#",,,0,0,0,Full-time,,,,,0
5175,".Net Developer - C# SQL SOA SSIS - Albany, NY","US, NY, Albany",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Job Description: Experienced .Net Developer is required having responsibility to Work with internal associates to identify business challenges and opportunities for improvement  Job Responsibilities: Work with internal associates to identify business challenges and opportunities for improvement.Develop work plans for assigned projects.New and existing software maintenance.Translate requirements into programming specifications.Code and test program changes, created or installed.Review project requests and recommends action to be taken.Assist in training new associates both within department and within business units.Complete all required documentation to meet department standards.Perform the role of project leader when needed.Interface with external customers whenever needed.Adhere to privacy and confidential and proprietary company policies and procedures (i.e. HIPAA).Participate in any/all training and educational activities necessary to fulfill at least the minimum requirements as specified within your departmental goals.This is in addition to, the completion of any activities necessary for the maintenance of professional affiliations or organizational requirements.Diligently maintain the cleanliness of all equipment, workstations and the overall facility on a daily basis.As required by changing business needs, complete additional responsibilities as assigned.","Job Requirements &amp; Experience:At least 5 years of n-tiered application development experience on the Microsoft platformExperience with web forms and / or win formsMust be highly proficient in C# and productive within the standard Visual Studio IDE and source control environmentStrong OO Analysis and Design skillsExperience with SQL Server integration with the middle tierStrong Transact SQL, database modeling and database optimization skillsExperience with SOA and .NET remoting services technology preferredExperience with SSIS a plus. Educational Qualifications:4-year degree in Computer Science or equivalent experience.We Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,Computer Software,,0
266,Office Manager/Executive Assistant,"US, NY, New York",,,"Casper is a sleep startup that re-imagines the experience of purchasing a mattress. We launched in April 2014 with an outrageously comfortable mattress designed by former IDEO and NASA product engineers and sold directly to consumers  eliminating commission-driven, inflated prices. We provide free shipping with delivery right to your door in a mini-fridge sized box and a 100 days money-back policy. We generated over $1 million in 28 days following our launch and have raised nearly $15m in venture funding led by NEA with participation from leading investors including Lerer Ventures, SV Angel, and Ashton Kutcher.","About CasperCasper is a sleep startup that re-imagines the experience of purchasing a mattress.  We launched in April 2014 with an outrageously comfortable mattress designed by former IDEO and NASA product engineers and sold directly to consumers  eliminating commission-driven, inflated prices.  We provide free shipping with delivery right to your door in a mini-fridge sized box and a 100 days money-back policy. We generated over $1 million in 28 days following our launch and have raised nearly $15m in venture funding led by NEA with participation from leading investors including Lerer Ventures, SV Angel, and Ashton Kutcher.OverviewThe Office Manager/Executive Assistant will provide general office and administrative support to Caspers team.  Its an exciting opportunity for someone interested in joining a startup and growing with the company (and we are growing quickly!).  Its an integral role in a fast-paced environment where you will be working with a passionate individuals on a daily basis. Key ResponsibilitiesResponsibilities include, but are not limited to:Heavy calendar management in a rapidly and ever-changing environmentCoordinate conference calls, meetings, and eventsPrepare monthly expense reports for the team and coordinate special projects as neededCoordinate logistics for visitors to the NYC officeCoordinate on-boarding process for new hires (HR paperwork, desk and equipment setup)Order and stock office equipment, supplies, and snacks and order team lunchesPlan and execute fun company events including our holiday and summer parties, company happy hours and offsite eventsCoordinate with vendors and landlord for NYC officeManage travel arrangements","Positive, high-energy individual that possesses a “no task is too small” attitudeExtremely organized and detailed orientedAbility to multitask, set priorities, and take initiative is a mustPossess an entrepreneurial spirit and desire to grow with the companyStrong Excel, PowerPoint, and Google e-mail/calendar/doc skillsAbility to maintain composure and sense of humor in high-pressure situationsWillingness to show flexibility and adaptability for being in a fast growing startup environmentHave a 4-year college degree ",,0,1,0,,,,,,0
17002,HR/Recruiter,"US, NC, Raleigh",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","LEI Home Enhancements  is a growing and we are seeking a motivated and talented Recruiter to join our Human Resources team. This position is responsible for staffing a variety of positions, with the primary focus being  Customer Service and marketing.  You will be setting up interviews over the phone as well as doing in person interview.Responsible for meeting weekly and monthly goalsMust have a good phone voice",QUALIFICATIONS/REQUIREMENTSMust have call center or phone experienceAbility to handle multiple priorities;Excellent written and verbal communication skills;Strong attention to detail; andGoal oriented Please do not apply if you have never hired/interviewed employeesBenefits:Starting at 30K for 30 days then after review 50-75K plus commissions We will start holding interviews this week!!,,0,1,0,Part-time,,,Human Resources,Customer Service,0
2856,"Senior Graphic Artist, English Service","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The Senior Graphic Artist shall properly complete all daily tasks. These daily tasks include but are not limited to: providing a scheduled and approved video product to meet a live programming and production deadline; properly rendering file formats, correct color and perspective attributes; demonstrating innovative design quality. For full-time personnel, more than two mistakes per week will not be acceptable; for part-time personnel, more than two mistakes per month will not be acceptable. In addition, receiving more than one valid customer rejection per three projects will not be acceptable.",The Senior Graphic Artist: (a) shall be highly skilled in current graphic design software and systems; shall be an expert in developing motion and three dimensional graphic images; and shall be responsible for designing and developing complete graphic packages for TV shows.,,0,1,0,,,,,,0
8424,Call Center Manager,"US, MA, Woburn",Member Services,,"Outstanding Member Service Starts With Outstanding PeopleIf you are committed to the concept of “above and beyond” and enjoy interacting with people either in person or on the telephone, then we want to talk to you.Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program.","Would you like to work for a trusted organization that values its employees?  A USAlliance career is a journey that starts with a positive, productive, and engaging workplace where employees are valued and respected.Responsible for the daily operations and productivity of the Call Center ensuring that professional accurate and efficient information is delivered.  Ensure efficient departmental operations, with an emphasis on member satisfaction, high quality service, cross selling of products and services, product penetration, membership growth and the safety and soundness of USAlliance FCU.  ESSENTIAL DUTIES:Direct, coach, develop and motivate staff.Manage daily operations to maximize service to members.Motivate staff to achieve member satisfaction.Coach staff to organize their responsibilities and in order to maximize their effectiveness.Provide on-going feedback to staff regarding their performance, development and when required, disciplinary action.Provide on the job training as requiredFacilitate meeting and focus groups to achieve project goals and objectives.Ensure all incoming calls are handled in an efficient manner.Monitor and critique the quality of service given by each Representative.  Provide monthly performance reviews.Ensure the equipment is serviced regularly and is functioning at maximum capacity.Ensure all call-backs are handled in an expeditious manner.Responsible for researching member inquiries to ensure proper follow-up and member satisfaction.Facilitate the development of an organizational sales culture. Conduct regularly scheduled (monthly) team-building meetings. ","Strong interpersonal, leadership and supervisory skills.Ability to manage multiple tasks simultaneously.Strong organizational and planning skills.Ability to work collaboratively with colleagues across the organization.   Ability to maintain an effective and efficient workflow. Ability to function in a fast-paced and changing environment. Must have a “sales attitude”.  Bachelors degree preferred or a minimum of 5 years equivalent business experience desirable. All positions must adhere to standard BSA/AML policies, procedures and processes.  Employees must demonstrate awareness of business functions and how business decisions affect financial and/or non-financial work results.","Our people are our most valuable asset. We recognize their value  the hard work and dedication they give  and reward it through a highly competitive salary structure and benefits program which includes:Medical, dental and vision insurance401k  Retirement Savings Plan with a match up to 6% by the Credit UnionFlexible Spending  AccountsLife insurance paid by the Credit UnionGenerous paid time offGym membership reimbursement program",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,Customer Service,0
6721, Real Estate Agents,"US, CA, Pasadena",,,"                                                                         Mission Statement:                                     We are a community of real estate professionals dedicated to making                                            a difference with integrity, innovation, care and excellence. Dilbeck Real Estate Real Living was built on a commitment to superior service, honesty, integrity and the value of home ownership and family. To the Dilbeck Real Estate Real Living family, every handshake, client and local community is important.The families who set the foundation pieces for Dilbeck Real Estate created a legacy within the real estate community dating back decades. Dilbeck Real Estate, traces its corporate roots to 1950 when Bliss Keeler founded his company in the San Gabriel Valley. Before merging with Bliss Keeler in 1993, Ray Dilbeck began building his family business in 1963. Today, Ray Dilbecks sons and their colleagues lead the firm. The companys current leaders are still guided by ideals and principles on which Ray and the firms other founders stood.As this company has grown, it has stayed true to the characters of its founders, maintained its dedication to superior customer service, and continued to update its state-of-the-art marketing and technological resources. After decades, Dilbeck Real Estate continues to have a reputation for outstanding professionalism and a commitment to the communities it serves.The firm and its real estate professionals have grown along with the communities they serve. Most associates have been raised or live in their local market area. They have an intimate knowledge of the character of each local community, its schools, recreational facilities, shopping opportunities, and transportation.This community background, combined with the skills of highly trained professionals, make Dilbeck Real Estate Associates ready to satisfy the specific needs of all their clients and meet the unique challenges encountered when selecting or selling a home.","Dilbeck Real Estate is family-owned Real Estate company with roots leading to the 1950's that specializes in San Fernando, Santa Clarita and San Gabriel Valleys in the Los Angeles area. We have 15 offices with 600+ Sales Partners and growing daily. To ensure that our Sales Partners exceed their expectations, we offer one of the finest Real Estate training programs in the industry.  We also provide the following to assist in building your foundation properly. (Licensed Agents, currently in RE school or looking to obtain their license)2 Week (80 hours) Training Program (M-F 9-5)Full-Office SupportWarm EnvironmentNo Desk FeesIn-House Marketing DepartmentIn-House Escrow, Title, and LoansAssistance in Mailer ReimbursementCompetitive PackagesUse of all 15 officesDaily Webinar and Live Training ClassesReal Estate CoachFamily OwnedAffiliate of Christie's International Real Estate13 Offices currently hiring                                                                                                             If support, continued training, success and a warm environment are important factors in your career choice, We would appreciate the opportunity to evaluate our fit of one another.",,,0,1,1,,,,,,0
13228,Ink Jet Operator,"US, OH, Hilliard",Lettershop,,"Baesman is a nationally-recognized, single-source provider of direct marketing strategy and solutions, including CRM data analytics &amp; hygiene, loyalty &amp; rewards programs, direct mail &amp; email campaigns, segmentation &amp; lifecycle analysis, and integrated multi-channel execution. We make it easier, faster, and more cost-effective for you to profitably engage your customers by using the channels they prefer most -- email, mobile, direct mail, digital. Our proven strategies help retailers acquire new customers, deepen relationship with current customers, and significantly impact sales. We create highly-flexible, highly-effective marketing solutions, based on your own brand data and customized for your business needs. ""Off-the-shelf"" is simply not in our vernacular. We know the unique marketing challenges of retailers. We help our clients understand their customer segments, develop targeted campaign strategies, execute flawlessly on those campaigns, and deliver thorough hindsight analysis. Our marketing strategies help clients deliver the right message, to the right customer, at the right time, and drive consumer behavior. We also provide critical, post-campaign ROI measurements, to ensure effective use of your invested marketing dollars. When combined with our printing &amp; fulfillment services, our end-to-end solutions can ensure total quality control across the full range of implementation. Baesman -- making marketing work™","Baesman Group is looking to hire motivated individuals to setup and operate one of the resident inkjet devices according to specifications on the job ticket. 3rd shift position available.Essential duties and responsibilities include reading and following directions on the job ticket, setup and operate one type of inkjet device, sort mail and tray all mail product and stack mail skids.","Must have knowledge of USPS postal regulations, able to interpret barcodes for quality control purposes, able to work in a fast paced environment and work well with others.","We offer an excellent pay and benefits package including medical, dental, vision, 401(k) with a generous company match, profit sharing, paid time off and much more!Baesman has been in business for over 60 years and is a PIA Best Workplace in America award winner.",0,1,1,Full-time,Entry level,High School or equivalent,Printing,Production,0
4894,Communications & PR Trainee,"GR, E, Volos",Public Relations,,"incrediblue is busting the myth that boating is only for the rich and famous by enabling any traveller to book an amazing holiday on a boat. As it happened in lodging and in transportation, incrediblue is changing the travel industry again, by introducing a whole new travel category; boating. Boating is the ultimate vacation with all the comforts of being at home while you are exploring new destinations.We are an innovation driven, committed and fun to be around team, where every contribution, no matter how little or big, counts. So if you're a passionate professional with solid experience who wants to work in a world-class internet company, you are in the right place. As with all incrediblue team members, were looking for someone who takes pride in their entrepreneurial spirit, discipline, proactivity, guerilla mindset and great teamwork skills. Thats us!","Incrediblue is changing the way people experience holidays by enabling aspiring and experienced boaters to book unique boats directly from their owners. By integrating the 3 key industries of Internet, Travel &amp; Nautical Tourism, we are busting the myth that boating is only for the rich and famous. Were an innovation driven, committed and fun to be around team, where every contribution, no matter how little or big, counts. With growth comes great responsibility, so we are looking for skilled and excited professionals to join our team. As with all incrediblue team members, were looking for someone who takes pride in their entrepreneurial spirit, discipline, proactivity, guerilla mindset and great teamwork skills. Thats us!The Communications &amp; PR Trainee position, reporting directly to the CEO, is a very exciting role for a young, talented graduate with exceptional writing &amp; communication skills. As you will create, implement, track and optimize content-related actions based on our plan, this position requires studies in Communications or PR. Upon the end of the Trainee program you will be evaluated and be given the chance to join Full-time at the next organizational level.A day-to-day view of the role includes the following:Write content Newsletters, Press Releases, and moreDistribute our content across mediaHandle Media inquiries, Blogger relationships and moreManage email marketing campaigns including writing and reportingDesign seasonal, event-based and offer-based promotions","Must have:Recent Graduate of a relevant field (Communications, PR, Copywriting)Passion for Incrediblue and our missionExcellent writing &amp; communication skillsProficient in English (both verbal &amp; written)Bonus skills:Boating/Sailing Skills and ExperienceOther European languages","Paid, 6-month Trainee with competitive salaryPrivate health insuranceDaily, Fresh, Greek Lunch (we order through our own custom app!)Unlimited Caffeine is on the house (Nespresso and more)Not a Boater/Sailor yet? You'll get your license while working at incrediblueAre you excited by the opportunity of becoming part of a young, ambitious and entrepreneurial team who is innovating 3 industries at the same time? Would you like to be an early employee of a well-funded, tech startup with incredible dynamics? If yes, apply now for the position of Communications &amp; PR Trainee here.",0,1,1,Full-time,Entry level,Bachelor's Degree,Internet,Public Relations,0
1286,Office Manager (Volos),"GR, E, Volos",,,"Agrospecom is a company with a leadership position in the Food Safety sector in Greece. Since 2013, we have embarked on the development of cutting-edge technology solutions, based on the latest crop of wireless sensing and Internet-of-Things technologies.Our customers are leading industrial players in Greece and abroad. We are addressing the food and beverage sector mainly, but also have clients in the agricultural and tourism sectors. We are investing in innovative technology solutions to uniquely serve our diverse clientele.To execute on this vision, we have assembled an ambitious and highly skilled software &amp; hardware team, which we intend to grow steadily by attracting talented and motivated individuals.","We are excited to be launching a technology venture and growing a dynamic product engineering team in Volos. For this position, we are looking for a highly motivated individual who can help maintain an ideal work environment and keep us organized!The ideal applicant should be creative, sociable, passionate, and unafraid to take initiative or assume responsibilities. They should enjoy using the latest technology in IT and mobile productivity tools and have the capacity to juggle several tasks by relying on electronic to-do lists, spreadsheets, email and 'cloud' tools. They should be excellent communicators, especially in English, and be able to abstract complex details into succint, actionable messages.Admin &amp; HR responsibilities:Physical and electronic front deskInternational travel and appointment planningTeam building, hiring and on-boarding, company eventsOffice supplies, bill payment, budgetingUniversity liaison, orientation of interns and scouting for new hiresAccounting responsibilities:Interface with Accounting for bills, invoices, payroll etc.Create, review and maintain basic financial statements such as P&amp;L and Cash Flows","University degree, preferrably in Accounting or Business AdministrationAt least 2 years experience in accountant or office secretary roleFluent in English, written and spokenProficient in using computers, especially Office and mail appsProficient in using smartphones and tabletsAbility to prioritize and multi-taskOutstanding communication and teamwork skillsClean driver's license","This is a position with high potential for personal growth and development. You will be interfacing directly with highly qualified engineering personnel, University faculty as well as seasoned entrepreneurs and executives. Every day will offer an opportunity to acquire new technical and organizational skills and improve your level of communication and business understanding.Our office in Volos offers an ideal environment located in the downtown area. We are a smoke-free and 'green' workplace. We reward dedication and initiative.",0,1,1,Full-time,Entry level,Bachelor's Degree,Information Technology and Services,Administrative,0
14332,Circuit design and micro controller programmer,"US, , ",,10000-100000,,We want to develope battery operated RFID reader with small actuator or servo motor.You should be able to design the circuit with micro controller and rfid reader chip and program them according to our requirement for the application. One possible option for the combination of chips is MSP430 and TRF7960 by TI. We are expecting 3 to 6 man months for the contract to finish the project. ,"1. Should be able to design the complete circuit with micro controller, RFID reader chip.2. Should be able to programm the controller.3. Should be able to set up production environment. For example, MSP-Gang430 can be used to manufacture.",,0,0,0,Contract,Mid-Senior level,Bachelor's Degree,Electrical/Electronic Manufacturing,Engineering,0
11235,Sales Director,"US, CA, San Francisco Bay Area",,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI &amp; Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&amp;D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall &amp; excellence in the field of Business Intelligence &amp; Analytics by driving BI adoption with our innovative analytical solutions and applications.","City / Location : Any Hub City in the USA Join one of the fastest-growing and best Business Intelligence (BI) companies in North America.  We are BI experts  passionate about BI  and are looking for seasoned, professional, ethical, and dynamic sales people. We need sales professionals that are eager to join a rapidly growing team, bring their creativity and ideas, and be handsomely rewarded for their successes. Visual BI is the nations most innovative Business Intelligence and Analytics firm and a premier partner of SAP in the BI space.  Visual BI partners with clients to bridge the traditional information gap by delivering industry leading BI competency in a flexible and scalable solution model.  We invest in Global BI talent, which is supported by a team that is structured to focus on improving our client's BI adoption rate while delivering faster, deeply informative and more cost effective BI solutions.Ideally, this person would have over 8 years of verifiable professional sales experience that includes 3+ years selling SAP or BI professional services and software.  Must have a successful track record in meeting and exceeding quotas or expectations. ","New Business Development• Prospect, qualify and close business opportunities for Visual BI.• Grow revenue by $5M in professional services or software sales.• Grow relationship with local area strategic partners such as SAP, TIBCO, Tableau, etc.• Attend and grow relationship with local ASUG community and members• Utilize existing relationships to drive new sales• Ability to sell in a consultative manner and addressing the needs of different levels of customers (Management, Directors/VP/C Level)• Build and maintain a pipeline of viable business opportunities for Visual BI.• Enter and maintain all contacts and opportunities in CRM • Managing all aspects of the sales lifecycle from hunting new accounts, identifying solutions (with Solution Engineers), assisting with SOW creation and negotiation as well as managing and growing new established accounts.  Travel Requirements:• Travel is required for sales engagement and conferences.",Salary and bonus commensurate with experience.Excellent Benefits ,1,1,1,Full-time,Director,Associate Degree,Information Technology and Services,Sales,0
2453,Junior Wording & Translation Manager (m/f),"DE, BE, Berlin",Didactics,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","We are looking for a Junior Wording &amp; Translation Manager (m/f, full time) to join our team in Berlin. You will be working with our International Wording &amp; Translation Manager, taking care of multiple translation and proofreading projects.RESPONSIBILITIESManaging and completing a wide variety of wording, translation and proofreading projectsEnsuring high-quality results through regular progress monitoringFormalising wording and language usage standards across all of Babbel's seven reference languages: English, German, French, Italian, Spanish, Portuguese and Swedish","Previous experience in project management, language teaching and/or translationFluent in English and at least two other Babbel reference languages (further language skills a definite plus)Assure excellence in text quality (attention to detail)Structured thinker capable of prioritizing and planning aheadExcellent communication skillsAbility to solve problems under pressure and at short noticeCan work well both individually and as part of a team","Receive professional support in wording and translation processes and toolsGain valuable experience in project managementWork in a truly international and fast-growing start-upHelp develop a high quality, useful productGreat opportunities for personal growthWe would appreciate concise and relevant applications exclusively in digital form sent to the attn. of Ms Katja Wilde referring to the position as Junior Wording &amp; Translation Manager (m/f).Please note: We do not accept applications from recruiting agencies.",0,1,1,Full-time,,,E-Learning,,0
16488,Chief Financial Officer,"EG, C, Cairo, Nasr City",Management,300000-450000,"Thanks for visiting our Job Board. Please review our open positions and apply to the positions that match your qualifications.Cequens is a global cloud communication carrier with customers in over 40 countries, specialized in advanced Mobile Messaging Services all over the world. Its activities include providing MT (Mobile Terminate) and MO (Mobile Originate) connectivity for large SMS clients and aggregators ranging from enterprises to multi-nationals and public sector organizations, supplying high quality SMS solutions for business use, the company is a licensed SMS aggregator in Egypt and Saudi Arabia and maintain branches in those countries.Vision To be the number one, most successful messaging company in the markets we serve.Mission""To Unleash the World's Power to Communicate""Our Core valuesInnovationExcellenceTeamworkFlexibilitySecuritySustainabilityCequens Team                                                            We believe in choosing the best calibers and assisting them in continuing to develop their potential and qualifications. A good working environment, the right motivation and great teamwork is the way we use to successfully achieve our goals.","Role SummaryResponsible for overseeing and directing the organization's financial goals, objectives, and budgets. Meets with the Board and other company executives to develop financial goals and budgets.Main duties and responsibilitiesAssist in performing all tasks necessary to achieve the organization's mission and help execute staff succession and growth plans.Work with the President/CEO on the strategic vision including fostering and cultivating stakeholder relationships on city, state, and national levels, as well as assisting in the development and negotiation of contracts.Participate in developing new business, specifically: assist the CEO and COO in identifying new funding opportunities, the drafting of prospective programmatic budgets, and determining cost effectiveness of prospective service delivery.Ensure adequate controls are installed and that substantiating documentation is approved and available such that all purchases may pass independent and governmental audits.Provide the COO with an operating budget. Work with the COO to ensure programmatic success through cost analysis support, and compliance with all contractual and programmatic requirements.Oversee the coordination of all fiscal reporting activities for the organization.Attend Board and Subcommittee meetings; including being the lead staff on the Audit/Finance Committee.Ensure adequate cash flow to meet the organization's needs.Investigate cost-effective benefit plans and other fringe benefits which the organization may offer employees and potential employees with the goal of attracting and retaining qualified individuals.Oversee the production of monthly reports including reconciliations with funders and pension plan requirements, as well as financial statements and cash flow projections for use by Executive management.Oversee Accounts Payable and Accounts Receivable and ensure a disaster recovery plan is in place.Oversee the maintenance of the inventory of all fixed assets, including assets purchased with government funds.",12+ years relevant experience. Masters degree is a plus.CMA and CPA or equivalent degree.,"Attractive pay packageMobile allowanceTransportation allowancePersonal loansFlexible hoursMedical insuranceSocial insurancePaid vacationsSocial activitiesTraining &amp; developmentTuition assistanceProfit ShareCequens Team                                                     We believe in choosing the best calibers and assisting them in continuing to develop their potential and qualifications. A good working environment, the right motivation and great teamwork is the way we use to successfully achieve our goals.About usWe are a leading technology corporation that specializes in mobile messaging services and solutions. Serving hundreds of renowned customers in more than 40 countries worldwide, please visit our website #URL_414f2c0c30d37b5a8db0880b6d51f6b9b08fc03a22553f0d0a568b2687dc8e3e# to know more about us.  ",0,1,0,Full-time,Executive,Master's Degree,Telecommunications,Management,0
8640,Director of Curriculum & Instructional Design,"US, CA, Palo Alto",Learning,,"Declara is focused on bringing data to life. Our technology platform uses machine learning, search, algorithms and recommendations to develop learning paths for individuals, making learning a constant discovery.Learning isn't just our product, it's what we do everyday. Work with a small focused team. You'll push and be pushed. Tackle the toughest technical challenges as you create products that can transform organizations across the globe. Do something meaningful. We're changing how the world nurtures and develops talent. We are located in Palo Alto, Boise and Mexico.","Declara, founded in 2012, operates on the premise that learning does not only happen in schools but continues for a lifetime in which people need to constantly master skills. Its technology platform uses semantic search and recommendations to surface the right content at the right time for individuals, making learning more personalized and discovery oriented. This enables individuals as well as entire communities to learn, making everyone smarter. Declara is seeking a seasoned Director of Curriculum &amp; Instructional Design to join our dynamic team. The successful candidate will play a critical role in maximizing the effectiveness of Declaras innovative learning platform.Reporting to the Chief Learning Officer, you be responsible for guiding Declaras e-learning content strategy. You will vet content partners and guide clients to employ best practices in the creation of compelling, effective, and engaging learning content. You will help advance Declaras mission of providing personalized learning experiences by further developing knowledge maps, learner pathways, and learner progress metrics.The successful candidate will have deep knowledge of curriculum and instructional design, and experience creating commercially successful e-learning products. You must be able to create project schedules, lead teams, and align resources.Your work will ultimately ensure that Declaras learning experience is effective, engaging, and delightful to our learners. You will work closely with other members of the learning team, as well as with members of the product management, UI/UX, engineering, and client services teams to implement personalized learning content recommendations and other cutting-edge technological learning solutions.Come join our dynamic team of data scientists, engineers, product gurus and other industry veterans; help us build highly effective, personalized, and engaging learning experiences that delight our customers. The position is full-time and based in our Palo Alto office.","An advanced degree in Education, Instructional Technology, Learning Science, or closely related fieldDeep passion for education and the use of technology to improve learning for allCreative thinker able to critically evaluate the status quo in online education5+ years experience, with increasing responsibility, in instructional design within the EdTech industryExperience in the design and management of e-learning products for a global marketProven ability to design best-in-class, commercially successful e-learning coursesOutstanding verbal and written communication skillsAbility to build strong relationships with clients with the end goal of creating curriculum that exceeds their expectationsScientifically minded and data-oriented; ability to use data to back up assumptions and develop business casesAdeptness with technology; ability to quickly master new software and systemsAbility to work with others, work independently, take initiative, adapt to change, and make difficult trade-offsHighly detail-oriented with a proven ability to manage multiple, competing priorities simultaneouslyStrongly team-orientedProven ability to build and lead teams and contractorsFlexibility and good humor in the face of unanticipated challengesAble to work with minimal direction in a constantly evolving fast-paced environment; in particular, must be able to quickly identify and prioritize business objectives","Declara is an equal opportunity employer.  Declara offers competitive salary, equity package and benefits. Declara does not accept unsolicited resumes from recruitment agencies and/or individual recruiters without a signed agreement.  Declara will not be responsible for any fees related to unsolicited resumes submitted in any capacity other through invitation by Declara's portal.   ",0,1,0,Full-time,,,,,0
14583,Mortgage Loan Coordinator,"US, OH, Seven Hills",,,"Proficio Mortgage is a rapidly growing mortgage lender that cares about both our clients, and our team members. Proficio is a wholly-owned subsidiary of Proficio Bank. As a National mortgage lender, our branches are exempt or authorized to lend is 49 states and the District of Columbia. Our goal is to make the loan process as simple and worry-free as possible. We pride ourselves in offering the highest level of customer service to our clients.                                            Here at Proficio we believe in a culture that allows every individual to succeed to the highest level of their abilities. Then, we will train your abilities to go even higher. Proficio has a top-notch training program that quickly ramps your career up faster than any other company out there. This is an opportunity not to just have a job, but to LOVE WHAT YOU DO. We are in need for future leaders because of our rapidly expanding growth. The atmosphere here is electric. We hire people that want to work hard, and enjoy what they do! We believe that its important for every one of our team members to have a balanced life. If this sounds like a place you would want to wake up and come to every day for years to come then start your future career today!","Who are we?Proficio Mortgage Ventures is a wholly-owned subsidiary of Proficio Bank. We are authorized to lend in 49 states and offer a wide range of mortgage products including Conventional, FHA, HARP, Reverse Mortgage, VA, and USDA. We are a rapidly growing mortgage lender who cares about our clients and our team members. Our IT and processing systems not only allow us to approve and close loans in as little as 30 days without endangering quality, but also to insure the process is as simple and as worry-free as possible for our clients. At Proficio Mortgage we pride ourselves on service, integrity, and professionalism.What makes us so special?Here at Proficio, we believe in a practice that allows every individual to prosper to the maximum caliber of their abilities. We offer licensing and training to become a certified Federal Loan Processor, including a paid NMLS number. Proficios training program is of the highest quality and will jump start your career faster than any other company out there. This is an opportunity to absolutely love what you do, not just hold down another job. The atmosphere here is electric. We have a fun team environment where there is opportunity to learn and develop both within the company and your professional processing career.Were looking for bright and driven individuals to help grow our team and to continue to build our company. We hire people that want to work hard, represent a professional and positive manner, and enjoy what they do! With dedication, youll gain benefits both financially and personally and have the opportunity for advancement. If this sounds like a team you would be proud to be a part of, then what are you waiting for? Come start your future career today!Job Description  What you will do.Our processing department contains several different stages and teams. We are looking for a loan coordinator to assist a team of one submissions processor and one conditions processor. Loans are submitted to our in-house underwriting and the conditions are cleared for closing. The loan coordinator is involved in reviewing a loan applicants file and preparing it for underwriting. Much of the role centers on communication between the applicant and loan officer to ensure a file has all necessary materials for an effective loan decision.Responsibilities:Works closely with processors and loan officers to gather and prepare all documents needed to satisfy underwriting requirements.Keeps in contact and follows-up with the clients via phone and email to keep them aware of what is going on with the status of their loan.Coordinates the request of additional information required from the customer.Excellent organizational, written, and oral communication skills.Communicates any issues or concerns between the client, processor, loan officer, and all other parties involved in each loan transaction.Attention to detail is critical.The ability to meet deadlines and multi-task in high-energy, fast-paced work environment.Strong commitment to teamwork.Self-motivated. ","High school diploma or GED.Previous mortgage experience is preferred.Demonstrated problem solving, researching, and decision-making skills.Professional appearance.Confident and friendly attitude.Reliable transportation.","By joining our team, what will you get?Hourly pay plus a great incentive pay plan.An incredible benefits package that includes; medical, dental, vision, life insurance, and more.The opportunity to grow as a professional through self-discipline training. We are not just hiring processors  we need future leaders!Career advancement. Proficio Mortgage Ventures, LLC is an equal opportunity employer and will consider all qualified candidates.#URL_536a2a172969d3be5b2fa50c561229135629ee44b9d7d40b2b598a321fdd9397# ",0,1,1,Full-time,Entry level,,Financial Services,Finance,0
13296,Solution Engineer,"US, , ",,,"From the lands of Can Do, Roll Our Sleeves Up and Get It Done, we create and solve things previously unimaginable. Were revolutionizing the IT industry with a platform that provides unprecedented control over all service layers in an organization. We also transform the way people experience enterprise software — theyll accomplish more each day and enjoy using our platform as part of their daily lives. This sounds massively ambitious and tough because it is. Were a small team that doesnt shy away from hard problems and we need more big thinkers and self-starters like you. If you want to be at the forefront of innovation, join us at Apcera and shine.","Apcera is completely re-imagining application infrastructure and deployment for large-scale systems and big data processing. Our vision is to bring the best features of the public cloud deployment model to an entirely new audience. By blurring the lines between Infrastructure-as-a-Service and Platform-as-a-Service, all while keeping policy as a first-class citizen, Continuum is a highly valuable product for real, paying customers.As a Soloution Engineer, you will","RESPONSIBILITIES:DESIRED QUALIFICATIONS:Talk with us to learn more about what we're doing and why it matters. We'd love your help to get better, faster.","Competitive salary and stock options Great location in the heart of SOMA SFFlexible vacation policy Free weekly gourmet lunches100% premium-paid medical, dental, vision, and life insurance Endless snacks and beverages of your choiceWorkstation setup of your choice Team and family events and excursionsGame room Cameron bakes fresh bread and makes pour-over coffee",0,1,1,Full-time,,,,,0
15092,Jr. Flash & HTML Developer,"US, CA, Los Angeles",Animation,,"MediaMonks is the biggest creative digital production company on the planet. We specialize in working for and with advertising agencies to craft amazing digital work for global brands. You can find us anywhere on the Web as well as in Amsterdam, London, LA, New York and Singapore.MediaMonks boasts an in-house team of 250 Monks that are versed in an infinite range of digital disciplines, collectively covering anything you could possibly throw at a digital campaign. Our award-winning capabilities include film, games and mobile and are recognized by over fifty institutions worldwide, including Cannes, Eurobest, the Webbys and the Awwwards.MediaMonks, est. 2001, is a member of SoDA and part of the FWA Hall of Fame. Catch our latest showreel at #URL_9ae12c3d274cab9516c33a92a00900561d083cecea1571d12dd251f5d12c1608#.OpeningsInterested in joining this club of digital artisans? Check out our vacancies and tell us why we should hook up right away. We tend to care less about paper qualifications and more about hands-on experience, so be sure to provide us with a portfolio or other feat of arms. Nothing that matches your skill set? We have awesome jobs for awesome people. Simply tell us about yourself and your trade and we may dream up your digital dream job (or internship) just like that.","Our brand-new LA office is seeking a future-specialist in rich media. As a junior rich media developer, youll be working alongside our award-winning animation team in the Netherlands to code interactive display ads into existence. Youll learn what is possible with animation and how to push the boundaries to develop ever-more advanced rich media executions.MediaMonks crafts high-end digital campaigns for the worlds leading agencies, and the rich media offerings youll be contributing are an integral part of this. Beyond experience in programming, basic knowledge of animation and developing interactive showpieces are prerequisites for starring in this role. Specifically, youve got to know the ins and outs of ActionScript 2.0, but if youre versed in AS3 were even more compatible. Lastly, some skills in HTML(5) and JavaScript would definitely make you a superb pick for this sweet position with an ocean view.A basic understanding of ad-serving platforms such as Adrime/Weborama and DoubleClick is part and parcel of this position. However, this can be learnt as you go. The same is true for outclassing your colleagues during our instructional outings. If youre interested in increasing the wealth of our rich media potential, wed love to hear from you.",,,0,1,0,Full-time,Entry level,,Marketing and Advertising,Production,0
3582,iOS / Cocoa Developer,"DE, BE, Berlin",Development,,,"Contentful (#URL_0252efddcbc4b8f51969fca7b054595976ea4678fd880b3653151e618d447b33#) is an API-first content management platform for web and mobile applications and the first cloud-based service to allow content to be distributed across any device, application or platform, with one single input.Content is managed in a completely presentation-independent format and can be served to all current and future platforms, including iOS, Android, Google Glass or interactive JavaScript apps.We are still early stage but showed significant traction and have paying customers. We are funded by the worlds leading VCs, Benchmarks European sister Balderton (MySQL, Redhat, Twitter, New Relic, Dropbox) and SaaS expert Point Nine Capital (ZenDesk, Unbounce, Server Density). Our advisory board includes key personalities from the BBC, Apple, Rovio, Symantec as well as thought leaders in the Web and Content Management space.As the iOS/Cocoa developer youll take charge of the development of our Cocoa SDK, example applications and youll evangelise Contentful in the iOS community.","You dream in Objective-C and know your way around all the Foundation/CoreFoundation frameworksYou know XCode, Instruments, gcc/llvm, the debugger and pre-processor in depthYou have proven experience in developing a Cocoa SDK that works across iOS versions and know how to ship it (static libs, Cocoapods, …)You already used read/write REST APIs from Cocoa and have a strong understanding of HTTP and OAuth. You know all the networking libraries.You worked with complex Core Data projects. You understand its strengths and weaknesses and can evaluate when to use it compared to simpler technologies like Sqlite.Youre great at object-oriented development, multi-threading, asynchronous programming, design patterns and agile methodologiesStrong attitude to testingUsed to work in small team and on solo projectsYou're an active participant in the tech communityYou get stuff done. We are looking for someone we can trust to do a good job without much handholding - you should enjoy responsibility.","The Web is changing and becoming more interactive, be part of this revolution and work with cutting-edge technologies on the future of the WebRelease almost all your code as open source. Visit conferences, speak there and spread the word.Get exposure and work with other technologies we use in house: Erlang, Rails, RabbitMQ, elasticsearch, #URL_a58bd7bd48420a1f4774598bc5f1451bdcc79baee91a357c1d69e8aede501d73# and othersBe part of a team of technical experts in a company where technology matters and is keyEnjoy a significant training budget (money and time) that you can spend on conferences and coursesBe in a fast-paced environment in a company thats growing quickly. Garner responsibility and make a significant impact, instead of being just another cog in a machine.Pick your own equipment to get the best out of your working daysA fun workplace right in the heart of BerlinCompetitive salary and stock options",0,1,1,Full-time,Mid-Senior level,,Internet,Information Technology,0
2948,Web/Applications Developer,"US, DC, Washington, DC",Department,,"G&amp;H International Services, Inc. is a Washington D.C. small business dedicated to providing services and technology to enhance organizational and community preparedness and resilience. We work with all levels of government and the private sector to develop and manage programs and projects that improve information management, situational awareness, and communications interoperability.GHI is a consulting company founded in 1997, focused in the Emergency Management industry. Our employees are our greatest asset. We are committed to continually building a team of the most qualified and committed consulting and technology professionals and helping them grow with challenging assignments supporting the company mission. GHI hires people who are able to challenge conventional thought, offer unique perspectives and conceive and help implement innovative and sustainable solutions for our customers.","G&amp;H International Services Inc. (G&amp;H) is looking for a qualified, self-motivated Technology Specialist with expertise in web/applications development to join an innovative team responsible for providing technical services in support of information management systems. GHI is a small consulting company founded in 1997, focused in the Emergency Management industry. Our employees are our greatest asset. We are committed to continually building a team of the most qualified and committed consulting and technology professionals and helping them grow with challenging assignments supporting the company mission. G&amp;H International Services hires people who are able to challenge conventional thought, offer unique perspectives and conceive and help implement innovative and sustainable solutions for our customers.","General Responsibilities: Provide customer-site and remote development and integration support for the deployment of geo-spatially enabled information-sharing systems;Provide technical assistance to integrate solution components into end-user operating environments;Partner with colleagues and client base to investigate problems and define solution approaches;Ensure project quality;Interface with Program Directors, other Project Managers and/or Leads to escalate relevant decisions and unresolved issues, and recommend appropriate courses of action when feasible;Identify and keep abreast of current IT best practices relating to developing and deploying information sharing/management and GIS services; andSupport other activities as directed by G&amp;H executive management. Qualifications:U.S. citizenship;Bachelors degree with a minimum of Five (5) years of business experience in Technical Consulting and Software Development;Excellent programming skills in JavaScript, HTML5, and CSS;Experience Designing, developing and implementing web applications leveraging ESRI's ArcGIS API for JavaScript, Dojo, jQuery, ArcGIS Server, and ArcGIS Online;Scripting experience with PHP, Python, VB, Perl or similar scripting languages;Able to understand, leverage, and apply Application Programming Interfaces (APIs) to solve problems and integrate solutions(e.g. Flickr, Twitter, Open Layers, Google Gadgets, Yahoo Pipes, &amp; ESRI APIs);Strong critical thinking abilities, including knowing when and how to dig deeper into problems and identify actionable recommendations;Excellent writing and presentation skills;Ability to work under tight deadlines;Knowledge of Microsoft Office suite of software; andAbility to effectively collaborate with a development/integration team. Desired knowledge and/or experience includes:Experience working with RDBMS (MS SQL Server, Oracle, PostgreSQL, MySQL);Experience using ArcGIS Desktop, ArcSDE and ArcGIS Server;Good understanding of IIS (and/or Apache) and webserver troubleshooting skills;Familiar with Open GIS Consortium standards and approaches;Developing technical requirements from business users;Developing technical documentation, guides, and reference materials; andExperience working with the disaster response and preparedness community preferred.",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
14365,Business Development (B2B Sales),"US, FL, Boca Raton",,30000-40000,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#","DESCRIPTIONTitle: Account Executive (B2B)Location: Boca Raton, FLIndustry: Digital MarketingCompensation: Guaranteed Base Salary + Commission + BenefitsSummary:We are currently looking for aggressive Account Executives who have a background in outside sales, B2B, and business development.  If you are in sales and are confident in your sales abilities, then this opportunity may be for you.  We are currently seeking outgoing, confident, driven, and financially motivated Sales professionals. The ideal candidate will have a proven track record of success with B2B Sales. This position is perfect for the hard working, persistent and positive team player who knows how to use the telephone and email as lead generation tools.As a new Account Executive, it is vital that you display the ability to communicate effectively and to develop strong relationships with corporate decision makers, particularly C-level executives. You must also be able to construct well planned and constructed account strategy documents and present well to senior-level clients.Duties:Execute a minimum of 70+ outbound B2B prospecting calls per day with appropriate activity via e-mail to accompany those efforts.Schedule a target number of telephone meetings and appointments each week.Keep CRM updated with lead/opportunity details, tracking and correct marketing event codes.Work with sales leadership to identify qualification criteria.Meet and Exceed Monthly Sales TargetsUtilize Proven Sales Tactics and Business Savvy techniques to Overcome Gatekeeper ObjectionsCustomized Presentations to Decision Makers and Business OwnersIdentify prospects by utilizing creative Lead Generation techniquesAbility to Uncover Business Needs, Influence Others and Position the Appropriate Product for their business","REQUIREMENTSExperience:2-5 years experience selling to senior-level B2B buyers.Proven track record of hitting and surpassing sales targetsDigital Marketing Experience (Direct-mail, Opt-in Email, SEO/SMM, Mobile Marketing, PPC, and Social.) is PREFFERED, but NOT REQUIRED.Astute at closing new business and managing existing accountsGood communicator—excellent listening skills and ability to uncover the real “pain"" a client might be experiencingStrong capacity to develop relationships quickly over the phone.Bachelors degree preferred but not necessary. We will consider the right experience over a degreeHighly self-motivated and self-disciplined with ability to work effectively with little or no supervisionOutgoing personality with expertise at developing relationships, particularly with business owners, presidents and CEOsProficiency with the MS Suite, Internet navigation, and CRM applications","$30,000 - $40,000 Base Salary + Commission &amp; Benefits ",0,1,1,Full-time,Associate,Unspecified,Marketing and Advertising,Sales,0
4272,"Sr. Manager, Global Solutions Architects","US, CA, Redwood City",Equinix,,"StartupTAP is not a conventional recruiting agency.  We're true Talent Acquisition Partners.We stand behind the belief that talent is the magical ingredient that fuels innovative ideas and builds successful startups. A great idea can only go so far without the right mix of talented, driven people working vigorously toward the same goals. We dont just provide resumes and candidates; well be your Talent Acquisition Partners in crime, doing all the dirty work to find those rare gems, the people that have that unique blend of talent, drive and passion that will bring fresh energy, ability and ideas to your team. So, while youre busy changing the world, let us be your Talent Acquisition Partners, its what we do best.","THE COMPANY: EQUINIX [NASDAQ:EQIX]Equinix is the leading provider of network-neutral data center and interconnection services and helps the worlds largest networks, content providers, and enterprises scale through a global data center footprint and unparalleled bandwidth exchange opportunities. More than 4,000 of the worlds most demanding customers trust Equinix to provide a place where they can run their mission-critical applications and grow their businesses.  Equinix has a mission to interconnect the world and create a historically significant company in the process.Highlights…~$2 billion company with close to 3500 employees worldwide, and quickly growing. Forbes named Equinix the #15 Fastest Growing Technology Company in America. Top notch leadership team with employees dedicated and committed to customers and each other.Equinix Corporate OverviewEquinix Ranked Number 271 Fastest Growing Company in North American on Deloittes 2012 Technology Fast 500Equinix to Join the NASDAQ-100 IndexOur Vision &amp; HistoryGreen Initiatives  Working Toward a Sustainable FutureTHE OPPORTUNITY: Sr. Manager of Global Solutions Architects (GSA)  The Sr. Manager of Global Solutions Architects (GSA) will manage a new Sales support capability for Equinix by providing consulting services targeted at companies with the most demanding network capacity, interconnection, and performance needs, such as digital content and media, gaming, content peering, and financial trading customers. The candidate will ideally be located in one of Equinixs existing U.S. cities, will report to the Sr. Director of Global Solutions Architects, and also requires significant cross-functional coordination with Sales and Product Marketing organizations. The position is a high-visibility leadership position both within and outside of the company, and as such, the ideal candidate will possess a strong balance of self-motivation, senior technical skills, advanced client handling, and prior experience supporting recognized Internet companies. Specifically...Providing leadership and guidance for a regional team of Global Solutions Architects.Act as a player / coach.Setting and managing quarterly MBO objectives for team members.Building a network consulting practice to grow the quality and quantity of the companys business focused on network interconnection and bandwidth delivery.Cross vertical focus on Network infrastructure, Data Center capabilities and Cloud/IT deployment models.Understanding how to intuitively work with existing sales, solutions architect, sales engineering, and product marketing teams and objectives.Conducting a focused effort toward a specific set of target customers.Understand the goals of the company and position, and effectively develop strategies to meet those goals.Bridge the networks, data center and cloud deployment models to ensure Equinix customers realize the greatest ROI on their partnership with Equinix.Continually refresh knowledge to maintain industry leadership.Develop SOWs to win consulting projects.Understand how to conduct requirements, design, implementation, and operations phases of a project.Plan, execute, and communicate the results of the work required in the SOW.Organizing additional technical resources within the organization to address client needs when necessary.Medium travel to Equinix HQ, industry events and customer engagements.","EDUCATION: BS or MS in engineering or related technical field.  Certifications highly desired.EXPERIENCE: 10+ years of proven experience in the areas of Network services provider for financial services sector, with background in pre-sales network architecture and planning role, interfacing with financial Exchanges and market data providers.Knowledge and/or experience with direct Exchange feeds, Reuters and Bloomberg, and any market data trading floor products.Substantial telecommunications experience required; technical and commercial knowledge of network operator topology and architecture and IP routing strongly preferred.Proficient in router and switch features and configuration, BGP and other routing protocols, performance measurement, tuning and scaling, and reliability and security techniques.Must have a thorough understanding of, and experience with, servers, storage, layer 3 networking and enterprise software.Candidate will exhibit confidence and influence when presenting verbal and written proposals to prospects, structuring consulting agreements, and be comfortable developing SOWs and related consulting deliverables.Candidate will be comfortable speaking at conferences, acting as an authority in his field, and prospecting from industry events.Candidate must be comfortable handling all phases of a consulting engagement, and will ideally show potential to attract and manage additional talent over time.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
5761,"Agency Sales Managers $150-$175,000/yr","US, NY, Hudson Valley",,,"We have aggressive growth plans in place for the coming years. We provide a much needed service in facilitating the growth of small and medium size business with innovative financing products to their clients.We, at Oak Tree Financing, commit our energies, intellect and knowledge to helping small and medium size business owners and entrepreneurs with extraordinary financing solutions to clients. We believe in providing the highest possible level of service and delivering superior results. We are committed to their financial well-being. With 67% of the population having No Credit or Bad Credit our financing products have helped business owners increase their sales on average by 30%.","We provide No Credit Check Financing to customers of Small and Medium size Businesses and can help 90% of the industries from Auto Mechanics to Dentists and Lawyers.We are seeking skilled Account Managers to grow with us and help us achieve our goals in this new and exciting industry.This is designed as a long-term position for an entrepreneurial individual to really build their book of business, along with their income.Our people enjoy a flexible work environment. High earnings with great incentives like corporate retreats and quick advancement opportunities.","Experience and Skills  Required- Minimum of 3 years of sales experience- Ability to work in a home office environment- Exceptional speaking, writing, and negotiation skills- You must be a motivated self starter and instil that others- College degree","We Provide- Complete online training and office support- Flexible working environment- An exciting and lucrative opportunity for those with a proven results background- Rapid advancement for those highly motivated- Average yearly income of $150-$175,000 based on our straight commission compensation model",0,1,0,Full-time,Associate,High School or equivalent,Financial Services,Sales,1
15045,Beauty & Fragrance consultants needed,"GB, , Sheffield",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury beauty &amp; fragrance consultants needed for immediate starts!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary &amp; permanent beauty, fragrance, fashion &amp; retail staff. We are recruiting now for beauty &amp; fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care &amp; perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to marie@#URL_2cf2adf737014de8b49f0776d9c8caa1a359f0bf42fa9910bb760d5475a2f1b9#",,,0,1,0,,,,,,0
9795,Mobile UX Designer,"SE, I, Visby",,,"We need awesome people!#URL_02407801b3969d809d06471004eb3a66f294a25ff7f76295505b180117e45e73# is a solution and a marketplace for individuals and companies to upload, sell, share, request purchase and use photos.We have a multichannel strategy; target partnerships with global imagery related applications and has already established a partnership agreement with Microsoft.Our vision is to be the global photo market!The Mission is to change the way we use photos!From the baltic sea to silicon valley We are one of the leading tech companies in the unique and great city of Visby. The city is located on a island in the middle of the baltic sea and has everything you need. Great environments, great restaurants, great nightlife, great people. Besides this, Visby has been adopted by UNESCO as a World Heritage site because of its unique cultural value. During summer each year Visby is a bustling town of cafes, restaurants and nightclubs catering for the Swedish and international yachting crowd.#URL_02407801b3969d809d06471004eb3a66f294a25ff7f76295505b180117e45e73# is a reaching for the world and by being a part of us in Visby you have never been closer to Silicon Valley. ","#URL_02407801b3969d809d06471004eb3a66f294a25ff7f76295505b180117e45e73# is a service that will change the way people use photos, and we need you to make our vision come to life!We are looking for a Mobile UX Designer to create beautiful and engaging experiences for our customers. Your responsibility will be to deliver outstanding designs that is a perfect match between the business and user needs. #URL_02407801b3969d809d06471004eb3a66f294a25ff7f76295505b180117e45e73# believes in working cross functional, super nimble and collaborative processes. You do rapid prototypes, concept sketches, experience storyboards, interaction designs, and visual designs. You will work together with a growing team of talented product managers, engineers, and designers to create award-winning solutions for millions of people.Your duties and responsibilities:Be responsible for the design process and overall design quality for your projects.Partner with the UX Manager in achieving desirable digital experiences.Present and motivate design decisions to project stakekholders.Practice user centred methodology to form design decisions based on user data and insights.Participate in a variety of workshops and meetings including client reviews and ideation workshops. ",What you have been up to: A minimum of 3 years experience in designing interactive digital solutions.Writing and speaking english and swedish is second nature. You rock with your skills to communicate and collaborateYou've designed plenty of mobile and tablet experiences (iOS/Android/Windows Phone).Documented success cases in designing mobile experiences (both native app and responsive/adaptive design in browser).Strong hands-on skills in design and crafting digital user experiences.You love to observe and understand users. You live and breath design thinking and don't move many steps until you need to verify your designs with usersParticipating in meet-ups or active contributor to the UX society/blogs is considered as a big merit.,"At #URL_02407801b3969d809d06471004eb3a66f294a25ff7f76295505b180117e45e73# we love to work and have fun, therefore, you will be part of our extended family. You will never be hungry, lonely or bored. Life is too short: enjoy it!Health benefits (yearly contribution to your fitness activities)A nice pension planSubsidised FoodFree coffee and snacks Insurance plan3-6 months start up apartment/room in VisbyYearly get together at secret locations on GotlandTravel exchange with our office in Silicon Valley",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Design,Art/Creative,0
1147,Accountant / Book Keeper,"US, , Herndon",,,"Roka Security is a boutique security firm that specializes in full-scale network protection and defending against advanced, targeted attacks. Our staff members have background in governmental and intelligence fields as well as large-scale data center and network deployments.We leverage our in-depth experience to aid our customers in protecting their data, and their intellectual property, andtheir customer's data, We help our clients with the full breadth of services whether it's consulting on general security issues or performing security assessments or assisting them with their latest network design or datacenter build-out. We also provide the full breadth of managed services including managed security perimeters, Managed Infrastructure / private cloud, or 24x7 security monitoring in our state-of-the-art Security Operations Center.","Roka Security is looking for a Accountant / Book keeper for our office in Herndon Va.We are looking for driven, focused, dynamic individuals with 5+ year's accounting experience to join our firm.Duties and Responsibilities:Prepares compiled financial statements including adjusting journal entries, trial balance and bank reconciliations.Analyzes information and options by developing spreadsheet reports; verifying information.Prepares payments by accruing expenses; assigning account numbers; requesting disbursements; reconciling accounts.Develops and implements accounting procedures by analyzing current procedures; recommending changes.Provides accounting support by reviewing financial information; converting data to general ledger system; obtaining supplementary information for preparing financial statements.Management of Accounting ProcessesAnalysis of Financial PerformanceCash ManagementCost Expenditure AnalysisManagement Accounting for Owners &amp; ClientsHuman ResourcesBookkeeping: accounts payable, accounts receivable, accounts reconciliation, maintaining office recordQualifications:-Minimum education requirement: Bachelor's Degree in Accounting-Minimum 5 years experience-Positive and friendly personality with genuine desire to help people-Strong verbal and written communication skills.-Detail-oriented ","Experience with Quickbooks EnterpriseMulti Company management in quickbooksCapable of preserving confidential or sensitive information.Excellent verbal and written communication skills.Effective time management, organization and multi-tasking.Strong System Software Aptitude (Quickbooks, etc.).Strong people skills to deal with prospects and clients.Strong interpersonal skills in regards to staff communications and interactions.Proficient in Microsoft Word, Excel  and a general aptitude for technology.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Accounting/Auditing,0
12384,Java Web Developer,"NL, ZH, Rotterdam, The Hague, Utrecht, Amsterdam",,46000-62000,,"We are looking for an ambitious Java Web Developer to join a company offering solutions related to web content management, enterprise content management, enterprise information management and business intelligence.You will be working in an entrepreneurial environment with a very flat-structure which ensures freedom and creativity for personal and professional development and encourages you to take such initiatives. As a Java Web Developer you will be working with major Dutch companies integrating Backends to the CMS like Tridion, GX and Intershop. Some of the Clients we are working with are: TomTom, G-Star, ABN Amro, DNV, KPN, Hi, Telfort, PostNL..You have to have a passion for web development and technology. Agile Methodologies (SCRUM) are applied.Join the team of technology-enthusiasts and let's create the best possible website!","3 years of experience in Java Web Development SQL and databases like MySQL, Oracle, MS SQLServer TomcatWebservices (SOAP)Good knowledge of Dutch and English",excellent salary (46.000 - 62.000 EUR)full-time permanent contractleased carcompany laptop and telephoneNS-Business Cardpension contributionsbonusesprofit and equity shares,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
15872,Marketing - Admin Support ,"US, CA, South Los Angeles",,,"PROSULTING IS PEOPLE The ProSulting difference is easy to see. Our passion for delivering qualified pre-screened personnel who are ready to contribute means you can expect the right people for the job every time. We are committed to your total satisfaction and stand behind our word. While it would be easier for us to cut some corners in the recruiting and pre-qualification process of our candidates, we don't. In fact, our stringent screening process is the cornerstone of our reputation, and results in the highest qualified personnel in the industry. Simply put, better qualification process = better people. PROSULTING IS RESPONSIVE When your company needs staffing, time is money. ProSulting's dedicated team of highly motivated staffing experts is ready to help you meet the demand quickly and efficiently, minimizing downtime and maximizing productivity. Let our staffing agents work for you and experience the ProSulting difference. PROSULTING IS PRODUCTIVE Growth, fluctuating work loads, temporary, temp-to-hire needs; whatever staffing challenges your business is facing, our experts will find the solution for you...fast. ProSulting's pre-screened candidates are ready to go and keep your business productive when you need it most. We're flexible, too. We will team with your Human Resource staff and take on the day-to-day search functions, enabling your company to focus on its core business issues and grow the bottom line.","Do you like wearing fashionable apparel? If you are seeking an exciting opportunity to work for a leading lifestyle footwear retailer within the marketing team, we want to speak with you. Our client, a high growth organization is looking for an Admin Support professional.DUTIES AND RESPONSIBILITIES:Support marketing management and personnel with any office needsYou will prepare, write, type format, proofread and enter data into computer for tracking reportsProcess, sort and file documents in an organized manner (via paper or electronic formats)Review, sort or process envelopes or packages for mailingOperate office machines i.e. computer (PC/Mac), fax, scanner, copier, postage, and telephone","2 - 3 years of experience required in an Administrative support roleMust have working knowledge of Microsoft Office products to include the entire suite (Word, Outlook, Excel, PowerPoint)Strong ability to work with others in a fast-paced work environmentMust be bilingual  (Spanish &amp; English)Bachelors degree preferred in English, Business Administration, Journalism, etc.Support experience in marketing, retail, or fashion environment is preferred.",,0,1,1,Full-time,Associate,Bachelor's Degree,Retail,Administrative,0
16782,Customer Service Representative,"US, FL, Jacksonville",customer service,25000-40000,,"Golden opportunity with a growing company available NOW! Attention all candidates, we are currently recruiting for customer service representatives that are passionate about negotiating and meeting the needs of clients. People are needed to fill open positions as soon as possible. This is a telecommute position, therefore candidates must be comfortable working from home. A reliable computer and Internet connection are a must. ",Basic Computer SkillsAbility to pay attention to detail,Paid training. Weekly Pay + Bonuses,1,1,0,Full-time,Not Applicable,High School or equivalent,Insurance,Customer Service,0
15497,Robust speech recognition and understanding,"US, MA, Cambridge",MM,,"MERL's internship program gives students excellent opportunities to work in an industrial research lab environment side-by-side with world-class researchers.A primary intent of the program is to provide interns with experience that could help them enhance and accelerate their professional career, while also contributing to new or ongoing initiatives at MERL. Interns will be exposed to relevant industrial problems ranging from speculative and exploratory research to more practical engineering tasks. We hope that all interns have a chance to become familiar with our organization and the open research culture at MERL, produce publishable work, and develop an appreciation for how breakthrough research makes an impact on future products.MERL considers graduate students from all over the world. As many of our projects benefit from specialized knowledge in a given field, graduate students pursuing a Ph.D. typically fill the majority of internship openings.The duration of a typical internship varies from 3 months to 1 year, with the majority of interns being employed during the summer months. As the summer is a very busy time, we encourage applications for non-summer internships and also prefer early applications for summer internships. Hiring decisions for the summer are typically made around February/March to allow enough time for any necessary paperwork (such as visa applications or other work eligibility forms) to be completed.","MERL is looking for an intern to work on language modeling for robust speech recognition and/or understanding using machine learning techniques such as deep learning and/or Bayesian approaches. The intern will collaborate with MERL researchers to derive and implement new models, conduct experiments, and prepare results for publication. The ideal candidate would be a senior Ph.D. student with experience in speech and natural language processing including speech recognition and/or practical machine learning algorithms with related programming skills.Research Area: MultimediaContact: Speech Team",,,0,1,1,,,,,,0
1141,HP2 7EA Helpdesk Apprentice Under NAS 16-18 Year Olds Only,"GB, HRT, Hemel Hempstead",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds.Perfect role for school leavers.This is a fantastic and exciting opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position.You will be working for a nation wide store equipment supplier. There is a lot of room for promotion and this career focused role will involve:-Working on a help desk-Setting up user accounts-Resetting passwords -Responding to help desk callsIdeal candidates will have EXCELLENT communication skills and interest in IT.If you are motivated and career minded please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,,Not Applicable,High School or equivalent,,Administrative,0
4671,Front-end Developer,"FI, ES, Helsinki",,2000-5000,"#URL_31fdc354999cbb96507ebbe4e9c4aa7eed5edd0dd1c0816b36e0f4c681f01fac# is a marketplace for Bitcoin, everyones favourite cryptocurrency. The site is one of the most popular person-to-person bitcoin exchange places in the world, now growing at the pace of 1000 new users a day. As Bitcoin is a currency without borders, so is our site: the users come from over 200 different countries.LocalBitcoins Oy, the parent company of the site, is cash flow positive, bootstrapped and has not raised any venture capital yet.Currently we have four people working full-time on the site, and several freelancers/contractors. We are looking to grow explosively. Our goal is to bring Bitcoin everywhere in the world. We need the most ambitious and brightest minds to achieve this. International background is a big plus as all of our customers are and will be outside Finland.Our offices are located at Kalasatama area, Helsinki, named as one of the hottest startup cities by Wired Magazine. We wish that you could be present at the office at least two weeks per month, except for international support personnel who can work in their corresponding countries.As we are a startup, our positions are more directional than specific. Flexibility, ability to step out from your comfort zone and open-mindedness is a good attitude for this job. Also interest in Bitcoin and similar crypto-tech is definitely a plus!","You are versatile with javascript, CSS, HTML5 etc., and also have interest in UX.  We have lots of work to do with our main service, but also we have other projects in the pipeline which need good UX designers.","Front-end development, interest in Bitcoin and the cryptocurrency revolution is definitely a plus.",Meaningful equity/option package at an early stage companyOwn your own projects from conception to launchHealth insuranceA new MacBook computer or iMacThe option of getting paid in BitcoinWork whenever you work best (flexible hours)Flexible vacation - take time off when you need itWork on a big idea that is changing the world,0,1,1,Full-time,Entry level,Associate Degree,Financial Services,Finance,0
10742,Regional Inside Sales Representative,"US, MN, Minneapolis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)MA100-180KThis position is full time and based out of your home with travel as necessary.Requirements include:7 years of Sales experience in IT software or servicesMust have knowledge of solution and value-based selling methodologies Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ,,,0,0,0,Full-time,,,Market Research,,0
16407, Licensed Level Therapist ,"US, NJ, Hammonton",,,"Allies In Caring, Inc. is a multicultural non-profit organization dedicated to helping underserved individuals who have emotional and behavioral problems move toward recovery, health and greater independence through counseling, education and community support. We specialize in providing services to deaf and hard of hearing individuals and immigrant families. In our interventions we are sensitive to the complex interaction of abilities/disabilities, family, social, and cultural dynamics that make each individual unique.Programs we are currently approved for by the Division and Children and Families: Intensive In Community Services (IIC) - For children &amp; youth with behavioral and emotional problems Intensive In Home Services (IIH) - For children &amp; youth with co-ocurring diagnosis; behavioral and emotional problems and developmental disabilities.We are in the process of hiring the Full Time Spanish Speaking Therapist to work for our Organization in South Jersey. We will hire and train individuals who are committed to using their skills and experience to make a positive impact in the wellbeing and development of children, youth and their families. Those who join our team will be supported to develop the knowledge and the competences necessary to be successful in providing psycho- education and advocacy to children and their families. Please check our website at #URL_2122b79403a0c7e3adb4363806ab6355a320a99cf3998a5657d1fc65246f2387# to learn more about us.","Goals: Licensed level therapist services provide one-to-one interventions to a child or adolescent at home, school (if necessary according to treatment plan), or other community-based settings. The goal of services is to stabilize the youth in his/her environment to prevent out home placement and allow the youth to remain in the least restrictive setting. Specific interventions/supports include but are not limited to the use of: individual counseling sessions, family sessions, crisis intervention, consultation, creative intervention strategies, and relevant resources and referral. Responsibilities:  Complete initial contact to referred families.Complete an assessment established by the IIC with the family in an effort to develop appropriate/necessary recommendations for future success.Provide necessary resource and support system development.Provide crisis intervention as necessary.Participate in case conferences, school conferences and court appearance with the family as requested.Provide family advocacy as needed.Provide ongoing clinical consultation for team members (BA, Mentor)Provide case management and coordination of services with the FamilySupport case managers, school personnel, and family's efforts to stabilize the child or adolescent.Develop progress notes describing each client contact and how that contact relates to treatment goals.Collaborate with other members of the treatment team and other professionals working in the home, or in other community settings, and participate in Inter-agency/team meetings when necessary. ","Requirements: Education: Must be fully licensed or have met requirements to be provisionally licensed with LPC, LMHC, LCSWClinical experience means providing direct clinical services to children and adolescents with mental illness. It includes supervised internships, practicums, and field experience.Knowledge, Skills and AbilitiesAbility to listen effectively; knowledge of child and adolescent development; knowledge of factors which may contribute to family dysfunction; knowledge of behavioral management techniques; general knowledge of community resources and services; knowledge of crisis intervention strategies; good problem solving skills; ability to maintain effective working relationship with other social services providers and clients; ability to communicate effectively both orally and in writing.Bilingual  and/or multicultural is a plus Please check our website at #URL_2122b79403a0c7e3adb4363806ab6355a320a99cf3998a5657d1fc65246f2387# to learn more about us. ",Competitive pay ratesFlexible hours,0,1,0,Contract,,,Mental Health Care,,0
13046,Home Inspector/ Consultant,"US, NJ, Haddonfield",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","National Public Adjusting Company expanding in New Jersey, Pennsylvania, North Carolina, South Carolina &amp; Maryland.",No experience necessary as the company provides in house training.Transportation required,Part Time and Full Time Positions available24K Part Time to 75K Full Time potential,0,1,0,Full-time,Entry level,High School or equivalent,Insurance,Consulting,0
7938,CDL Driver-Addison,"US, IL, Addison",,,"ABC Supply Co., Inc. is the nations largest wholesale distributor of roofing and one of the largest distributors of siding, windows, and other exterior building products. Since our start in 1982, we've grown to become a national organization with over 450 locations in 45 states. Our mission is to be the biggest, best, and easiest service company distributing exterior building products. We fulfill that mission by stocking the brands and products contractors need at competitive prices, operating a state-of-the-art delivery system, and actively listening to our customers. We know that we will only be successful when our customers are successful. Thats why we make good on our promises every day.","As a Delivery Driver, you will operate your truck and deliver building materials in a safe, efficient and professional manner. It is important that you not only make your deliveries on schedule, but that you also do so in a manner which takes into account the needs of both contractors and homeowners and which represents ABC Supply in the best possible light. The trucks with which you make your deliveries as a Delivery Driver are large and heavy, and you must take care to operate them in a way that does not cause damage to driveways, buildings, or other areas of the job site.Your specific duties as a Delivery Driver may include:Conducting a safe work zone during each job site delivery (includes setting out cones and caution tape and wearing hard hats as well as harnesses when appropriate)Adhering to assigned delivery schedule and following all job directives precisely (including the route to take to the job site, where to unload materials on the job site, and so forth)Documenting every step of each delivery by taking and uploading photos with our camera phone systemUsing your discretion to avoid damaging job site property (particularly driveways) when unloading materialsTreating customers in a friendly and professional mannerObeying all regulatory agency and company safety directives, particularly with regard to operating near electrical wiresWorking in cooperation with the Roof Stocker in positioning boom over roof, determining where to set the materials, and physically unloading the materialsCalling dispatcher to handle difficult contractors when necessary and to report any instances of customer dissatisfactionCompleting a thorough load check on your truck before leaving branch, ensuring that all delivery materials are firmly secured and all parts of your truck are in compliance with all federal, state, and local regulationsObeying all traffic laws and weight limitsCalling dispatcher and reporting any problems or irregularities with your truckCompleting and filing all logs and required government paperwork in a precise and timely mannerProviding the customer with an invoice on completion of each delivery and collecting the entire due amount on COD deliveries","As a Delivery Driver, you must be focused on safety, attendance, and punctuality. There is no minimum education or experience required for the Delivery Driver position  the important thing is that you are able to handle a truck well and that you are a skilled enough driver to drive every different type of vehicle in our fleet. You must also have an excellent attitude, personality, and interpersonal skills, since you will be the face of our company to most of the customers to whom you deliver. It is also vital to your role as a Delivery Driver that you display a solid work ethic and dedication to providing excellent service.Specific qualifications for the Delivery Driver position include:Valid Class A or B CDL license and a clean driving recordThe ability to lift 75-100 pounds repeatedly (your most common cargo will be 70 pound bundles of shingles)Ability to pass a drug test on hire and then to pass random testsAbility to pass a background check as well as a driving record checkBoom truck experience is a plusHazmat experience and endorsement a plus","As a Delivery Driver, you will receive on-the-job training, which may include being paired with a veteran driver for a short time to orient you to your new duties. Since we prefer to promote from within, you may have opportunities for advancement. We value your hard work and professional dedication as a Delivery Driver and will reward you with a competitive compensation package, including benefits. Your benefits package as a Delivery Driver may include:Health, dental, and vision coverageLife insurance401(k)Flex spendingBonus opportunities2 weeks vacation after first full year of employmentPaid personal daysPaid sick daysPaid holidays",0,1,0,Full-time,,,Building Materials,,0
10628,Web Developer (AngularJS),"CA, AB, Edmonton",,,"We founded this company on the principle that great employees deserved great workplaces, Wellnext creates technology to help businesses focus on their most valuable resource: people.At Wellnext, were solving a big challenge — were trying to change the way that people interact at work. Some might say were being disruptive, but were just doing what comes naturally: building a tool that we know our customers will love to use.Were in a stage where were ready to start building a world-class team, and were looking for the right talent to join us.Our team is based in Edmonton, Alberta and were looking for the best and brightest people to complement our team. Were looking for a few people who are looking for the opportunity start at the ground floor with a exciting new venture. If you think you have what it takes to work with us, give us a shout.","We're on the lookout to add a Web Developer to work as a part of our agile team, focusing on producing next-generation tools for workplace wellness. The desired candidate will be energetic, comfortable with technology (obviously), and enjoy working in a fast-pace, dynamic environment. Our goal is to reward people in our organization who have an entrepreneurial spirit, and want to be a part of something that changes the landscape of today's workplaces.Your role will include:Developing our web-based applications for workplace wellnessInterpreting feedback from our internal team, as well as customers, to incorporate into our platformProperly testing and documenting your code to ensure that we can support our clients for years to comeWorking as a part of a team, and participating in product development discussions and brainstormSkynet Alpha Research (just seeing if youre paying attention)","A degree or diploma in Computing Science, or equivalent disciplineA minimum of 3 years of employment experience in a software or web developer roleSome C#/.NET experience would be greatA familiarity with JavaScript is pretty essential - we use AngularJS quite heavilyMVCHTML and CSSMicrosoft SQL ServerServiceStackExcellent communication and English language skillsDrive to learn and grow within a new and dynamic environmentAdditionally, if you have SharePoint 2007, 2010, 2013 or Office 365 experience, we really need to talk.",,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
17692,Assistant Accountant/immediate start,"CA, ON, Toronto",,26000-29000,,"Our organisation is seeking students / graduates with a finance, business or commerce related degree qualifications. We want to hear from you if you are seeking experience in genuine, professional environments. We have several exceptional positions available for immediate start. Position: You will ultimately be responsible for supporting the accounting team in general finance admin responsibilities. This will include high volume data entry that will require phenomenal attention to detail and strong Excel skills. You will be responsible for working proactively to investigate and resolve queries with internal stakeholders.",Requirements: You must have commenced either your CA or CPA studies Excellent communication and interpersonal skills are essential Exposure to purchase ledger reporting &amp; a demonstrable understanding of basic accounting principlesLarge ERP system exposure is definitely advantageousComputer literateAbility to work under pressure and meet deadlinesHigh level attention to detail including strong numeracy skillsA mature and logical approachProblem solving skills,"You will be degree qualified or partially through your degree with good attention to detail as well as good communication skills. You will also have strong organisational skills, a willingness to learn coupled with exceptional numerical skills.Waiting your resume.",0,0,0,Part-time,,,Accounting,Finance,1
15607,"Reverse Engineer - TCP UNIX C++ Perl Python YARD - Dallas, TX","US, TX, Dallas",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Reverse Engineer is required having Solid understanding of computer virus, exploits, ethical hacking techniques and current malware trendsJob Responsibilities: In this position you will be given the chance to analyze the latest and greatest malware targeting a multitude of industries. While working in this position you will also provide network data analysis to identify threats and mitigations targeting customer environments. Your thought leadership and neo-like spoon bending expertise will be combined with cyber threat intelligence to bolster our commercial cyber products. If you like making a difference and want to work with extremely smart people in a relaxed environment, then we want you.The ideal candidate will be an individual capable of working with malware and multiple teams in a commercial products environment. This person should be able to strip malware through its core through static and dynamic analysis, providing actionable indicators and being able to write comprehensive reports.  As with any cyber ninja you must know the host and the network. You will also be called upon to assess potential threats and conduct research into advanced malware anti-analysis techniques. This person should have excellent communication skills to be able to blog about malware activities and findings for customers to understand and action. If you have want it takes to combat threats and contribute to a growing and team oriented environment, we want you.","Extensive knowledge of security concepts and techniquesMust have a minimum of 6 years of experience working in malware detection and prevention, static and behavioral analysisMust have a minimum of 6 years of experience in reverse engineering executables, including use of IDA Pro, OllyDbgSolid understanding of computer virus, exploits, ethical hacking techniques and current malware trendsSolid understanding of networking protocols and network traffic analysisStrong scripting skills (shell/perl/python/tcl)Strong software development experience using C/C++ and version control frameworksKnowledge of networking (TCP/IP, topology, and security), operating systems (Windows/UNIX), and web technologies (Internet security).Experience as a systems administrator (Windows/UNIX)Excellent understanding of Microsoft Windows architecture, file systems, and core applications.Excellent technical writing skillsAbility to work under minimum supervision and deliver reliable and timely resultsFamiliarity with encryption/decryption algorithms and technologiesWorking knowledge of YARA, ClamAV or similar and development of their signatures2+ years of experience in Incident HandlingDemonstrated proficiency with well-known vulnerability assessment and analysis tools and Penetration Test conceptsIDS or IDS like tools operation and signature creationReputable security certifications such as GIAC, ECH or CISSPPatent or patent pending ideasPublications on or around securityPresentations given at public forums/conferencesContributions to the open source communityEducational Qualifications:Masters degree or equivalent experience We Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,,,0
5634,Digital Account Manager/Producer,"GB, LND, London",,,"Neverbland is a team of designers, developers and doers. Specialists in user experience, interaction design and product development. Having earned our spurs developing startups, we understand the importance of simple, elegant solutions and quick releases; matching beautiful aesthetic to functional design.We're driven by the Web's ability to unite and empower. That's why we're passionate about collaboration and the open source movement. But it's what we haven't done that really excites us. What's next?","What's working for a regular digital agency like?We wouldn't know. Honest.We are Neverbland - in name and in deed. We are young, ambitious and in love with the web.We're growing fast too. At the beginning of the year we were 13, and only 9 months later we're 20 strong. But now we need our number 21. And we're looking for more than a number. Neverbland are on the hunt for super talented multi tasker who wants to challenge themselves and challenge us. The successful candidate will join our Soho studio, who are as passionate about the web and the latest technologies as they are lunch options.We dont waste a minute. We are driven by a passion to work with the best clients, on the best projects and to make that happen we need the best team. We like to keep things fresh and exciting and our client roster reflects that. It currently includes a multi-national fashion publication (#URL_6d360172608f0b7f37486b651b737733d694f34a264a39c858e1bea6ceb1c774#), advanced tech startups (#URL_c0962094d352c5dbaf3249e1da887c3378ed6b78283d8acc42e3ce1a8a9bdfc7#, #URL_876d1d05310ad48956bbcd4c9ca2015695ae5f1b14fb0b35140fee3d220c551a#) and top global brands (Samsung, Diesel, Coca-Cola) . When we have great ideas we dont wait for a brief. Weve built some fun things to share with friends like 15 Folds and Whats For Lunch Soho? and one of our own products, Slate, is the technology behind many of Europes leading film and sound production companies (including Rods, 75, Adelphoi).  We're also behind the biggest and best party on the tech scene calendar Silicon Christmas.About the role:Some of us are born with the ability to multi task, organize our lives and the lives of others with laser accuracy. Some of us arent. Fortunately for those of us who arent (author of this post included), there are people like you, who can and do, with startling brilliance.You'll love working at the heart of a close-knit team to develop medium and long-term plans as much as youll enjoy the day-to-day remit of communicating with and delivering exciting projects to our clients. You'll have a passion for the internet and leading web technologies and whilst we don't expect you to be a developer you'll be aware of leading front and back end technologies and know your PHP from JS and CSS.  Youll be equally at home managing projects in an agile scrum as you are confident controlling the budgets. Youll also relish working as part of a team supporting the studio and simultaneously managing relationships client-side.  Youll understand the importance of working fast and delivering on time, but never, ever at the expense of quality.This is a fantastic opportunity for a talented, ambitious hard working Project Manager looking for an open ended opportunity that will be anything but dull.","2-3 years agency experience in a Producer/Project Manager role (essential)The ability to work autonomously- managing multiple projects and multiple clients simultaneously.Excellent verbal and written communication skills- talking, writing etc.A love for solving problems for real businesses, no matter how large.A desire to build strong, respect-driven relationships with clients and your team.A need to prioritise and schedule.Experience with digital projects and a good understanding of current technologies.Demonstrate a passion for the web but to equally have an active life outside it ","Work in a dynamic, creative environment.Learn first-hand about how to start, grow and market new startups and products.Build exciting Apps that thousands of people will use and love.Work on a broad range of projects and brandsTeam lunches, drinks and - dare we say it - parties.Flexible holidays (were all working hard to make the company successful).OTE bonus scheme paid quarterly",0,1,1,Full-time,,Bachelor's Degree,Marketing and Advertising,Accounting/Auditing,0
2789,Administrative Assistant,"US, PA, Philadelphia",Administrative,21120-30000,"Ideal CRM is a sales consulting firm focused on Customer Relationship Management. We specialize in building and implementing high performing sales teams to increase productivity and profitability for businesses organizations. With more than 50 years of experience, our team has developed a proven and repeatable system supported by industry leading technology to improve profitability and effectiveness.Our ApproachWe invest time to get to know the ins and outs of your business before designing a program that aligns with your vision and objectives to ensure success.ASSESS: We invest time to get to know your business to align your requirements with your goalsIMPLEMENT: We develop and implement a custom training program to take your sales, marketing, and customer service team to the next level.EVALUATE: Performance objectives and goals are evaluated to ensure success. ","Customer Relationship Management specialist company looking to hire and Administrative Assistant. This is a telecommute position and all Candidates must be comfortable working from their homes.Location: Virtual (From your Home)Responsibilities:Provide administrative support to companyAccept phone calls, and arranges conference callsConduct research and compile statistical reportsProvide administrative support for client engagementsAssist with recruiting and interviewing",High School Diploma or Equivalent Experience with MS OfficeGreat Attention to DetailStrong Communication Skills,Compensation:$11 hourlyBonusesOther Benefits:PTO/Paid Holidays,1,1,0,Full-time,Entry level,High School or equivalent,Marketing and Advertising,Administrative,0
4570,Alternative payment Advisor,US,,200-230,,"SME/Strategist with previous consulting experience to help clients understand business problems, provide relevant functional and/or technical solutions, and help deliver these solutions effectively.Work with both IT and the Business to consistently and accurately identify and manage expectations across teams. Ability to review business case in light of proposed business benefits.  Evaluating the client application/product landscape and suggesting suitable point of view as necessary. Expertise in the domain of Alternate Payments (including emerging Payments) will be primary requirement for this role.  Should have a deep understanding of various business processes within the Alternate Payments value chain.This candidate will understand business problems, provide relevant functional and/or technical solutions, and help deliver these solutions effectively. The Advisor is expected to identify and qualify new domain led opportunities with the client to meet revenue expectations and ensure that budgets, profitability and timelines for such client projects are achieved. Responsibilities: Drive account growth strategy for the Alternate Payments business segment in collaboration with client partner and account leadership team. Bringing Industry experience/inputs to the Client- Organize workshops with client stakeholders, lead proactive solutions on areas of industry focus, ability to analyze buy v/s build optionsPlay advisory role to Client Relationship Managers and Program Managers on assigned account and provide functional consulting as requiredTrusted partner for client CXOs from domain side. Engaging them on driving business solutions.Understand clients current business landscape and propose a pointed business proposition solving their business problems","At least 10 years of experience working either in a Banks/FIs or with a large consulting/services organization servicing such banks and Financial institutions like Payments Processing or Payments Technology vendors. In-depth understanding of the Alternate Payments business, operations and technology including the emerging and non-traditional Payments ecosystem.Expertise may be in one or more of the following: functional, business process, business operations, or technologyExperience in driving/implementing large transformational programs including global initiativesExposure to various Payments industry solutions and products will be an assetProven ability to launch and execute successful initiatives in complex and challenging environmentsExcellent client presence and proven client relationship building capability; willing to provide senior / top level client referencesExcellent academic credentials from top tier programs - B.A. / B.S/ B.Tech  required, MBA strongly preferredExperience in motivating and leading  multi-cultural, cross functional teams Ability to work independently and proactively in a dynamic and ambiguous environment Willingness to be onsite at a client location and able to travel extensively to support the needs of the businessShould have participated/contributed in industry seminars &amp; conferences",Comprehensive,1,0,1,Full-time,Director,Bachelor's Degree,Banking,Information Technology,0
14636,Card Payments Manager,"GB, LND, London",Product,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"Co-founded by Skypes first employee and backed by some of the planet's most experienced innovators, including Sir Richard Branson &amp; Facebook's first investor Peter Thiel, we're disrupting the world of currency &amp; international money transfer. That means flipping a gazillion dollar industry on its head and taking power away from banks and the establishment.Located in the heart of Old Street, were right at the hub of Londons startup scene, were growing at an extraordinary rate and looking for exceptional talent to jump on board. Were currently 200 people strong and handling many millions of pounds daily. If youre looking for a stuffy financial company, the back button beckons, if you want to be part of something innovative and truly exciting, read on.","We are looking for someone who truly understands the ins and outs of debit and credit card processing, and can help us grow by providing world class payments to our customers.Your work would include:analysing and improving card authorisation rates, on a global view just as well as BIN range detailassessing market opportunities, given cost and fraud requirements - finding relevant partners and negotiating deals building relations with issuers and schemes - assessing alternative payment methods opportunitiesWe hope that youd be great at: optimising and routing transactions across multiple payment gatewaysevaluating local acquiring and processing - assessing interchange and scheme feesmanaging PCI DSS and its technical and operational requirementshandling large datasetsYoud be an even better fit if you: have experience of payment methods other than cardshave worked with high ATV transactions and high risk MCCshave worked tightly with engineers in the past - are always up to date with the latest innovations within payments","Apart from a competitive salary and all-expenses-paid company holidays twice a year (which are completely insane) stock options in one of Europes most hotly tipped startups, a sexy laptop of your choice and team lunches every Friday, you wont get much in the way of extras. However, we will give you 25 days holiday a year (plus public holidays), a fun, friendly atmosphere, plenty of opportunities to grow and the chance to be part of our little revolution. Oh, and coffee, theres plenty of coffee.",0,1,0,Full-time,Mid-Senior level,,Financial Services,Product Management,0
12222,Campus Ambassador ,,Marketing,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,Looking for university campus ambassadors worldwide.The application and more information can be found at #URL_a0559641af52a4290b938a517452443011175f25f8eba014ef7d41ab067f4d0c# ,,,0,1,0,,,,,Marketing,0
15879,Retail Store Manager,"US, SC, Greenwood",,,,"Working independently, the Store Manager directly influences the performance of everyone who interacts with customers and supports the store environment. Using elements of GameStop's buy, sell, trade, and reservation business model, The Circle of Life, the Store Manager ensures best-in-class customer service experiences for all of GameStops customers. The Store Manager makesdiscretionary decisions involving all sales initiatives, operational effectiveness, marketing, scheduling, employment, and all other aspects of the day-to-day business processes of a GameStop store. This position supervises at least 1 Assistant Store Manager and 5 or more Game Advisors and Senior Game Advisors, which may vary based upon store size, location, and expected sales volume.","Must be at least 18 years of age and present state-required proof of age documentsBASIC AND PREFERRED QUALIFICATIONS (EDUCATION and/or EXPERIENCE)• High school diploma or GED required; An Associates or accredited Bachelors degree with an emphasis in business, marketing, merchandising, or related field preferred• Three or more years of retail sales, customer service, and/or management/leadership experience required; at least 1 year of retail management experience preferred• Must be able to work alone and move throughout the store unassisted, for extended periods (up to 12 hours per day)• Must be able to lift and/or move objects and displays (up to 30 lbs.), bend, stoop, reach with arms and hands, and climb on ladders• Must be able to occasionally travel unassisted via car and/or plane to offsite conferences and meetings (less than 10%)• Must be able to work a minimum of 44 hours per week• Proficient customer service skillsMINIMUM QUALIFICATIONS, JOB SKILLS, ABILITIES• Proficient ability to communicate effectively with others using spoken and written English; bilingual (English/Spanish) skills preferred• Ability to lead in a fast-paced, rapidly changing environment that includes multiple overlapping priorities• Proficient knowledge of operating a point-of-sale computer system and properly completing required paperwork• Proficient understanding of basic math functions (add, subtract, multiply, divide) • Working knowledge of alphabetizing• Possess or acquire during employment onboarding a working understanding of military ranks and related insignia • Proficient self-motivation and ability to work as a team to complete necessary tasks in a timely manner• Proficient problem solving skills and judgment abilities • Proficient ability to carry out instructions furnished in written, oral or diagram form • Ability to deliver bank deposits according to loss prevention safety guidelines• Ability to work extended hours/days as necessary, including Sundays• Ability to remain effective under pressure; ability to handle stress in a manner that is acceptable to others and to the organization• Consistently demonstrates a commitment to GameStop policies and procedures, including but not limited to, attendance, confidentiality, conflict of interest, affirmative action, and ethical responsibilities",,0,0,0,Full-time,Associate,High School or equivalent,Retail,Management,0
5230,Investor Relations Analyst,"US, PA, ",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","This is a fantastic opportunity to join a highly respected and dynamic E&amp;P leader! Opportunities for advancement are extensive as the company is currently in the process of expanding. Strong compensation and benefits packages are available for qualified candidates who want to join the largest player in the region. **This position will require relocation to Oklahoma.SummaryResponsibilities will include a wide array of professional-level investor relations and working closely with members of the company's Executive Committee. Assign, supervise, and manage investor relations functions and projects. Assist with a variety of investor related special events as assigned.Essential Job FunctionsAssign, supervise, and manage high-level investor relations projects and related budgets, work closely with and synthesize information from all major operating departments (executive, operations, exploration, reserves, legal, finance).Peer analysis  maintain company peer financial model on a monthly basis, create and distribute summary data/slides to a select executive group.Peer surveillance  real time data exchange and analysis on peer group, track acreage, drilling activity, EURs, asset acquisitions and divestures, completion design, well cost, and quarterly results.Sell-side tracking  review sell-side financial and NAV models for accuracy to street guidance and allocation of value per asset group/region. Compare peer assets to the same analysts' NAV (premium or discount per operator or acreage position).Energy commodity tracking  closely follow sell-side coverage of crude oil and natural gas commodity storage, macro supply/demand data from third parties (CERA, IHS), refining capacity, utilization, expansion, and regulatory. Data to be shared with investor relations team and hedging committee.Work with Financial Planning to track company's near-term and long-term financial projections as approved by board/executive team and make changes to existing models.Pre-earnings support  provide analysis of current investor top topics and questions in current earnings season, gather and coordinate data in preparation for company's earnings call.Prepare documentation for distribution and posting to website; work with executive management, operations, accounting, finance, and other departments to develop investor information, annual reports, press releases (including writing) and presentations; participate in investor calls, meetings and conference calls, and other forms of contact with investors.Manage special investor relations events, such as quarterly earnings conference calls, investor meetings in the headquarters and on the road, annual investor conferences, and one-on-one non-deal road shows.","Education: Bachelors degree in a finance, accounting, or engineering required; post-graduate degree in business (MBA) preferred.Knowledge and Skills:Strong financial modeling skills in excel, ability to extensively communicate, previous hand-on experience building, managing and updating a financial model, and ability to provide summary data to the most relevant points.Experience with exploration and production (E&amp;P) companies, portfolio management or investment banking preferred.Strong presentation skills and ability to articulate and defend a position.Ability to work directly with executive management with short time tables and very high expectations.High degree of attention to detail.Experience: 3+ years recent experience with valuation and financial modeling required.Industry, Location and CompensationIndustry: Oil &amp; Gas  Investor RelationsLocation:  Oklahoma City, OKCompensation: Commensurate with experience. ",,0,1,1,Full-time,,,Oil & Energy,Finance,0
3747,TV Copy Writer,"US, FL, Miami",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.",The TV Copy Writer shall properly complete all daily tasks. These daily tasks include but not limited to: adhering to the appropriate newswriting style (fails no more than twice per week); preparing for writing assignments and interviews (fails no more than once per week); making deadlines (fails no more than once per week); delivering required content from reporting assignments (fails no more than once per week.,The TV Copy Writer shall have experience in writing for broadcast production and shall have expert knowledge in writing and correcting scripts and copy of reports.The TV Copy Writer shall have knowledge of the target audience and news and events related to them and shall have the ability to adapt English language material to Spanish.The TV Copy Editor shall have knowledge of production and post-production elements for television programs to assist producers to develop their work.The TV Copy Writer shall demonstrate high standards of social media engagement as related to digital journalism needs and practices.The TV Copy Writer shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies.Knowledge of Spanish language required. ,,0,1,0,,,,,,0
12617,Implementation Specialist,"US, NJ, Jersey City",,,"Street Solutions, Inc. (SSI) develops software solutions for the secondary loan market.  Our clients are some of the most successful investment banks, hedge funds, and mortgage companies in the world. We're solidly profitable, with a transparent and relaxed small company environment, competitive pay, numerous perks, and a management team that is committed to providing our people with all the tools they need to succeed.","SSI is looking for implementation specialists to help us deliver solutions to our growing customer base. As an IS, youll get a unique opportunity to use your technical skills to create solutions at the cutting edge of the market while building in-depth expertise and contacts in financial markets. If you're looking to work with smart, motivated people and have the chance to really make an impact in your next job, check out our careers page, then apply below.Key duties include:Manage product implementation to new clients as part of our  “rapid deployment” teamImplement and deliver customizations and system integrationsTrain clients in using SSI productsWork directly with clients and our devs to evolve our products to keep pace with a fast-changing marketContribute to numerous projects to make all our processes tighter and more efficientOccasional travel to client sites ","Ability to establish priorities and manage projects with minimal day-to-day supervisionKnowledge of SQL and scripting languages (Python, Powershell, etc)Great oral and written communications skills - should be comfortable giving presentations and running client meetingsAbility to be self-organized and work within a team2-4 years of experience in software, technical consulting, finance, or related industry4-year Bachelor's Degree in Engineering, Sciences, Mathematics, Business, or Information Science from an accredited collegeKnowledge of financial markets is not required","Competitive compensation program with significant growth potential including cash bonuses and company profit sharingFull medical/dental plan and 401KAn open, small company environment coupled with job stabilityA commitment to employee career and skills development Wide assortment of free delicious snacksFun company outings [last one was sailing lessons for the whole company]",0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,,0
15373,Senior JavaScript incl. AngularJS,"GB, BIR, Birmingham",,20000-35000,"#URL_a397afc00bff956382eec8b3826bbd79bd4cbade11c565390118c0c00292b77e# is a venture funded, successful UK startup building an software application in the food space. Our application is seen by over 10m people every month and we work with the largest food manufacturers, retailers and publishers in the UK and around the world. Dan Cobley, MD Google UK/Ireland said “Whisk is making the moment to buy really matter”.Whisk helps users to create shopping lists from recipes on the Internet, then check them out at online supermarkets.  Were a small, agile team, working on exciting problems in cutting edge technology.  Were looking for people who get as excited about food and tech as we do!Whisk is a small team covering sales and product development based in a canal side office in the center of Birmingham, UK. Were committed to building amazing food apps and have fun doing it. Some perks of working at Whisk include working as part of a brilliant motivated team, a free hot lunch every Friday (and everyone loves to cook on the other days too!), a fully stocked fridge, team outings, an office with a pool table, table tennis table and table football and lots of beanbags.","We're looking for a senior JavaScript (henceforth JS) developer with a passion for cutting-edge web applications, who can also establish and maintain best-practice for application development.Whisk helps users to create shopping lists from recipes on the Internet, then check them out at online supermarkets. We're a small, agile team, working on exciting problems in cutting edge technology. We're looking for people who get as excited about tech as we do!You'll be expected to work closely with our CTO and developers on the ongoing development of our publisher widget and suite of AngularJS web applications for our end-users and internal users. Our applications are client-side JS only, backed by JSON APIs written in Scala. No server-side JS is expected, but you will be involved in design of APIs, so server-side experience may be useful.","Required:Proven, outstanding client-side JS knowledgeAngularJS experienceUnit and integration testing; TDDJS tooling experience: automated quality assurance; test and deployment automation.Beneficial:Grunt, bower, npm, and other JS toolingCoffeescript (or other tools that ensure high-quality, portable JS code)Experience developing third-party JS widgetsA keen eye for design and user experience (HTML/CSS skills)Knowledge of API development pattern","Work with some of the best software engineers  in the area Experience working in a dynamic startup with a team who knows how to turn ideas into successful business initiativesFully-stocked fridge &amp; hot lunches! We take turns cooking lunch for the entire team, giving us a chance to try all sorts of great recipes.Flexible, collaborative &amp; fun work environmentMore info at:#URL_8d4c328503cc33b1996697b28b231b92cf41ead98006f4070156f9a85ce26c57##URL_3921f08fd9ae83e930f01ba016808ba6d315b7fbfba05e28c032fee67c11f04b##URL_5787a3ffdc61656d83add1ae6e5451db6d0e849c536d0f8a4ea3cd69bbe5f3cd#Salary: Competitive",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,,0
12088,Front-End/C# Developer,"NL, NH, Amsterdam",,35000-40000,,"Our Client, a fast-growing Dutch company, with 14 offices around the world is looking for a new colleague in their headquarter in Amsterdam!We are looking for a front-end developer with great coding skills who would help with building new and improving existing applications, assuring a smooth experience for users. Even though the company is established on the market, the atmosphere is open, based on an entrepreneurial mindset. They strive to be pragmatic and innovative in everything they do, keeping the start-up spirit alive.The company is a major player on a dutch retail and marketing market, having established a platform to gather retail-focused information.",C# Microsoft .Net MVCJavascriptCSSSQLGood command of English,Decent salary (35.000 - 40.000 EUR gross anually)Stock optionsPartly home-office setting possible (2-3 days in a week working from home)Office in the city center of Amsterdam,0,1,0,Full-time,Associate,Unspecified,Information Technology and Services,Information Technology,0
3356,Home Automation and Alarm Sales Office Launch - AT&T Digital Life,"US, TX, San Antonio",Digital Life,,"Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.To setup an interview, call our recruiting department Monday-Friday from 9am-5pm @ (361) 400-2907","Argenta Field Solutions is a award winning authorized solution provider for AT&amp;T Products. Currently we have 2 slots available for our Sales Executive positions in San Antonio. Each position is very important to the overall success of the office and the applicants must be able to perform under pressure.Product- Innovative system that lets security talk to automation.- Transparent pricing and clean easy to understand packages.- Supported by AT&amp;T vast 3g network, yes it's wireless.- Everything from remotely locking your doors remotely, adjusting your thermostat and viewing your living room via your web enabled   device.Sales Position- 1 wk paid training. 3 week guarantee, monthly $300 gas allowance.- Income is not capped. Tiered commission structured base on closing percentage.- Variable bonuses from month to month.- New markets added each quarter creating a need for potential management positions.- Company iPad and Accessories- Office Space for lead generation, training and meetings- Salesmen is measured by closing rate and self generated leads to improve closing rate.- Preset appointments set by AT&amp;T throughout the week. Averaging 8 appoitments per week.- Required to set and attend self generated appointments weekly.*Must be able to fly to Atlanta, Georgia for training for 1 week, all expense's are paid*Interviews will be held via video conference using Go To Meeting. You will need to have access to a webcam in order to use this feature.*Training pay plus $200 paid for meals while in training in Atlanta.*","- 1 year alarm sales or security related experience.- General sales experience preferred.- Transportation, Cell Phone, Able to work Full Time Mon-Sat.- Licensed in security sales a plus.- Ready to learn and adapt as this is a new product for AT&amp;T.- Must be energetic, goal driven and hardworking.- Basic understanding and operation of IPAD required.- 1099, Paid Training","About Argenta Field Solutions:We are Argenta Field Solutions, an award winning AT&amp;T contractor for U-verse and Digital Life that focuses on the quality while bringing quantity. Environment, Compensation and Advancement are the foundation we build our salespeople up on. Visit our website at #URL_c3d9b931607ac471bac0fd728d3836556b8c1c538cc8413fe30a53c07aee5522# Find us on Facebook at #URL_553baae34748735c93fc4be421e025e0efebcf705590d73ac4151b3ec04f0753# Mission Statement Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.",0,1,0,Full-time,,,Security and Investigations,Sales,0
11107,Recruiting Fragrance and Beauty Promo Staff In Birmingham,"GB, BIR, Birmingham",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","We are currently recruiting for exciting Sales &amp; Customer Service roles. We are looking for candidates with a passion for sales, beauty and fragrance who are enthusiastic and driven.The ideal candidates will have the ability to demonstrate a fresh approach to sales and be able to work in a fast paced and versatile environment.* Great communication skills* Positive attitude* Be able to hit targets and achieve goals* Be able to work in a customer facing role* The ability to build and maintain strong relationships with clients and customers* Beauty training or make up artisty* Fragrance or cosmetic counter experienceWe are holding roadshows and recruitment days throughout September, please Email #EMAIL_11046aef5219327c0db0b1c912c1ba032e7a569ddfc256050c7a98cd16ae9e57# if you would like to attend.",Ideally worked for fragrance or make up counters ,,0,1,0,Temporary,,,Cosmetics,,0
196,Senior Rails Developer,"GR, E, Volos",Tech,,"incrediblue is busting the myth that boating is only for the rich and famous by enabling any traveller to book an amazing holiday on a boat. As it happened in lodging and in transportation, incrediblue is changing the travel industry again, by introducing a whole new travel category; boating. Boating is the ultimate vacation with all the comforts of being at home while you are exploring new destinations.We are an innovation driven, committed and fun to be around team, where every contribution, no matter how little or big, counts. So if you're a passionate professional with solid experience who wants to work in a world-class internet company, you are in the right place. As with all incrediblue team members, were looking for someone who takes pride in their entrepreneurial spirit, discipline, proactivity, guerilla mindset and great teamwork skills. Thats us!","Incrediblue is busting the myth that boating is only for the rich and famous by enabling any traveller to book an amazing holiday on a boat. As it happened in lodging and in transportation, Incrediblue is changing the travel industry again, by introducing a whole new travel category; boating. Boating is the ultimate vacation with all the comforts of being at home while you are exploring new destinations.Were looking for ambitious developers to join our world-class team in what is becoming one of the worlds most innovative travel brands. We are an innovation driven, committed and fun to be around team, where every contribution, no matter how little or big, counts. With growth comes great responsibility, so if you're an exceptionally good developer who wants to work in a world-class internet company, you are in the right place. As with all incrediblue team members, were looking for someone who takes pride in their entrepreneurial spirit, discipline, proactivity, guerilla mindset and great teamwork skills. Thats us!Our technology stack consists of Ruby on Rails, PostgreSQL and Redis running on Heroku and Amazon Web Services. We are strong believers in Test-driven development and Agile and we practice them every day.If you are a passionate back-end developer who believes in our mission and have extensive experience in Ruby, Rails and SQL databases, then you are the person we are looking for! We invest heavily in training junior developers and re-training experienced ones in the technologies we use. If you are experienced in other server-side frameworks and languages and eager to learn something new, well be happy to consider your application as well.","Experience with server-side MVC frameworks, preferably Ruby on Rails.Experience with relational databases, preferably PostgreSQL.Firm grasp of object-oriented programming patterns.Performance optimization.Bonus skills:CSS, HTML, Javascript.TDD and/or BDD.Source version control, preferably git.Web services/API design.","Benefits include:Apple workstationSoftware and tools of your choiceAttendance expenses paid for one developer conference or workshop of your choice per yearCompetitive salaryAccess to our stock option planPrivate Medical InsuranceDaily, fresh, Greek lunch (we order through our own app!)Unlimited caffeine is on the house (Nespresso and more)Weekly social events &amp; video game tournamentsEmployee travel credits (on a boat of course!)Relocation packageBoating license course",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Information Technology,0
3598,WebSphere Portal & J2EE Developer,"US, CA, San Jose",IT,,"ValleySoft is a fast growing global IT Services &amp; Solutions company based in Silicon Valley, USA . At ValleySoft, we strongly believe that excellence in services to Client is not a singular act, but a habit. We make earnest effort in our constant endeavor to redefine this habit and take it to the next higher level. Our long standing, time tested experience, which span across domains, is a source of strength as it helps us effectively leverage our experience to add value to our Clients' business needs and processes. Our growth has been powered by our consultative approach, our deep understanding of business and technology, our passion for innovation - and above all, our integrity.","• Strong experience working with Websphere Portal or other enterprise application servers. • Strong experience in developing and maintaining Portal &amp; J2EE applications • Strong experience in managing and maintaining all production and non-production Websphere Portal Applications and Servers in regards to automated deployment strategy/scripts, change management, monitoring tools, security/policies, deployment management and operational support. • Knowledge of SQL and database design. • Knowledge of object-oriented methodology • Can Interface with clients, developers, cross function partners • Is a self-starter and requires minimal supervision.•some level of Design and Architecture experience","Skill     WebSphere,J2EELocation     San Jose, CATotal Experience     6 yrs.Max Salary     $ DOE Per HourEmployment Type     Direct Jobs (Full Time)Domain     Any",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
9243,Software Developer,"DE, HH, Hamburg",Development,,,"The key areas of responsibility in this position are the development and implementation of new features in software, as well as improvements of the application. The position consults with software development and design staff, it reports to the Senior Vice President of Software Engineering.About the CompanySmoothic is a revolutionary startup aiming to change the way people handle projects. It provides a platform for project management and file editing.","The ability to deal with problems professionally and extensivelyExperience with the following programming languages: Ruby, (Javascript, CoffeeScript, CSS, SASS)",Market shares of the companySalary depending on the revenue of the company ,0,0,0,Temporary,Entry level,Unspecified,Computer Software,Engineering,0
6314,Information Technology Sales Professional,"US, NJ, Edison",,50000-60000,"•Founded in 2003•10+ years of proven expertise in providing a complete range of IT servicesCore competency: Designing and developing innovative technology solutions using  a global delivery model, proven framework / methodology and deep subject matter expertiseServices offered:•Application Development•Quality Assurance•Technology Infrastructure•Data Analytics / Business Intelligence•Staff Augmentation","Sumas Corporation is looking for a professional IT Services Sales representative to help us expand our business locally and nationally. This role offers an opportunity for an ambitious services sales professional to work for an outstanding and growing provider of custom application development and IT staff augmentation services to Fortune 1000 and other organizations throughout the U.S.  The IT Services Sales Representative will play an important part in Sumas Corp's continued growth, responsible for building and growing existing Sumas client relationships, and selling and promoting Sumas Corps solution services to meet and exceed targeted sales goals.  The IT Services Sales Representative must develop and maintain good business relationships with Sumas clients, manage existing accounts, and find and develop new revenue opportunities for Sumas services. The position requires someone with a proven sales record of accomplishment selling strategic solutions and services.  Job Functions:Develop key account plans to achieve goals Provide overall support to clients, manage consultants, and handle administrative issues related to client engagementsMaintain close working relationships and open communications with Sumas clientsParticipate in recruiting qualified consultants for client projects as neededMaintain competitive market awareness, and recommend actions/strategies to senior management        Articulate and position full spectrum Sumas Corps services and solutions to key client decision makers by developing client relationships to secure project work and job requirements for business opportunitiesRepresent Sumas Corp at trade exhibitions, local IT organizations, and ","MINIMUM REQUIREMENTS:Minimum of 3 years experience in sales, or customer service.  Experience with IT sales and/or customer service preferredPrevious project Sales and client relationship management experience; Information Technology Solution experience preferredA demonstrated ability to understand, and present  relevant IT services industry solutions and trends including BI &amp; Data Delivery, Development &amp; Integration, Application Management, Testing, Project Management, Business Technology &amp; Planning, Supplemental Staffing and Project DeliveryBachelors degree in Business, Marketing or related IT sales experience is requiredExcellent oral, written, and presentation skills with the ability to communicate effectively with all levels of staff and managementMust possess excellent analytical, problem solving, and interpersonal skills ","A competitive compensation plan, which includes salary and commissions, is offered in addition to excellent benefits",0,1,1,Full-time,Associate,Associate Degree,Information Technology and Services,Information Technology,0
6190,FACILITY MANAGER,"NL, NH, Hilversum",Facility,,"MediaMonks is the biggest creative digital production company on the planet. We specialize in working for and with advertising agencies to craft amazing digital work for global brands. You can find us anywhere on the Web as well as in Amsterdam, London, LA, New York and Singapore.MediaMonks boasts an in-house team of 250 Monks that are versed in an infinite range of digital disciplines, collectively covering anything you could possibly throw at a digital campaign. Our award-winning capabilities include film, games and mobile and are recognized by over fifty institutions worldwide, including Cannes, Eurobest, the Webbys and the Awwwards.MediaMonks, est. 2001, is a member of SoDA and part of the FWA Hall of Fame. Catch our latest showreel at #URL_9ae12c3d274cab9516c33a92a00900561d083cecea1571d12dd251f5d12c1608#.OpeningsInterested in joining this club of digital artisans? Check out our vacancies and tell us why we should hook up right away. We tend to care less about paper qualifications and more about hands-on experience, so be sure to provide us with a portfolio or other feat of arms. Nothing that matches your skill set? We have awesome jobs for awesome people. Simply tell us about yourself and your trade and we may dream up your digital dream job (or internship) just like that.","Facility ManagerOK. So youre faced with 200 skillful but willful individuals that need places to work, meet, eat and procrastinate. As a facility manager at MediaMonks, your job is to provide for a seamless user experience for all of our Monks and clients across five offices. Your main responsibility is keeping our 7,000-square-feet temple in Hilversum up and running, alongside the facilitation of our overseas offices (both locally and remotely) in London, LA, New York and Singapore. This full time position is to be filled from September 15th.At our Hilversum office, you work from a small office team with young and fun colleagues that take care of HR, payroll and finance. You are MediaMonks principal point of contact when it comes to facility related questions. Amongst other responsibilities, you will act as lead manager to our reception and catering team  making sure that our hospitality and lunch facilities are ever up to par. Furthermore, as coordinator to external suppliers, you will handle supplier contracts and organise the purchase of facility services with a cost-conscious mindset.A major responsibility your role will undertake is the accommodation of staff within the workspace, maintaining office infrastructure through desk management and handling mobile phone contracts. Furthermore, you will be expected to house staff during their (temporary) relocation between offices and organise the accommodation of new staff from overseas. Our team leads will also seek your help with improving (or restoring) their current workspaces so that our Monks can do their multimedia magic in the best possible way. Alongside the physical management of our office, you will also be tasked with the effective arrangement and execution of several company policies. These include travel policy, health and safety regulations, and corporate social responsibility within the workplace.Ideally, you have a (bachelors) degree in Facility Management and plenty (7+ years) of relevant work experience under your multi-faceted tool belt. We are in search of a manager with a technical mindset who will bring a high level of service to the offline facilities here at MediaMonks. An ambitious individual to control our equally ambitious operation, working alongside awesome people. If youre interested in this position, drop us a mail so we can hook you up with an interview.",,,0,1,0,,,,,,0
252,Senior Product Manager ,"GB, LND, London",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Senior Product Manager, fluent in English, to offer his/her services as an expert who will be based in London, UK. The work will be carried out at customer premises. In the context of the first assignment, the successful candidate will be integrated in the Project Management Team of the company that will closely cooperate with a major clients IT team on site.Your tasks:Definition of a data strategy for the Beta product and beyond;Provision of leadership for the agile delivery team;Communication with the stakeholders and interpretation of user research in order to make the correct product decisions;Set-up Google Analytics and define product KPIs with the client;Development of the best quality product possible and setting up of reporting lines and performance workbench integration;Deliver clients policy objectives.Management of Agile delivery robust, usable data set for clients suppliers to use to view key contract data; ","Your skills:University degree with demonstrated experience in  project management methodologies, planning, estimation and development;Excellent knowledge of Agile methodology;Experience in data interface processing and storage projects;Very good knowledge of MS Project and MS Office including Word, Excel and PowerPoint;Fluency in both oral and written English.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major public administration, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (SPM/09/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
17113,Sales Support (Entry Level) ,"NZ, N, Albany",Wright Satellite Connections,,Blue Dot HR works with some of the best small businesses in New Zealand. ,"Wright Satellite Connections provides leading edge mobile satellite communication solutions to defence, maritime, civil defence and other organisations for global use. Their end-to-end solutions include hardware, air time connections, training, peripherals such as encryption, after sales care and replacement units. Wrights has alliances with global satellite airtime providers, and are the NZ distributor for communications equipment manufacturers. Visit #URL_a6fc79c7b9459a8cfe7a98eeb7c88028a2b1f8dfb5ed98df046f4a930cb9cf33# for more information. A new Sales Support role has been created in the Auckland Albany office to provide technical and sales assistance to customers, and administrative support to the Auckland Sales Manager. Wrights is a small, close-knit team that values initiative, responsibility and hard work. Your excellent time management and organization skills will allow you to work successfully with limited supervision from time to time.Key responsibilities include receiving product and service queries and resolving problems to ensure customer satisfaction. You must have great listening skills and a friendly and clear communication style in order to effectively clarify queries, determine the cause and identify the best solution. Your strong computer skills (MS Excel and Access) will enable you to enter, monitor and retrieve records effectively. Other responsibilities include accounts processing, and packing and dispatching equipment.This is an entry-level role and on the job training will be provided. The role would suit someone with 3-5 years of technology retail experience or equivalent, as you will have the opportunity to develop your selling and management skills over time. A formal qualification is preferred but not essential. ",,,0,1,0,,,,,,0
7949,Sr. Security Developer,"US, NY, New York",,,"We design, deploy, and maintain advanced technologies that enable our customers to achieve operational excellence at substantial OpEx savings. Our core strengths include a concentration on networks, their security &amp; optimization with a laser focus on redefining the fulfillment experience. We are driven to add value at every step by providing access to inventory, streamlined credit, integration with your procurement organization and real time status information. These are all reflective of our value-added philosophy.We have developed solutions for leaders from Wall Street to the Web. What makes us different is our concentration and commitment to exclusive relationships with leading vendors.","We are looking for highly skilled developer with security background to join a fast-paced, dynamic and challenging environment. The role is for an expert with Kerberos, authentication technologies and protocols in general, networking and Unix system security. This is a deeply technical role requiring enterprise experience and suited to a true product/subject matter expert.  Candidates must demonstrate a very strong conceptual understanding of security and networking and the ability to work in a global team environment.As a Sr. Security Developer your responsibilities will include, but are not limited to the following:manage a global cutting edge security infrastructure.Challenge in identity managementwrite technical and operations documentationdescribe technical concept verbally and on paperInteroperability between Unix and Windows authenticationThis is a full time direct employment in Montreal. Salary is open based on experience &amp; qualifications.","Qualifications:Bachelors Degree in Computer Science, Information Security or similar discipline or work experienceSkills &amp; Experiences:Knowledgeable in one or more: Kerberos, RADIUS, SecurID, identity managementKnowledgeable of common network and system attack methodsKnowledgeable of security best practicesDeep understanding in PERL &amp; C programningStrong Unix system background &amp; Unix networking (sockets)Understanding of IP networking (TCP/IP and standard services)Knowledgeable of SPNEGO, SAML, single-signon, multi-factor authenticationInterpersonal Skills:Excellent communication skills, both spoken and writtenStrong problem solving and analytical skills","At Fivesky, our employees are our greatest asset and the focal point around which we operate, therefore, we always want the best for our employees.  In addition to offering competitive compensation plans and long-term career opportunities.  We offer an attractive mix of benefit plans to our employees that include: a health plan, 401k, provisions for vacation, holiday pay, and sick days.  Employees qualify to join these plans and other sponsored benefits after 90-days of employment.Fivesky is an equal opportunity employer and will consider all applications without regard to race, sex, age, color, religion, national origin, veteran status, disability, genetic information or any other characteristic protected by law.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,,0
5780,Web Application Engineer,"US, CA, San Francisco",,,"Not a ninja, rockstar, or guru? Perfect. Weaver's hiring!Weve just closed a round of funding and are looking to fill the following roles in Austin and San Francisco.Weavers like emoticons on steroids. Its an app that automatically illustrates your conversations as visual stories. Were developing technologies to give people more creative and fun ways to express themselves on mobile (and wearable) things. Well be pushing the limits of NLP, 3D visualization, and real-time interaction.Weavers looking for people passionate about storytelling and crafting expressive tools for others. We want to build a culture of fun, creative people who play well with others. If that spells out someone youve enjoyed working with, please do have them reach out or ping us with an intro.","Weaver Labs, Inc. is seeking to hire on a full-time Web Application Engineer to assist the shaping and creation of Weaver Labs presence on the web and mobile platforms. In this position, your main responsibilities will include advanced development for end-user interfaces, including web-based and mobile-based, as well as close collaboration with our UX Designer.The ideal candidate will have a extensive experience in developing scalable transactional systems[1]  and can think outside the box. Thinking inside very large boxes is also acceptable. The candidate must be a self-starter who keeps up-to-date with the latest web technologies (#URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#, HTML5, jQuery) and believes that markup should be semantic. Responsibilities:            •           Assist in the consistency of information architecture and user experience design for #URL_c1cf0aa2edfb01e19c91bdd116282107dccb0a06a112a3f4b2033627cd8de549#            •           Develop HTML, CSS, and Javascript from design specifications            •           Develop with #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#, #URL_6013df53b5c098f2e86aeaa76dc7a3c0e160bb0bd68f25e169ca56879a34ddab# from business rules and specifications            •           Keep up-to-date on the latest web technologies            •           Integrate with other cloud-based services ( Parse, Sendgrid, Twilio, EC2, Balanced, Google Cloud Messaging, etc...)            •           Help ensure the companys sites maintain a consistent look and feel across all current modern browsers            •           Develop scalable new web applications and services","            •           BA/BS in Computer Science or equivalent experience            •           Experience developing web services APIs            •           Experience developing end-user web-based interfaces            •           Expert knowledge in #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# and jQuery            •           Advanced knowledge of and experience in Javascript, CSS, HTML, and AJAX            •           Understanding of Object Oriented programming principles            •           Experience with multiple styles of programming languages            •           Ability to focus and produce quality results with little outside direction            •           Passion for great user experiences            •           Able to give good estimates or to know ones own estimate multiplier            •           Self motivated, detail-oriented and organized            •           Excellent communication (oral and written), interpersonal, organizational, and presentation skills            •           Candidate must be a team player and willing to teach and to learn. Preferred:            •           Experience with HTML5 technologies            •           Experience with mobile web developmentTo apply:  Attach your resume and a cover letter with your salary requirements to #EMAIL_31c25124adb22c51568f440b496583faaf1a0d035bc84c80f45da6b895725e3f#.",,1,1,1,Full-time,,Bachelor's Degree,Computer Software,,0
6948,Operations Engineer,"US, CA, San Carlos",,,"Working at FBNAt FBN, we put farmers first. For every decision we make, we consider its impact on farmers big and small. Only after this has been considered will we consider the impact of our decisions on FBN, followed by our fellow team members, and finally ourselves. Before making a decision, we listen to farmers and learn about how implementing it will impact them.While making a decision or working on a project, we prefer working in small teams to make sure our employees feel empowered and own their decisions. Finally, after our decisions are made, we communicate those decisions to our farmers and to the rest of the team.FBN employees are a diverse group with a common passion for agriculture. We respect humility, value honesty, and despise entitlement. We see farmers as hackers and entrepreneurs, able to fix things with duct tape and baling wire while predicting the weather and analysing their financial position on the commodities market. Just like farmers, we believe that if something is broken, its better to fix it than to complain about it.All FBN employees, regardless of the position, will spend meaningful time visiting farms, to meet with some of our founding and network farmers in order to discuss their equipment and data collection practices.As a Silicon Valley based company with a Midwestern culture, we believe in the power of getting things done, and understand that creativity is fostered by trust and the ability to focus. As a result, meetings are avoided and we respect everyones right to at least one day a week without meetings. Thursdays are considered “no meeting days.”Finally, there are no shrinking violets here. The team consists of many people with deep experience in agriculture, technology, data science, machine learning, physics among other areas. So be prepared to offer opinions!Interviewing at FBNOur interview panels are as diverse as our employees. You may be expected to interview with an agronomist, a physicist, a data scientist, and because of our strong focus on farmers, we expect every FBN candidate to interview with one of our founding farmers, advisory board members or network members. As a result, our interviews will take you out of your comfort zone. Be prepared to be asked to solve hard problems that may seem daunting, to be asked questions that will test your curiosity, and to meet really awesome people.If FBN sounds like a place where you would like to work and if you're excited about making a difference in the lives of thousands of farmers, take a look at our current openings.","At Farmers Business Network (FBN), our primary mission is to provide farmers with an unbiased and independent platform for agricultural information and analytics, regardless of what crop they grow or what machinery they may have. With an underlying basis being proprietary science and analytics, FBN is a place where farmers can get credible insights on what equipment, seeds and practices would work best on their farm, with full transparency on how their data is used.Wanna help maintain a large agronomic data farm? We're looking for an enthusiastic operations engineer to help us.","ResponsibilitiesOnce you join our team, you will be expected to:Prototype and develop the monitoring tools needed to keep tabs on the health of our AWS hosted data store.Work with the software engineering team in establishing deployment and release procedures.Assist our frontend engineers in providing our farmers the best possible business analytics and data visualization experience.This position also may provide you with the opportunity to participate in the design of a new piece of FBN hardware.Required SkillsPrevious experience managing a site hosted on AWS.Strong knowledge of OS, distributed systems and databases maintenance (NoSQL or relational).Strong scripting skills in Ruby, Python or related languages for tools and deployment.Impeccable verbal and written communication skills.You should feel at home using Linux and open source software.Strong knowledge of the networking stack, including TCP/IP , DNS, HTTP.A love for agriculture and the mission of helping farmers.Required ExperienceB.Sc. or greater in Computer Science/Engineering or related discipline (MSc or PhD preferred).",Health insuranceStock optionsFarm visits!,0,1,0,Full-time,Associate,Bachelor's Degree,Computer Networking,Engineering,0
8704,Senior Biometric Analyst,"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a Senior Biometric Analyst, fluent in English, to offer his/her services as an expert in various projects of the company across Europe (mainly in Brussels and Strasbourg).Your tasks:Provide subject matter expertise on biometric matching operations, workflows and staffing issues for a proposed program in support of international security;Collaborate on project development as a biometric/technical AFIS operations subject matter expert. Evaluation and reporting of biometric workflows, efficiencies, and quality control issues enabling the documentation of improved workflows and methodologies;Participate in the development of a written technical proposal and supporting documents;Support the operations helping in investigating false rejection and false acceptance cases and follow-up of the issues with the vendors.","Your skills:University degree in information technology (minimum 4 years studies);At least 9 years of professional experience in IT;At least 5 years using Automated Fingerprint Identification System (AFIS) technology;at least 3 of the above years of experience in biometric workflow and/or technical operations with AFIS/biometric systems supporting border control;Experience in imaging processing of flat, rolled tenprint and latent fingerprints using Automatic Fingerprint Identification Systems;Familiarity and understanding of fingerprint and facial matching algorithms and database management concepts highly desired. ","Our offer: If you are seeking a career in an exciting and dynamic company as part of a team, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (SBA/08/14), at the following e-mail address: #EMAIL_abe5026e514663a30ebc3ad2afaf388770c166b643b43a12486fa84eeb68eb90#.We offer a competitive remuneration (either on a contract basis or on a full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
397,IT System Engineer,"LT, VL, Vilnius",IT Solution,,,"SERVER ADMINISTRATORDo you want to:Manage the life cycle of all Data Center equipment, operates and maintains physical servers, virtual hosts and virtualization software.Manage licenses and inventory.Work to ensure maximum performance, security, reliability and availability of the data center running 24x7.Monitor, investigate and resolve problems, inefficiencies, and performance issues.Keep updated on industry trends and emerging server and virtualization technologies; evaluate and recommends specific solutions.Define, review, and maintain technical standards, especially related to installation, configuration, management, and maintenance.Assist procurement team with purchases of hardware, software and services as required.  ","We expect from you:Be fluent in English, have clear and concise communication and good organizational skillsExperience with opening and managing support/maintenance tickets to vendors (HP, Cisco, etc.)Experience with management of Windows infrastructureExperience with management of Linux infrastructureBasic experience with Cisco network device administrationClient-focused approachEligibility to travel in EU regionWe would appreciate relevant industry certifications ","We offer:Being part of competition with world level companies outside of Lithuanian marketHave best practices and fun things.Informal style of management and communicationDynamic, inspiring and international environmentCreative approach  we dont believe in “one size fits all”Fun things: leisure zone, breakfast services, snacks in the office, lot of team building events and othersA lot of servers, complex infrastructure and challenging product",0,1,0,,,,,,0
10198,Marketing Manager,"US, VA, Richmond",,,"We're artists, thinkers, and doers in an open, collaborative, and challenging environment. We love to work our hearts out, but we also love beanbags, wasabi peas, and yoga. We hope you do, too.What do we do? We develop interactive training content and mobile-friendly software apps that empower and educate employees and customers from hot new startups to Fortune 50 companies.","The Marketing Manager is responsible for designing the strategy and overseeing the execution of all Unboxed marketing efforts. This role requires the ability to charismatically lead and influence internal team members and external vendors. The Marketing Manager must think strategically to define a plan of action, clearly communicate vision to team members, and effectively manage projects and tasks.  Specific Responsibilities include:Determining and defining the best marketing strategy for UnboxedIdentifying marketing opportunities by defining the market, determining competitors strengths and weaknesses, forecasting projected business, and establishing targeted market shareCollaborating with the VP of Business Development to identify key partnership opportunities and streamline business development effortsAssisting in the development of sales collateral materials, ensuring consistency with Unboxed brand guidelinesResearching and identifying the appropriate vendor for partnering on specific marketing efforts such as paid search, website development, etcManaging marketing vendor relationships and tasksManaging social media strategy and contentCollaborating with the Content Strategy team to ensure consistency of tone, voice, and style in all contentEnsuring consistent brand communication across all marketing platformsIdentifying and coordinating marketing events, trade shows, and conferencesDesigning and implementing methods to evaluate success of marketing campaigns","Successful track record in creating marketing and branding campaigns to help achieve overall company (revenue) goalsAbility to brand, market and launch SaaS products to help ensure that overall revenue goals can be metExcellent knowledge of digital trends and social media technologies necessary to drive digital engagementExceptional verbal, written communication, and interpersonal skillsAbility to collaborate effectively with cross-functional team members, executives, and external vendors to drive alignmentAbility to manage multiple projects with varying complexity and converging timelinesAbility to exercise discretion and independent judgmentBachelors degree or equivalent experience required5-7 years digital marketing or other relevant experienceSome travel required",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Marketing,0
17504,SQL Developer,"US, NY, NYC",,,"Maxnet offers Staff Augmentation Solutions for Big Data Analytics in Retail, Healthcare and Regulatory &amp; Compliance.We offer Staff Augmentation solutions for Business Intelligence, Data Analyst, Business Analyst, Quality Analyst, .NET Application Developers.We serve you with Reliability, Honesty &amp; Integrity.Our Recruiters are trained to suggest “best fits” for our client needs.Our solutions carry in-depth understanding of Client Needs with regards to Skills, Knowledge, Experience, Corporate Attitude.Our Consultant Database is strong and built with the right insights to serve quick and accurate solutions.","We are a growing Fashion and retail company. We have an outstanding career opportunity for a successful SQL Developer + .Net person at one of our direct client @NYC. Their main focus is on 75% of SQL(SSIS/SSRS) and 25% of .net, c#, JavaScript, J Query etcCandidates with Retail background would be a plus.Post: SQL DeveloperLocation:  NYCExperience: 8+ YearsDomain: Fashion &amp; RetailContract: C2C","The Consultant will perform all aspects of the PLM Software Development Life Cycle, including problem investigation, business analysis, design, implementation, maintenance and support.The candidate should have strong technical skills with a focus on SQL Technologies along with Microsoft .Net. The candidate should possess excellent communication skills, and hold a BS in Computer Science or similar curriculum.Must-haves8+ years or more for Microsoft SQL experience required (MS SQL2008/2005)Excellent software design, documentation, technical, analytical problem solving skillsStrong Database knowledge of writing complex queries for data conversion tasksProficient in development of automated ETL processes (SSIS) for Legacy Data conversionStrong SSRS ReportsExperience in Analysis, Designing, Development, Coding, Documentation, Testing, Bug fixing and implementation of Client/ServerExperience in .NET Framework, involved in #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#, C#. NET,Good Communication skills in driving discussions",,0,1,1,Contract,Mid-Senior level,,,,0
16638,Marketing executive,"IN, MH, Pune",Marketing,200000-400000,,"Marketing executives contribute to and develop integrated marketing campaigns. Tasks can involve:liaising and networking with a range of stakeholders including customers, colleagues, suppliers and partner organisations;communicating with target audiences and managing customer relationships;sourcing advertising opportunities and placing adverts in the press - local, regional, national and specialist publications - or on the radio, depending on the organisation and the campaign;managing the production of marketing materials, including leaflets, posters, flyers, newsletters, e-newsletters and DVDs;writing and proofreading copy;liaising with designers and printers;organising photo shoots;arranging the effective distribution of marketing materials;maintaining and updating customer databases;organising and attending events such as conferences, seminars, receptions and exhibitions;sourcing and securing sponsorship;conducting market research, for example using customer questionnaires and focus groups;contributing to, and developing, marketing plans and strategies;managing budgets;evaluating marketing campaigns;monitoring competitor activity;supporting the marketing manager and other colleagues.",Post graduate with marketing as a concentration subjectGood communication skillshave knowledge of a wide range of marketing techniques and conceptsbe an excellent communicatorbe able to respond well to pressurethink creativelybe interested in what motivates people,A good platform to enhance the real knowledge on how market operates.Enhance competitive advantage.,1,0,0,Full-time,Entry level,Master's Degree,Information Technology and Services,Marketing,0
9657,Systems Engineer - Infrastructure Services - Kuwait,"KW, KU, ",Information Technology,25000-35000,"Optimal Business Action was created in 2005 in order to provide high quality, personalized HR services to medium and large-cap companies operating in Greece, the Balkans and the Middle East. Seven years later we are present in Athens, Bucharest and Dubai, and several of these companies are on our client list, including most major banks, pharmaceuticals, hotel &amp; retail chains, and large IT firms. We are one of only nine HR firms in Greece operating under the Temping Permit issued by the Labor Ministry and among a very few which offer employee evaluations based on the combination of the Minnesota Multiphasic Personality Inventory (MMPI) and Rorschach tests. Amongst our advantages is our extensive candidate network, the use of industry-specialized recruiters, our technology tools  including candidate pre-recorded interviews, and our competitive, on-success, fee structure. Our subsidiaries: Creative HR which was created in 2010 in order to undertake human-capital intensive projects, HR department restructurings, and provide HR consulting services. iTechScope Recruitment which was created in 2011 and is the first international recruitment company in Greece specializing in sourcing and placing personnel for companies operating in the fields of Information Technology, Telecommunications, and Engineering. Optimal HR Middle East FZC which was created in 2013 and is the groups entity in the UAE, providing our clients with Recruiting, Consulting, and Training products and services. Our partnerships: As of 2014, Optimal is the exclusive Gi Group (#URL_1458d4797348b1fe258e750e89ab66b11c9565a10abede82d761ce37c53395b6#) partner for Greece and the UAE, offering a vast array of products and services as well as sourcing access to candidates from 32 countries around the world. GI is one of the world's leading HR companies, providing services for the development of the labor market. It employs a staff of almost 2.500 people and its 2013 turnover approached $1.5 billion. We have an exclusive representation agreement with UK's APS (#URL_b40fd0caf83243a019915fa08fcf0afbb9f377d15194c88d4e4896e6848bbbbd#) for their on-line evaluation products, such as the TEIQue, Engagement Survey, and 360 Survey, and an exclusive collaboration with Chris Daffy (#URL_712f5a993f998f7aad7e3cc1b7d9c7d3eb17e8ffcace779065d1902e412e2c3d#) for his Customer Experience training programs. We also work with UK's Epigon Training &amp; Development (#URL_fe1f8ff39ad549be4c26f84c348c2d2dad85c84e6746f60140eb4392e652e543#) for NLP-based training seminars. Please contact us at: +30 210 32 59 350 GR &amp; +971 (0) 56 39 33 546 UAE or e-mail us at: #EMAIL_eb107d9d9176aa07bb1d808b882aacd15565df1b289ed0391e62d9bc7422d718# and #EMAIL_21116c53126810b34d578a02cb53ece4f520daa553e1b3ee91c614cc67d51c5d#","On behalf of our client, a well known multinational retail company, based in Kuwait, we are seeking for an architect.The Systems Engineer Infrastructure Services role is to manage the connectivity of infrastructure systems including servers, storage, backups, databases and virtualization systems to ensure the stable operation of the organizations IT assets. This includes configuring, maintaining, supporting, and optimizing all new and existing hardware, software and virtualization requirements.Responsibilities-Manage the infrastructure services for the business to ensure maximum availability and performance at all times.-Practice server, storage/backup and virtualization systems asset management, including maintenance of server, storage/backup and virtualization systems component inventory and related documentation.-Manage and ensure optimal operation of all infrastructure services including servers, SAN, backups/recovery/archiving technologies, data cloning/replication and database systems.-Manage and ensure effectiveness of server and virtualization systems solutions, including Server Operating Systems (Solaris, Linux, Microsoft), Infrastructure based Server solutions including Zimbra, Exchange, SharePoint, JBOSS and others as well as Virtualization systems including Hyper-V, Oracle VM, VMware, Citrix XenApp/XenDesktop.-Deploy methodologies for testing server, storage and virtualization systems performance and providing performance statistics and reports.","-University degree in the field of computer science/engineering or information systems (Masters degree preferred).-Certifications in Server technologies at least 1 of Solaris, Linux or Microsoft Windows.-Certifications in Virtualization technologies in either Hyper-V, VMware, OVM or Xen.-Certifications in Storage technologies including SAN Storage/Fabric or Backup systems.-At least 3-7 years work experience managing and configuring enterprise server and virtualization environments (Server, Storage, Application and Desktop).-Experience in managing or maintaining a large server environments, especially those in multiple data centers with active/active and active/passive configurations.-Proven experience in network design and development.-Exceptional analytical, conceptual, and problem-solving abilities.-Exceptional understanding of the organizations goals and objectives.-Excellent command of english language (both oral and written)",,0,1,0,Full-time,,,Retail,Information Technology,0
7820,Part Time  Substitute Teachers,,,,"An agency that places native English speakers across Thailand to teach English, Maths, Science and Computer Studies to Thai children in Government schools. If you are looking for travel, teaching and a very Thai experience - MediaKids Academy can help.We place native English speakers with degrees in real Thai schools across central, Northern and North-Eastern Thailand. We offer a range of programs and levels, across different subjects, for four month paid placements! ","We require our substitute teachers to have enthusiasm and passion for teaching English, Maths and Science. We offer an attractive salary and provide Free transportation to the school and Free accommodation while you teach. In addition, we guarantee 2-4 weeks of employment as a substitute teacher.",,,0,1,0,Part-time,,,,,0
14766,Designer (Mobile and Augmented Reality) ,"JP, , Tokyo - Shibuya",Creative Services,,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","We are looking for amazing graphic designers to join our brand new Japan office in central Tokyo and to help drive the growth of our client portfolio across APAC.Reporting to the lead creative in Tokyo, you will be given unparalleled creative freedom to design blipps for some of the worlds biggest and most forward-thinking brands. You will become an expert in the platforms capabilities, leveraging both your creative skills and vision to deliver exciting ways of utilising Blippars platform.From creating mobile games to working on interactive experiences; your primary role will be to design blipps (augmented reality experiences) for clients. The ability to think in three dimensions here is critical as you will be working with exciting creative and innovative concepts. You will, of course, be given plenty of training, but an ability to formulate innovative ideas, to express them and persuade others to run with them will help you flourish here.This is an exciting challenge for designers with a background in building interactive experiences for large brands and a portfolio of exciting projects. A history working with big brands would be great, but is not vital. We dont mind where you come from as long as you have a portfolio of amazing work and love working in fast-paced environments.","Ideally you will have:Excellent portfolio of digital projectsConfidence using Adobe Creative SuiteAn understanding of interactive, mobile and game designInnovative and creative flairA passion for making amazing digital experienceFluent Japanese and EnglishAs a designer at Blippar you will be offered creative freedom and be invited in to a huge variety of creative sessions. We actively encourage you to express your creative vision and we value your ideas.","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new advertising medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter &amp; Gamble, Nestle, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesInternational travel opportunitiesChance to develop ground-breaking techWork with state-of-the-art hardware and softwareCreative freedom and controlCollaborative opportunities with huge brandsPlease apply now for more information about this role, or check our careers page for details of other opportunities to work with us.",0,1,0,Full-time,Not Applicable,Unspecified,Marketing and Advertising,Design,0
112,Customer Service Associate - Part Time,"US, IN, Indianapolis",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Indianapolis, IN. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Sort mail  rough and fine sort processesUse Pactrac system for chain of custody on accountable parcelsRecord keepingProcess paperwork for shipments and track shipments per customer requestsKey in mail and create labels for incoming USPS mailKey in accountable mail and create labels for incoming UPS, FED Ex and DHL parcelsCheck in incoming packages from couriers (UPS, FED Ex &amp; DHL)Handle Hazmat materials adhering to safety policiesPerform quality control tasks to catch address errorsView X-ray machines for damaged materialsAttention to detail is requiredAbility to lift 50lbs consistentlyMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitude.Demonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesTake direction from team leader or site managerParticipate in cross-trainingAdhere to all safety procedures and practice quality safety techniques dailyAdhere to random drug testing policies and background screening","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy ",,0,1,0,Part-time,Entry level,High School or equivalent,Hospital & Health Care,Customer Service,0
13926,Programmatic Media Manager,"US, WA, Seattle",Media,,"Since 1978Our goal has been to create engaging brand experiences in the most effective medium available which we've been doing since the Stones were kids and President Carter told us to turn down the heat. Our average client relationship spans well over a decade, three times the industry average.11th Best Place to Work - Outside Magazine 2013Top Shop in Idaho - Adweek 2013What?We Build Brands.Why?Clients hire us to increase sales. That is the ultimate measure of their success and ours.How?Our job is to influence. We build and execute strategies that create conversations with our audience and engagement with the brand. Tactics come and go, but with solid strategy comes real business results.#URL_ad9af5103c77ae753412930213a5b1baa41c51e567e1038beedb25d2366117b1#","DETAILS•Location: Drake Coopers Seattle Office, 6363 7th Ave South, #222, Seattle WA 98108•Drake Cooper was founded in 1978, is one of the northwests leading creativeadvertising agencies, and is currently #11 nationally on Outside magazines “BestPlaces” to Work.OVERVIEWDrake Cooper has launched an in-house programmatic media buying discipline. One of thefastest-growing areas in media, programmatic is used by only 23% of US ad agencies. Assuch, Drake Cooper is one of the only agencies in the region to offer in-house programmaticmedia which enables real-time online ad campaign management.Were looking for someone to run programmatic media campaigns and continue to build theagencys expertise in this area. It will take someone whos comfortable working with data,interested in always understanding consumer behavior and excited about the possibilities ofonline media targeting and programmatic media.RESPONSIBILITIESDevelop a working expertise of our programmatic media web-based application.Plan and launch online campaigns.Lead the Drake Cooper team for copy, art and CTA recommendations.Create reports and recommendations for each brand running programmatic ads.Present insights to both agency and client teams.Track programmatic ad industry and remain current—from video, to mobile and beyond.PERSPECTIVEHave a desire to lead while working collaboratively.Impart an appreciation of both small and large media budgets.Be comfortable working as “a team of one” while programmatic workload is growing.Bring a healthy spirit of ambition to Drake Cooper every day.",,"Job Type: Full time, 20 - 30 hours per weekPay: Hourly, depending on experienceTiming: As soon as possible ABOUT DRAKE COOPERSince 1978Our goal has been to create engaging brand experiences in the most effective medium available which we've been doing since the Stones were kids and President Carter told us to turn down the heat. Our average client relationship spans well over a decade, three times the industry average.11th Best Place to Work - Outside Magazine 2013Top Shop in Idaho - Adweek 2013What?We Build Brands.Why?Clients hire us to increase sales. That is the ultimate measure of their success and ours.How?Our job is to influence. We build and execute strategies that create conversations with our audience and engagement with the brand. Tactics come and go, but with solid strategy comes real business results.#URL_ad9af5103c77ae753412930213a5b1baa41c51e567e1038beedb25d2366117b1#",0,1,0,Other,,Unspecified,Marketing and Advertising,Advertising,0
8079,English Teacher Abroad (Conversational),"US, SC, Clemson",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500+ monthly ($200 Cost of living)Housing providedAirfare providedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferred, but not necessaryCanada/US passport holders only",See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,Education,0
7206,Senior FrontEnd Developer ,"IL, TA, Tel Aviv",,,"At HoneyBook were re-imagining the events industry and building a product that is already changing the world for some of the top event planning celebrities in the nation. Were a well-funded and growing team of 20, passionate about creating the happiest customers in the world. Inevitably this involves a lot of champagne and macaroons, but its always our gorgeously designed product that generates the most smiles.","Senior FrontEnd Developer for our small and talented development team in Tel-Aviv.In this role you'll be responsible to help leading HoneyBooks vision enable event planners and vendors to be more productive, successful and connected online. Responsibility to architect, design and develop the user facing components of our product.Collaborate with designers to create modern, elegant and innovative user experience Contribute to overall culture to engineering and UX excellent.","Deep Knowledge of and experience with hand-coded Java script, including modern programming patterns(Client side, MVC, JSONP, Dynamic resource loading etc.)   High proficiency with cross- browser HTML and CSS including the latest HTML5/CSS3 featuresExperience withing modular well-abstracted, reusable client-server side code from componentsExperience with server side development using Ruby/JAVA/PHP/Etc- A Big Plus ",,0,1,0,Full-time,Mid-Senior level,,Internet,Engineering,0
13116,Agile Coach,"GB, , ",OPS,,"We experiment with new digital products, retail experiences and business models at M&amp;S.comWe experiment with ways to make shopping really easy for our customers: we want our customers to browse, discover, and buy in ways that suit them.We experiment with new business models: we question the needs and wants of our customers and reimagine how to fulfil them from the ground up.Our team have mostly come from well known European startups and we love working with innovators who want to make a difference!Our business is changing. We have over 22 million customers. By investing heavily in technology, in the last year alone our online sales have gone up 16.6% and sales via mobile have rocketed over 200% following the launch of our dedicated mobile apps. But we dont want to just transform our own business; we want to revolutionise retail. Which is where you come in.","Are you passionate about all things agile and lean, with a flair for coaching and keen to support the continuing transformation of a much loved heritage brand?Everyone shops at M&amp;S for something and everyone has an opinion of M&amp;S. With a history of firsts, there has been a quiet revolution happening inside our Digital Development team. Having re-platformed off of Amazon and in-sourced our entire digital proposition frontto-backend and across to agile, we are now a cluster of closely aligned product focused teams relentlessly driving to innovate.  We experiment, we make big and small changes, and we enjoy the fact that everything we do has the potential to impact &amp; delight over 29 million customers daily.Having re-factored, weve hit reset. Weve removed unnecessary rules, stopped generating useless reports, cancelled unproductive meetings and pulled out unused features. Teams ask for forgiveness rather than permission. Were agile but truthfully, there is still plenty more to improve on and wed like your help doing so. This is why we will continue to hire likeminded individuals from high growth start-ups such as Lovefilm, ASOS and Reevoo who bring the right DNA (and fun!) into the Engineering, UX &amp; Product teams across 4 areas  Shopping, Order Management, Customer Support &amp; Stores.  What hasnt changed? Our commitment to customer delight and integrity to do good. Were obsessed with putting them at the centre of everything we do and doing the right thing and iterate constantly making shopping easier and more experiential online, on mobile, in store.Based in Paddington HQ, you will be part of a team of 3 Agile Coaches leading the product teams through the transformation phase. Team sizes range in skills and experience with some immediate focus areas identified.","About You:Have a proven track record and genuine passion for continuous improvement with 2-3+ years minimum of Agile Coaching experience, ideally will be a proficient Certified Scrum Master.Your knowledge and passion for agile and lean methodologies will shine through in everything you do.Be part coach, part mentor, part evangelist and enthuse others about your subject area. Youll know that every team is different and be able to change your coaching style to suit.Have experience participating / leading scrum of scrums at scale and providing Agile training to scrum teams and stakeholders.Youll know the latest tools and techniques, have the experience to be able to cut through the fads and tech speak, and be aware of what is worth applying in each team.Have an innate ability to empathise.You will have experience within a high growth environment or transformation team within enterprise.Posses the ability to adapt to new environments and be open to new trains of thought.Be open and encouraging of seeking inspiration internally as well as from the wider industry and are adept at using this to help others grow and succeed.","Whats in it for You?Play a significant role in redefining and delivering innovation inside a much loved brand that everyone buys from.Youll play a key part in seeing through the transformation we have started working with sharp, dedicated and innovative people.High impact, high visibility role, not just for delivering for customers but within the Tech ecosystem. Every iteration affects millions of customers that visit the site, apps and stores every day.Be the part of the change where quick decision-making and flat hierarchies enable visible impact contributing directly to the pace of innovation within this unique British heritage brand.Be involved and participate in regular external speakers. In the past we have hosted George Berkoski, Lee-Jon Ball, Dave Washa and Andrew Bredon to name a few.Timing is right with plenty still to do.Be good. Were proud of our commitment to Plan A.Be part of a revolution thats truly innovating.",0,1,0,Contract,Not Applicable,,Internet,Consulting,0
7363,ServiceNow Developer,"CA, QC, Montreal",,,"We design, deploy, and maintain advanced technologies that enable our customers to achieve operational excellence at substantial OpEx savings. Our core strengths include a concentration on networks, their security &amp; optimization with a laser focus on redefining the fulfillment experience. We are driven to add value at every step by providing access to inventory, streamlined credit, integration with your procurement organization and real time status information. These are all reflective of our value-added philosophy.We have developed solutions for leaders from Wall Street to the Web. What makes us different is our concentration and commitment to exclusive relationships with leading vendors.","We are looking for a highly motivated and talented ServiceNow developer to join a fast-paced, dynamic and challenging environment.As a key member of a service quality management team, The ideal candidates must demonstrate a very strong conceptual understanding of ServiceNow experience to help a user facing IT Security group in the following areas:Obtain, design and implement requirements for new forms and workflows, or improve existing onesManage and upgrade the occurrence of service catalogue itemsDiligently participate in a series of forums chaired by the core Service Now teamPresent innovative ideas and methods to improve request fulfillment processes and challenge external stakeholdersStrategize and arrange process changes, at the same time, securing minimal interruption to service provided to end usersQA performing and testingMaintain documentation in a day-to-day basisThis is a full-time, direct employment in Montreal, Quebec. Salary is open based on experience &amp; qualifications.","Qualifications:Must have ITIL certification(s) and/or with equivalent ITIL tool experienceSkills &amp; Experiences:Must possess in-depth understanding and extensive prior experience on all or most of the following:Web 2.0 Technologies (Java Scripting, XML, HTML, CSS, etc.)ServiceNow development and administrationUnderstand and direct development experience of system development lifecyleDesign and customization of overall Service Now, Workflow, UI etc.Development of Mid Server, LDAP etc. and other required integration componentsTranslate business problems, existing processes and technology into service and process requirementsAble to be involved in influencing and consulting major technical decision makingInterpersonal Skills:Excellent creativity and strong analytical skillsExcellence in time management and organization skillsSelf-starter with a proven record of managing multiple complex tasks",,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Networking,Engineering,0
13584,Software Engineer - Digital/Mobile - Entry Level,"HK, , Hong Kong",,14000-18000,"At Hayes-Corp, we create the fun stuff.  With a focus on software development for mobile platforms, we are constantly looking for talented people that can think outside the box logically and creatively.  Whether you define yourself as a coder, a marketer, or a number cruncher, we give you the resources to excel at what you do best. If you dont see a position you like, but think you have what it takes - just send your resume to #EMAIL_eaa37b5bf6cd5f435db52ea17edfd1f61c685afdf336f31ca36d3514a139e79e#.","Hayes Corp is looking for a Software Engineer - Digital/Mobile - Entry Level with sufficient and necessary iOS, Android, technical and IT knowledge.ResponsibilitiesWork closely with programmers &amp; engineers to monitor, diagnose and treat technical issuesAccomplish work including apps testing, packaging and submission on both iOS and Android platforms to facilitate the product quality and efficiencyProvide technical expertise for organisation's internal needsAd hoc tasks as necessary","Bachelor's degree, Computer Science or related disciplines are preferredMinimum 1-year experience in a technical job (including software developer, IT support engineer, IT operation engineer, software QA engineer, system administrator, etc.)Knowledge about UNIX-like operating systems (including UNIX, Linux &amp; OS X)Knowledge about the concept and characteristics of popular internet communication protocols (especially the HTTP protocol)Experience in concept and manipulation of OS X, iOS &amp; Android operating systemsNice to HaveExperience in mainstream VCS, such as Git, Mercurial and Subversion is an advantageExperience in Xcode and Bash shell scripting is an advantageExperience in iOS and/or Android app submission process is an advantageExcellent command of both spoken and written English is required, proficiency in written Chinese is an advantageAble to work independently and under pressureImmediately available is definitely an advantage","Medical insurance after probationGain invaluable hands-on experience in a fast-paced, growing companyMPFOpen and English working environmentA lot of new technologies such as Apple, Android, Google, and so on",0,1,0,Full-time,Entry level,Bachelor's Degree,Computer Games,Information Technology,0
1894,SF | ACD (COPY) @OP,"US, CA, ",CA,,"Kettle is an independent digital agency based in New York City and the Bay Area. Were committed to making digital do more — for both people and brands — because we believe the digital world offers more than meets the eye. Every online experience has the potential to change a life, a brand, or even change the world. And we carry this philosophy into each and every product we build.",We need someone based in CA for this role. Probably a CD with storytelling skills and a very strong portfolio.This person would eventually replace Maria so someone who is excellent at her job and can lead the team conceptually and in execution. Journalism experience is a plus. Presentation skills are needed. This person should be comfortable with global travel.  ,,,0,1,0,,,,,,0
10224,Software Engineer,"US, MO, St. Louis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Software Engineer :Educational Qualification : B.tech,B.E,M.tech,BCA,MCAJob Profile : Manual testing as per test scenarios and mark them as passed/failed based on results.Running automation tools and report errors.Reporting and documenting bugs.Experience: 1-4 YearsVisit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,Computer Software,,0
1327,MarCom Manager,"US, TX, Austin (or remote)",Sales and Marketing,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","The Marketing and Communication Manager will harness integrated marketing communications, brand building, stakeholder engagement and issues management to promote visibility and awareness of CSDs brands, products and services.  They will help to attract and engage CSDs community of stakeholders as well as enhance favorable brand recognition through both community-based outreach and a centralized digital and social media strategy.Essential FunctionsManages our content marketing program, from researching topics to developing editorial calendar, recruiting expert contributors, and editing and publishing across channelsOversees event-based marketing opportunities, including coordinating exhibition booths, conference participation, workshops, and other community-based outreachPrimary point of contact and subject matter expert for CSD Marketing teamUses analytics to guide strategic content development for marketing programsManages and executes cross-channel marketing activities including developing website content, social media, email communications, and sales collateralTracks key performance metrics, develop dashboards, and contribute insights that promote understanding of user behavior and motivations to help optimize our marketing campaigns and content developmentSupports program and sales teams by tracking campaign results and reporting lead opportunitiesSupports the Marketing team in crafting marketing/communication plans, programs, and campaigns that support Business, Sales and Markets overall objectivesManages production of print materials","Bachelors degree in Communications, Advertising, Journalism, English, PR or related field required (or equivalent professional experience)Three years of professional experience supporting digital and social media marketing campaignsAbility to communicate effectively in American Sign Language (strongly preferred)","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career page.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, or national origin, including individuals with a disability and protected veterans.",1,1,1,Full-time,Entry level,Bachelor's Degree,Marketing and Advertising,Marketing,0
10249,Customer Service Team Lead ,"US, UT, Salt Lake City",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking a Customer Service Team Lead. The right candidate will be an integral part of our talented team, supporting our continued growth. This position will be located in our Salt Lake City, UT location.Responsibilities include, but are not limited to:Coordinate work for Customer Service Associates.Process mail, deliver mail, scan in/out packages and deliver mail/packages.Run mail meter and inserter equipmentHandle time-off requests and day-to-day processes of the teamHelp resolve employee and customer concerns/issuesLift large bundles of mail and make mail deliveriesHandle time-sensitive materialPerform duties and special requests as assigned by managementBalance workload; provide guidance and direction to team; serve as focal point for communication with customer and company personnelEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careEnsure adherence to business guidelines, safety &amp; security proceduresResponsible for all aspects of equipment; make appropriate equipment recommendationsProvide constructive feedback and recognition to teamSupport financial results by minimizing site waste and rework","Required Qualifications:Minimum of 1-year customer service related experience requiredAbility to resolve employee and customer concerns/issuesAbility to communicate both verbally and written with customers and company personnelAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills requiredAbility to meet employer's attendance policyComputer proficiency in email environments, MS Word/ExcelHeavy LiftingAbility to adhere and administer companies policies and proceduresStanding for long periods of timeSignificant walkingWillingness to work overtime if neededWillingness to submit to a pre-employment drug screen and criminal background checkPreferred Qualification:Post office, Mail or previous Shipping/Receiving experienceExperience coordinating/deploying work to employees preferredHigh school diploma or equivalent (GED)",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
16115,Mobile Developer (iOS or Android),"GB, , London",Engineering,,"We believe cities are complicated. And your mobile device should save you from the everyday challenges of living in them.We're a small dedicated team based somewhere in London with backgrounds in transport, Google, apps, design, investing and academia. We're venture capital backed by a number of fancy pants investors including Balderton Capital, Index Ventures, Greylock Partners, Connect Ventures as well as some angels.We're motivated by solving hard fundamental everyday problems that improve the quality of people's lives. We like simplifying complexity, reinventing user experience, working with data, shipping fast, and humanising a serious space.We're hiring now!","Citymapper's mobile apps are built with love and and a healthy regard for UI conventions (including when they should be ignored). We build around a deep understanding of the urban traveller's needs, so that each screen has the right information for the decisions at hand, while still offering ways to drill down into more detail when appropriate.  We work hard to make moving around the city straightforward, beautiful, and fun.As an Android or iOS developer at Citymapper, you'll be working with our designers to develop new features for the Citymapper app, finding ways to improve and streamline existing interactions, and making things as fast, stable, and efficient as possible.",We're looking for someone who has shipped at least one excellent mobile app.,,0,1,1,Full-time,,,Computer Software,Engineering,0
17053,Customer Support Agents,"PK, SD, Karachi",Call Center,,"A Creative agency for Web Design &amp; Development, Software Development and Mobile Application Development. We offering a vast array of solutions on varied platforms. Our aim is to amalgamate the best in technology, design and usability in presenting solutions that best suit your requirements. Therefore, we invest in our mind resources in order to gain success which is lifetime in means of assets and building our basics stronger. We provide market competitive salaries, outstanding benefits &amp; fringe facilities to the right candidates.","We are looking for a dedicated customer support agent. The candidate must possess at least few years of experience in online customer support services for small, medium &amp; large-sized projects.The position of sales support is suited to those with excellent clerical and keyboard skills and a keen sense of customer service. Those working in this area are responsible for assisting members of the sales team with a number of administrative tasks. At assistant level, these include taking phone calls, answering email enquiries and faxing documents, as well as some basic bookkeeping duties Coordinators undertake similar clerical work but with additional responsibilities. They coordinate activities within the department and supervise and train any assistants working under them. They also have more contact with clients and may be responsible for introducing them to the right salespeople, who will then help them with their particular enquiry.",&bull;&nbsp;Excellent communication skills &bull;&nbsp;Be enthusiastic and dependable &bull;&nbsp;Be focused and goal oriented &bull;&nbsp;Be able to achieve sales objectives &bull;&nbsp;Be able to achieve high customer satisfaction &bull;&nbsp;Have good organizational skills &bull;&nbsp;Be able to multitask &bull;&nbsp;Have good knowledge about sales procedures and merchant services &bull;&nbsp;Be able to work with teams or groups &bull;&nbsp;Be inventive and resilient,"Ample paid time off (a.k.a. PTO) so you can finally take that vacation when required.All the usual company holidays, plus a few you might not expect.A sweet 401k plan with company matching (retirement, here you come!)Flexible work arrangements to accommodate your ever-busier life.Enough free snacks and drinks on overtimes to make your dentist nervous.An annual company outing that people actually want to attend.Legitimately great medical and dental coverage with low premiums and co-pays (Coming Soon)A work environment that's so fun and casual we hesitate to call it &ldquo;work&rdquo;.Aptitude tests so you never have to stop learning.",0,1,1,,,,,,0
1445,Big Data / Hadoop Engineer,"US, CA, San Francisco",,,"At iMATCHATIVE, we believe in the power of science and technology to inspire creative solutions and to transform businesses. We apply big data, superior analytics, psychological assessment tools and proprietary algorithms, along with human intellect and professional experience, and a healthy dose of imagination, innovation and integrity, to develop innovative products.We are pleased to introduce altX, our first product, an automated, two sided, online platform that promises investors and hedge funds alike, deeper, more intelligent analytics and searches and a more efficient, less expensive capital allocation process.","We are gathering all of the world's data related to the Hedge Fund Industry and integrating it into our product and we need your help.Be able to gather and process raw data at scale (including writing scripts, calling APIs, writing SQL queries, etc.)Work closely with our data science, behavioral science and engineering teams to integrate data process, flow and algorithms, Process unstructured data into forms available for analysis and inclusion into our production applications.Running data in RDMS and other NoSQL and document databases both on-premise and in the cloud.","Deep knowledge of data mining, machine learning and/or natural language processingAbility to process large amounts of structured and unstructured data.Experience with both Relational Databases as well as NoSQL / Document DatabasesExperience with Hadoop Systems and HDFSMapReduceJAVA and/or ScalaMicrosoft SQL ServerCouchbase, MongoDB or other NoSQL databasesETL ProcessesGit ",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,Engineering,0
9094,Corporate Marketing,"US, PA, Pittsburgh Area",,,Our company is kept confidential due to the large number of responses we receive.,"Our firm's growth has created an excellent opportunity for the proper candidate to lead our complete marketing efforts. This is a fantastic opportunity with unlimited potential for the right person.Your responsibilities will include:* Creating and maintaining our social media strategy. * Generating strategic and effective marketing campaigns to create leads and fuel growth.* Perform market research to maximize our services and products penetration.* Manage and maintain our website strategy and presence.* Support company's sales efforts.The ideal candidate will be:* Highly creative.* Driven to succeed.* Multi-tasker.* Professional appearance and demeanor.* Goal and team oriented.If you believe you can assist us in increasing our growth, please provide a cover letter as well as your resume for immediate consideration.EOE",,,0,0,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Marketing,0
12000,QA Analyst,"US, , ",,,"Street Solutions, Inc. (SSI) develops software solutions for the secondary loan market.  Our clients are some of the most successful investment banks, hedge funds, and mortgage companies in the world. We're solidly profitable, with a transparent and relaxed small company environment, competitive pay, numerous perks, and a management team that is committed to providing our people with all the tools they need to succeed.","SSI is looking for a part-time QA Analyst to help us produce bulletproof, scalable products. We work in an agile scrum environment with access to great tools and a minimum of bureaucracy. You'll work closely with our development and support teams throughout the product lifecycle to:Develop test strategies and test plans for assigned projectsSet up and configure test environmentsPerform exploratory, usability, and UAT testing and record results accuratelyReview technical documentationWrite and run automated regression tests","The ideal candidate will have a strong QA background with experience in manual and automated testing, with a solid understanding of web based applicationsExperience testing complex apps that have been successfully delivered to customersGreat written communications skillsAbility to work independentlyExperience with issue tracking systems (we use JIRA)Finance or accounting knowledge is a big plusExperience with automated testing tools like Selenium",Flexible hours with ability to work remotelyPotential for future full-time employment,1,1,1,Contract,Entry level,Bachelor's Degree,Financial Services,Quality Assurance,0
16988,Title/Escrow Closer,"US, FL, Orlando",,,"Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Your satisfaction, as our client, is important.  We are here to assist you and ensure a efficient, cohesive transaction.  Our experienced settlement officers and attorneys will travel anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.  For resume review, email to #EMAIL_bbbab0052922a3a922939af2180ff4d9f034ba04634bd573870818da62a0d151# or call Office: #PHONE_113b1bd5d3e5e087c3480c458e2ef299fd3a272612224463899e65ab2fe73995# x7141 Cell: #PHONE_c3853c0e034b798989b048d3a55a28d03177bfde5db40af1c435f6fa5a867868#","                                               Seeking candidates in several Florida marketsLooking for a change?  Not happy where you are?  Then give us a call!! Network Closing Services, Inc., a full service Title Agency is seeking Closers with a book of business. We are growing, come join a winning team!Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Our Client satisfaction is very important.  We provide experienced settlement officers and attorneys anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.Close residential purchase/sale transactions, in accordance with closing instructions from lender and sellerPrepare and package all documents to ensure recordingsPrepare all closing documents to ensure Title issues are resolved and Title Insurance is issued at closingConduct closing with customer, realtor, lenders, and attorneysCollect and disburse funds in accordance with escrow instructionsPrepare settlement statements including HUD and GFESend HUD's to appropriate parties and wire transfer informationMaintain and establish new client relationshipsWe operate in a paperless environment  Faster ServiceEmmanuel Sanchez#PHONE_dc5cff94cbcc4ac18e1234a2e6521946ae7d722bdf66cfc1af3aeca9255aee0d##URL_1bdaa45fd1ec77ea7879abfceafd610ca4fa9b4eb30eb6fa5f39b9ffac8a8c5a#",Are you a top Closer in your market? Are you a go-getter and able to market for new clients? Are you a dedicated customer service oriented Title Professional? If you answered yes to these questions then we want you!Experienced Title Settlement CloserMust have a client following/Book of Business and ability to MarketKnowledge of RamQuest a plusNotary public or be bondable                                     Independent/Mobil Closer's or Mortgage Closer's NOT being considered,"We provide a fun and rewarding atmosphere, our employees are supported at all levels to achieve a common goal.  We also have the traditional benefits including:Vacation PaySick PayHoliday Pay401k PlanHealth, Dental, and Vision Insurance",0,1,1,Full-time,Mid-Senior level,Unspecified,Real Estate,,0
14058,Digital Advertising Sales,"GR, , ",Commercial Department,,,DPG Digital Media is looking for a person for its commercial department,"- 3-4 years experience in advertising sales- Digital advertising knowledge will be valued- Knowledge of the Greek advertising market- Tech literate- Ethical, group player, does not mind to work under pressure and for long hours",Full time job with social security,0,0,1,,,,,,0
5828,SQL Database Specialist,"NZ, N, Auckland",Development,,"HSAGlobal specialises in solutions enabling connected care, to reduce the impact of the heaviest users on the healthcare system.  Our vision for connected care applies across multiple health providers and is patient-centric. It includes integrated, shared, collaborative or co-ordinated care. HSAGlobal partners with forward-thinking healthcare purchasers and providers who want to shift towards a connected model of care. The HSAGlobal team is experienced in successfully leading connected care projects in private and government healthcare organisations in New Zealand, Australia and Singapore. Our experience and expertise is backed by a software platform purpose-built for connected care. Our team of professionals can share years of clinical, technical and change management experience, gained over a number of connected care projects, to help organisations succeed in implementing this new way of working.","HSAGlobal are a New Zealand based business specialising in solutions enabling connected care to reduce the impact of the heaviest users on the healthcare system.  We have a great team and are passionate about our product and our clients around the world.  We have ambitious targets and to help us achieve these we employ leading professionals.  We are looking for someone who can bring their skills and passion to our diverse team.  You will be part of a dynamic organisation on the front line of the connected care movement.  Your responsibilities will include:Designing, modelling and developing the data layer of our product suite using MS SQL Server as the database and C# as the primary development languageAssessing database performance by developing a protocol for measurement of results and identification of problem areasSupporting application design by contributing expertise to applications, operations, and technical support teamsSecuring database and implementing disaster recovery proceduresDeveloping data migration plans To join this outstanding team you will need to demonstrate:That you are a self-starter capable of working both independently and in a team environmentThat you have the ability to prioritise work to meet project goalsStrong communication and collaboration skillsYour experience in database design, SSDT and performance optimisationsExposure to Microsoft Team Foundation Server, Visual Studio &amp; GitHaving worked in an Agile environment would also be beneficial So, if you want to be part of an exciting and stimulating environment where you can have a positive impact on the peoples lives, then we would love to hear from you.",,,0,1,0,Full-time,Mid-Senior level,Unspecified,Computer Software,Information Technology,0
16167,Process Engineer,"US, CA, Los Angeles",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Process Engineer :Job Description :-Roles and responsibilities-Preparation of all APQP documents such as PFMEA, Control Plan, PFD, Work instruction, SOP etc.Conduct Time study, Line balancing, capacity planning etc.Carry out process design for new &amp; existing process.Designing of different types of Jig/Tools/fixture for Automation &amp; as required in process using 3D Modelling.Education-B.Tech/B.E.Category-Jobs in Manufacturing / Packaging / Printing / Industrial JobsMinimum experience-Two yearsVisit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,,,0
16055,Outbound Sales Consultant,"NZ, N, Auckland CBD",,34000-45000,"CallCentre People Recruitment is recognised as being specialists within the CallCentre industry.  We provide permanent, temporary, contract and management staff for a number of large national and multi-national businesses in various industries.","Our client is New Zealands largest privately owned outsourced contact centre. Based in Aucklands CBD they are looking for proven sales stars to join their high performing Sales Team. Our clients Sales Team are leaders in their respective fields; they are always busy and have a fantastic, supportive team environment. You will be joining a highly successful team who continuously exceed sales targets.",We are looking for people who:Are confident in their ability to make outbound sales calls to potential customers.Have a positive attitude with high levels of motivation to succeed.Have clear and professional communication skills.Are able to work 37.5 hours per week during operational hours.,In return our client offers:Competitive hourly rates plus incentives.A fun and dynamic call centre environment.On-going training and development.A Monday to Friday position offering 37.5 hours a week.12pm - 8pm positions available,0,1,1,Temporary,Entry level,,,Sales,0
4290,"Advertising Consultant, Riverside","US, CA, Riverside Coutny",Local Sales,,"El Clasificados initial mission was to provide Spanish content and Hispanic Advertising to Latino Families when the publication began distribution in East Los Angeles in 1988. While distributing 10,000 copies weekly, advertisers initially faced lukewarm responses from the El Clasificado audience and the publications strategy shifted from home deliver to bulk drop circulation. Still following the same mission and relying on this model, the weekly publication now circulates 510,000 copies in over 300 cities stretching from San Diego to Fresno, CA and Yuma, AZ and has become the go-to resource for Hispanic businesses looking to advertise their products and services to the Spanish speaking community.","El Clasificado is seeking a driven, self-motivated Advertising Consultant to drive sales of our print, online, events, and social media products and solutions in Riverside County. Summary of Key Responsibilities Develop customer relationshipsDemonstrate solid knowledge of assigned territory and target customers business, priorities and opportunitiesIdentify and develop prospects and customersSustain and deepen sales activity with current customers across products and solutions portfolioMaintain target portfolio of clients serviced with a balanced portfolio across categoriesMeet individual sales and pricing targetsWork effectively with seniors, peers and administrative/shared services team membersDemonstrate commitment and take responsibility for the success of the team and its individual membersActively seek and respond positively to coaching from seniorsDemonstrate consistent drive towards meeting or exceeding goalsTake initiative in identifying and recommending business and/or team development initiativesRepresent EC well in business situations and public eventsDemonstrate prudent expense managementComply with ECs internal policies and procedures","Desired Background 2+ years of experience in outside sales or in a related sales area with high volume of salesProven track record in developing new business and achieving revenue goalsExperience in Spanish language media organizationWillingness and ability to work evenings and some weekends at Company sponsored events Desired Knowledge, Skills and Abilities Bilingual (English/Spanish)Computer literate and internet savvyKnowledge of contact database managementStrong oral and written communication skillsStrong planning, time management, and organizational skillsExcellent presentation skillsCustomer-orientedAbsolute personal and business integrity","This is a fulltime position.  We offer a base salary, commission, and bonus.  Benefits include:  Medical, Dental, Vision, Life Insurance, Long Term Disability, 401(k), and other supplemental benefits with Aflac. ",0,0,1,Full-time,Associate,Some College Coursework Completed,Publishing,Sales,0
16248,"Technical Lead, QA","IN, AP, Hyderabad",Quality Assurance ,,"We're an emerging technology agency, and we build breakthrough products through user-centered design. We're building a team of the brightest minds in creative, engineering, and business because we love working with smart people. ","Why work for Mutual Mobile? We craft beautiful mobile interfaces and solve complex software challenges. Clients such as Audi, Cisco, Jaguar Land Rover and Google come to us for our deep experience in mobile--from establishing a mobile strategy that provides impactful business value, to building those solutions from the ground up. We bring together the brightest minds in creative, engineering, and business to deliver solutions that actually matter. As a QA Tech Lead at Mutual Mobile, you will have the opportunity to experience Quality Assurance beyond the traditional definition of a QA Engineer.  Unlike many other Quality Assurance roles, you will work on multiple projects from beginning to end, being integrated with the team from the very start of a project. You will have the opportunity to truly impact projects by creating and contributing to the delivery of execution process. You will be able to experience a wide breadth of clients, industries and technologies.  Responsibilities include:Creating test strategies for projects, regardless of their size or complexity. This includes test needs, dependencies, tools, environment, risks, mitigation plans, and approaches.Identifying, advocating, implementing, and enforcing definition of best practices or process improvements Ability to lead one or multiple projects concurrently, and play a variety of different roles: individual contributor, lead or scrum master.Managing internal and external customers and conflictsPerforming assessment of ability of self and team members, providing feedback and fostering continuous improvement. ","Youll excel in this position if you have a strong understanding of QA values and roles. You can enable, advocate, and implement QA processes in a fast-paced, Agile environment. You must possess the soft skills to adapt to our Agile environment and work in a fast-paced and highly collaborative workplace.  You should be able to understand business purpose, client expectations and architecture of the projects quickly in order to determine the level of work for QA accordingly.  Our ideal candidate will possess the skills to communicate effectively in both writing and verbal communication, as well as adapt quickly to new technologies, new people and environments.  ","Why work for Mutual Mobile? We craft beautiful mobile interfaces and solve complex software challenges. Clients such as Audi, Cisco, Jaguar Land Rover and Google come to us for our deep experience in mobile--from establishing a mobile strategy that provides impactful business value, to building those solutions from the ground up. We bring together the brightest minds in creative, engineering, and business to deliver solutions that actually matter.",0,1,1,Full-time,Mid-Senior level,,Computer Software,Quality Assurance,0
7565,Data Scientist / Data Architect,"GR, I, Athens",R&D,,,"Intale SA is a dynamic technology company located in Athens, whose products and services act as the main pillar of a sustainable and interactive communication that bridges the gap among retail industry brands, the point of sale and the end consumer. Our solutions bring FMCG corporations closer to the unstructured retail channel, such as grocery stores, corner and convenience shops connecting and streamlining the retail industry via our unified Business Intelligence and communication platform.We are looking for a Data Scientist or Data Architect that can create end-to-end data mining solutions to support our business initiatives and build analytics models to extract signals from retail data being part of the R&amp;D team. The Data Scientist must be able to understand the business requirements and use cases of the customer and apply their knowledge around analytics and statistics to solve complex business and data problems. Furthermore, the Data Scientist should lead the customer in determining the relevant and compelling questions to ask. In this way, the data scientist helps a customer understand the potential meaning that can be extracted from their data by applying big data analytics.The Data Scientist must be fluent in data mining algorithms and possess a deep knowledge of database modeling principles. The selected candidate will form an integral part of our organization and will be pivotal in pushing our platform offering to new limits.","REQUIRED QUALIFICATIONS:PhD in Data Science, Machine Learning, Statistics, Applied Mathematics, Algorithms, or a related field OR alternatively, an MSc in a related field with 5+ years proven research experienceStrong knowledge of data mining algorithms (decision trees, clustering, regression analysis, neural networks, pattern analysis, outlier analysis, optimization techniques, etc)Ability to perform advanced analytics on large unstructured and structured data sets to measure, interpret and predict trends and patterns to inform on key decisions (e.g. financial, budget, outcomes, and impact).Ability to research and design statistical models to answer target questions, optimize processes &amp; outcomes and inform decision-making.Ability to explore and apply new data visualization techniques to increase insight and visibility to data trends and opportunities.Demonstrated experience using data mining to solve business problemsData Modeling and Data Warehousing (modeling, design, integration, replication, processing, cloud computing, unstructured Data) experienceGood knowledge of software development and programming language. Examples: SQL, Matlab, Mathematica, SPSS, SAS, R, Python, Scripting LanguagesAbility to view data through a quantitative lens and derive insights from dataAttention to detail and data accuracyExcellent use of the English language (written and oral)DESIRED QUALIFICATIONS:Predictive Analytics (statistical analysis, modeling, etc.)Experienced in advanced methods for forecasting, data classification and pattern recognition (e.g. regression analysis, logistic regression, survival and reliability analysis, Auto-Regressive Integrated Moving Average (ARIMA) modeling etc.)Conduct applied research in Big Data and high impact business problems.Business Intelligence (reporting, dashboards, visualization, etc.)Innovation thinking with good sense of customer focus and Business acumenKnowledge of the business across the value chain, from marketing, sales, distribution, operations, products, finance, etc.Ability to generate static and dynamic visualizations in a variety of visual mediaAbility to work independently and in a multicultural team to design innovate solution for challenging problemsAbility to engage with senior management and translate the data-driven insights into decisions and actions","You will lead a fast growing R&amp;D team hence communication, organizational and team working skills are of tantamount importance to the success of this role. For your hard work and dedication, you will be rewarded with a competitive salary, excellent benefits and many other cool perks! Also, you will get the chance to join an amazing working environment, and a fast growing company with a clear business plan for success. You definitely want to be a part of this tremendous growth opportunity where SaaS, Big Data, and Business Intelligence all meet! You will play a key role in developing a set of tools and platforms that will be utilized by our organization and international clientele.The research project is co-financed by Greece and the European Union (European Social Fund) O.P. 'Human Resources Development' - NSFR 2007-2013.Candidates should hold a valid OAED unemployment card, and for freelancers, no invoice should have been issued for the last six months.This is an immediate hire position. Please apply by 9th of November.",0,1,0,Full-time,Not Applicable,Master's Degree,Information Technology and Services,Data Analyst,0
4725,Quality Engineer,"US, ND, Jamestown",Manufacturing - Quality,,"Roland &amp; Associates is a Corporate Recruitment Organization providing solutions to Global MNCs in US, Middle East &amp; Asia Pacific for the past 11 years.Rated as one of the top recruitment companies in India by Social Talent, Ireland; operating from a state of the art office at Bangalore, located on HAL Airport Road, Team Roland' is supported by 100+ specially trained Searchologists.",Bachelor's Degree in Engineering or a Technical DisciplineMinimum of 5 years experience in a manufacturing environment in a Quality #URL_7e84cfd25a171ebe813fb1b251f7ebdcc42d12d830781143c9eeda29cb02410a# Lean Principles.Develop and follow quality systems and procedures to assure high quality products are produced which meet customer requirements.,,,0,1,0,Full-time,Mid-Senior level,,,,0
4700,Experienced Sales/ Marketing Managers,"US, MD, Baltimore",,30-50,"Power-Mark Resources is a premier energy brokerage and consulting firm based out of Dallas, Texas. We maintain a strong reputation for low rates, reliable energy, and high customer service ratings. PMR is partnered with top tier providers in Texas, Illinois and Maryland. Our goal is to help our commercial and residential customers obtain the lowest possible electricity rate &amp; best contract terms that suits their needs In addition our consultants provide viable options that require no up-front capital expenditure to reduce our customers kilowatt- hour consumption.Power-Mark Resources has over 40 years combined experience in deregulated and regulated energy markets. Our customer base is made up of all different sized customers, ranging from small commercial to residential customers all the way up to large industrial sized businesses. With 10 offices strategically placed in Texas, Illinois and Maryland- we are able to offer total energy solutions nationwide at no cost to our customers. We are seeking experienced sales agents, team leaders, and area leaders to join our MD Team.  We have a great compensation package for those who are out of the area and will travel into Baltimore","  Are you making Less than $18.00 an hour with no cash bonuses or a future? Our company is seeking experienced sales agents with excellent work ethics and motivation to exceed our expectations. Our company is expanding out to the Baltimore area and we want the Best of the Best to work for us.If you are serious about starting a challenging career with no limitations on your potential then apply today.Imagine working for a top company that can offer:Growth opportunities30,000-50,000 Entry Level (Depending on YOUR performance not others who may hold you back) $300 New Hire Bonus for your takingTop Pay (up to 100 per sale before bonuses and overrides) Referral Program (make some extra money for little to no effort) Traveling Opportunities for those who want to see more and make more Weekly gas allowance to help make you successful Extra Bonuses for serious managers that bring in a team (schedule an interview to learn more about this incentive)   ","Sales Experience in Energy, Cable Insurance or any other industry where you have met expectations or exceededPositive Attitude and Determined DemeanorWell Groomed and Clean Cut appearanceCan pass our background checkTransportation a plus but can be discussed in interviewBilingual or other languages a plus",,0,1,1,Full-time,Entry level,Some High School Coursework,Oil & Energy,Customer Service,0
10217,Customer Service Associate,"US, UT, Salt Lake City",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Salt Lake City, UT. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform any and all duties as assigned by management to include; mail services, reprographics services, fax services, and messenger servicesDeliver small packages and mail to various sites and locationsMaintain highest levels of customer care while demonstrating a friendly and cooperative attitude at all timesDemonstrate flexibility in satisfying customer demands in a high volume, production environment.This position requires regular work on various applicationsKnow, understand and adhere to business procedure guidelines at all timesTake direction from supervisor or site manager as requiredParticipate in cross training of responsibilities as appropriateMaintain all logs and reporting documentation as requiredUnderstand and adhere to all safety proceduresAbility to work overtimeAbility to work weekendsEffectively communicate both verbally and written, with clients and company personnelCustomer service orientedEffectively work individually or in a team environmentDemonstrate competency in performing multiple functional tasks","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredKeyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,,Entry level,High School or equivalent,Investment Banking,Customer Service,0
10279,Customer Service Associate - Part Time,"US, ID, Idaho Falls",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Idaho Falls, ID. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages ","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredValid Driver's License and good driving record requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Part-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
2837,Pre-School Teacher,"US, PA, Exton",,,,"Description Our beautiful Montessori school is searching for wonderful certified Montessorian to join our staff for the 2013 - 2014 school year.  We are located on the breathtaking 10-acre campus in the heart of Exton, Pennsylvania.  Our age groups are 2 - 5 years. The applicant should have strong classroom management, with the ability to maintain a clean, safe, and creative learning environment.   The ideal candidate is one who creates a warm, loving, and secure atmosphere fostering curiosity, creativity, and independence resulting in children becoming models of peace and succeeding in an evolving world.   We are willing to work with an intern as they pursue their Early Childhood degree!  Salary will be commenced based on education and experience.",Requirements: ·        Be at least 21 years of age ·        Available Monday  Friday anytime between the hours of 7:00 a.m.  5:30 p.m.·        Undergo a physical and TB test as well as a criminal background check &amp; Child Abuse Clearance (state required)Have CPR &amp; First Aid Certification or willing to obtain ,Salary is commenced based on education and experience.,0,0,1,,,,,,0
5057,Web Developer,"US, PA, Bensalem",Information Technology,,,"The success story continues... Join the #1 casino team on the east coast! Parx Casino, located in Bensalem, PA., is seeking a Web Developer to join our expanding team of talented and creative professionals. This is a full-time on-site position, so you'll need to be located in the Philadelphia area or willing to relocate. The ideal candidate would have advanced CSS &amp; JavaScript skills who can contribute to a variety of interactive projects including, landing pages, emails, microsites, mobile web apps, and more. As a Web Developer at Parx Casino, you should be comfortable developing cross-browser compatible PHP, HTML, JavaScript, CSS, and have experience creating engaging web experiences utilizing a variety of web technologies. Experience with the latest web standards including HTML5, CSS3, JSON, XML, responsive design, and ability to QA your own code is a plus. Candidates should thrive on a mix of creative design challenges and production duties and be able to work quickly and effectively in a collaborative environment. The ideal candidate must be a self starter, detail oriented, highly motivated, able to work independently, able to execute and develop quality work as necessary with the highest standards and attention to detail throughout all phases of a project. Collaboration, organization and time management skills are key to success in this position.","REQUIREMENTSAt least 1-2 years of experience developing PHP, HTML, CSS &amp; JavaScript based websitesExperience with JQuery and/or other JavaScript librariesExperience with CodeIgniterDetail oriented with an eye for developing and debugging codeAn independent thinker capable of completing tasks and adapt to changing priorities and deadlinesA passion to develop oneself and stays abreast of new technologies and design strategiesA team player with strong collaboration, organization, and time management skillsStrong portfolio and/or examples of current and past works RESPONSIBILITIESWork closely with the Director of Multimedia throughout the production processDevelop, deliver, and maintain landing pages, emails, microsites, and mobile web apps across our various web propertiesAssist with various department tasksOther tasks and duties as may be assigned ",BENEFITSMac Pro workstations with dual displaysMedical and Prescription Medication PlanVision / DentalTuition ReimbursementLife InsuranceRetirement Savings Plan - 401(k) (after 6 months)Short and Long-term disability (after 1 year)Education and Development ProgramTeam Member Dining Room,0,0,1,Full-time,Associate,,Gambling & Casinos,Information Technology,0
11669,Personal Care Worker - Community Living Assistant,"US, WI, Greenwood",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","Looking for a change? Aurora Community Services is the opportunity that you are looking for! Aurora has been a leader in providing community based living services for adults with disabilities for over 25 years. No experience required, paid training, and we'll work with you to find you the perfect schedule.Currently we are looking for Community Living Assistants (personal care workers) in Greenwood, Abbottsford and Thorp, part time and full time (1st, 2nd, and 3rd shift) opportunities available. We are seeking compassionate, caring individuals who like to have fun while maintaining a professional balance. Our philosophy encourages people to be as independent as possible while supporting independence and encouraging community integration. Aurora provides residential and vocational services to individuals with brain injuries, physical disabilities, cognitive disabilities and/or mental illness.Duties/Responsibilities:Promote independenceCommunity IntegrationAssist with personal caresMedication administrationMeal Preparation/PlanningTransportation for consumersFollow individual service plansIf you are looking to make a difference, join the Aurora team.Aurora Community Services is proud to be an Employee Owned Company!An EOE/AA Employer",Position Qualifications/Requirements:Applicants must be at least 18 years of ageHigh school or equivalency diplomaAbility to lift 50 pounds with reasonable accommodationAcceptable caregiver background checkAcceptable driver history and valid driver's license required for 1st and 2nd shifts. ,,0,1,0,Full-time,Entry level,High School or equivalent,Hospital & Health Care,Health Care Provider,0
15206,Lead Developer & Architect BlipBuilder - Augmented Reality SaaS,"US, NY, New York",Engineering,80000-120000,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","We are looking for a sensational Lead Developer/Technical Architect to take Blippars DIY augmented reality platform Blippbuilder to the next level.Working closely with the Product Manager and CTO, you will build an exciting product roadmap for our game-changing self-serve tool, identifying the best technical solutions and inspiring Blippars technical development team to build an awesome product our clients will love.You will be an excellent hands-on developer, a great communicator, an inspirational team leader and someone who is unfailingly passionate about all projects on which they work.As part of a small, dynamic team you will guide and mentor its existing members while helping to identify new resources needed for our platforms successful growth. In addition, you will be required to support daily project management activities, helping to plan, prioritise and estimate the timeframe of work needed, from early concept through to delivery.  You will be Blippbuilders go-to developer, and your impact upon the product, the team and the future of augmented reality itself will be enormous.","Ideally, you will have experience working on SaaS and self-serve platforms; excellent problem-solving abilities; exceptional coding skills in a number of languages, and an innate passion for augmented reality and the possibilities the ground-breaking technology offers.Successful candidates will be:Terrific full-stack developers, well-versed in a range of front- and back-end technologies (not restricted to but including PHP, Flash, Flex, Python, HTML/Javascript).Skilled communicators able to manage relationships with business stakeholders, product managers, design teams and tech developers.Able to communicate complex technical ideas in a straightforward way.Able to form and maintain good relationships with managers and colleagues.Knowledgeable about all the latest technologies and applications.Pragmatic decision makers.Clued-up on usability/UX design principles, and the importance of integrating them into the final solution.Experienced managing project delivery using agile project management methodologies.Experienced leading a team of developers across multiple locations.  Knowledgeable about SaaS and self-serve platforms.","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter &amp; Gamble, Conde Nast, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares scheme4 Weeks Paid HolidayEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesInternational travel opportunitiesChance to develop groundbreaking techWork with state-of-the-art hardware and softwareAccess to new wearables including Google GlassA challenge to change the worldChance to shape a new industryCreative freedom and controlExperience with all mobile operating systemsCollaborative opportunities with huge brandsPlease apply now for more information about this role, or check our careers page for details of other opportunities to work with us.",0,1,0,Full-time,Mid-Senior level,Unspecified,Computer Software,Engineering,0
10329,Financial Officer,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)(Click “Apply Now” to know more about Salary, Job description and Location)Job Title: Sr. Financial Officer  8+ Years Experience -RARE opportunity to join an established healthcare enterprise with an entrepreneurial spirit as they expand in new and innovative directions. You will find yourself in the company of a very talented and energized team of executives. The CFO will provide leadership and oversight of all aspects of finance to include monthly reporting, revenue cycle, financial analysis, forecasting, and strategy for the multiple business units of which this enterprise is comprised. Company is poised for significant expansion as a result of a recent collaboration and their participation in programs of integrated health care.(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Visit: #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,Accounting,,0
7560,Customer Service Associate,"MU, PW, Ebene",Inbound Operations,,HireEasy Pro provides specialised project recruitment services focused on Digital JobsWe provide assistance to JobSeekers to build their CV's and practice interview sessionsWe assist companies with custom-design recruitment solutionsVisit #URL_b26695a57d6f7785a8a7f3c2f317a8f182280b2033255ac86f52e64b1e5577ed#-#URL_3178dfe2c8a364bd57d226d31aa0d01fd518cfb0f435aab5a30147aa08925a8d# for more information,"BackgroundOur customer, a leading multinational company, manages customer request for consumers in the banking industry. With new expansion, vacancies are currently available for Customer Service Associate positions.With offices in Ebene, the service centre operates both In-bound and Out-Bound operations.","LanguageFluent in English, French and Creole SpeakingGood French Writing SkillsSkillsExcellent typing SkillsBasic IT SkillsExperience in Debt CollectionPersonalityPleasent personality on the phoneCalm and able to manage difficult customers","Terms and BenefitsBase salary from Rs. 10,000 to Rs. 11,000 per month (depending on experience)Meal AllowanceWork to be performed as per shift systemTransport provided to and from your residence in case your work Late Shift",0,1,1,,,,,,0
12847,Multiple Positions in Multiple Locations - Data Modeler / Data Architect,"US, , ",,,,"Title: Data Modeler / Data ArchitectMultiple Locations: FL, NYC, CA and TXPosition I: 6 Months ContractPosition II: 6 Months Contract to HirePosition III: Full Time positionJob Description: Minimum 2 years of experience working primarily in the role of a logical and physical data modeler on application development projects in an OLTP database environment.Experience implementing physical data models in an Oracle databaseExperience with PowerdesignerAbility to communicate clearlyDetail Description:Understand and translate business requirements into data models .Work with the Application Development team to implement data strategies and build data flowsCreate or update conceptual, logical and physical data models using OLTP best practices and according to company standardsRecommend opportunities for reuse of data models where appropriateGenerate DDL scripts and implement them in the databasePerform reverse engineering of physical data models from databases and SQL scriptsChampions and protects data from definition through interpretationEvaluate data models and physical databases for variances and discrepancies",,,0,0,0,Contract,Mid-Senior level,,,,0
15132,Web Application Project Manager | Junior-level,"US, CA, San Diego",,,"PINT is a leader in the web industry with 20 years of web design, software development and consulting experience. PINT's principals are also the founders of two software companies - ZingChart and Port80 Software.We not only build sites and applications for leading organizations in California, the U.S. and worldwide, but help move the industry forward with our books, instruction at universities, and conference participation.PINT's CultureFrom keyboard-bashing coders to creative, caffeine-driven web aficionados, at PINT not a day goes by in which our employees aren't learning, teaching, and continually being technically and professionally challenged.The amount of experience and knowledge your co-workers share is often a crash course in advanced web development and software engineering principles. Conference room walls tiled with post-it note mosaics of user interview questions and printed web dev decoupage throughout the office poise PINT on the bleeding edge...exactly where we want to be.Foodie focused Friday mornings are often highly anticipated and enjoyed among the members of the weekly PINT Breakfast Club.","PINT is seeking a detail-oriented and impassioned individual who loves online experiences to join our team as a Junior Project Manager.Responsibilities include:Work with clients through the entire Software Development Life Cycle, maintaining strong and profitable relationshipsMaintain project budgets, strategies and timelines, and communicate high-level client status and forecasts to managementAllocate internal resources throughout all project phases, track and maintain all resource tasksAssist with quality assurance, testing and documentation, work with designers, developers and engineers to meet project deadlines and produce project deliverablesSupport Senior Project Managers and own delegated tasks and projects","Project/account management aptitude and experience to managing, planning and developing of web sites, web applications, digital marketing or web strategy initiativesPassion for web development and intimacy with the industry's growth and best-practice standardsBachelors degree in a related field (or equivalent experience)Experience working in a Web or Software Production environmentProven ability to work independently and take initiative, both individually and as a member of a teamExperience working with and presenting to senior-level executivesExceptional written and verbal communication (creating and delivering reports, presentations, client deliverables, etc.)Adaptability and willingness to self-educate in industry and agency-specific software and technologiesYou will set yourself apart for consideration if you know a lot about the web, and are actively learning more about:     Social media and online marketing, UI/UX practices, Web development languages, Web standards, Content Management Systems, Information Architecture, Web and/or social analytics and attribution, A/B Testing and Reporting","We offer industry-competitive salary, health, dental and 401(k) benefits.PINT is an Equal Opportunity Employer. Follow us on Twitter @PINTSD",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Project Management,0
14763,Junior Digital Designer,"GB, LND, London",Creative,,"At Karmarama we have a unique hiring policy: nice, talented and decent people who genuinely want to do what's right for their clients. Or 'keine wixer bitte' as our German friends say.We believe in good works. We put our clients at the heart of everything we do and only focus on doing what's right to help them win.We're always glad to meet nice, talented people. So if that's you and you're interested in joining the Karma Krew, please get in touch via the link below and we'll put you in touch with the relevant people.","Junior Digital Designer - eCRMReporting to our digital design director, you will be responsible for looking after the design for our eCRM programs for our major clients within Karmarama. The agency is looking for a designer who is passionate about digital with expertise in e-mail campaigns, and other CRM programs alike. This may be a fantastic opportunity to build upon a budding career.The candidate will have the opportunity to work with some of the biggest and well-known brands in the world. As a new member of the team it is pivotal that they are out going and motivated and can work to a tight deadline. ","Experience2-4 years experience • Strong experience with User Interface Design (UI) and an knowledge of User Experience (UX).• Have a sound understanding of digital best practise be it for website design to mobile applications.• Expert knowledge of Adobe Creative Suite• Experience working on email campaigns, banners, and mobile.• Working as part of the larger team with developers.• Also it would be a bonus to have a good understanding of HTML, CSS, Javascript ",,0,1,0,Full-time,Associate,Associate Degree,Marketing and Advertising,Design,0
316,Project Manager ,"US, GA, Duluth ",Implementations,,"Horizon Software International, LLC is an industry-leading food service management technology company. The companys state-of-the-art office is located in Duluth, Georgia, approximately 30 miles northeast of downtown Atlanta.At Horizon, you will jumpstart your career with a growing, profitable software development company, offering more than just software solutions to its many clients around the world. Horizon firmly believes in Great People, Great Service, Great Products and Great Results. As a team member at Horizon, you are relied on to continually improve your operational areas and carry out the organizations strategic initiatives.The executive management team realizes its employees create the difference that makes Horizon a great company and a great place to work. As Horizon continues to grow, it will attract and retain the very best people possible. Come in and explore the opportunities that abound at Horizon. See what the company has to offer and how you, too, can flourish in the exciting atmosphere of a successful, growing business.Horizon offers comprehensive food service solutions ranging from Point of Service to Back of the House management including inventory, procurement, menu planning, nutrition analysis, warehouse distribution and much more. Horizons product offerings provide clients with fully-customizable and scalable software solutions able to meet the needs and budget of any food service operation.Horizon Software is a Non-Smoking/Drug-Free Workplace. EOE/MFVD","PROJECT MANAGEMENTTitle: Solutions Delivery Manager General Description: The Solution Delivery Manager (SDM) has overall responsibility for managing the technical resources within a project. As such, the SDM works with the business owners, sales, implementations and other key stakeholders to assign the appropriate resources for a project within the scope, schedule, and budget and to ensure that project deliverables are met.Specifically, the SDM works closely with business owners to gather customer requirements, translate them into clear compelling business cases and requirements documents, and then implement them to deliver solutions.The SDM also works closely with the project coordinators provide direct project governance and assistance to the Implementation Solutions coordination team to ensure a successful engagement, to include transition to Customer Support Center, resulting in outstanding customer satisfaction. Further, this role will be expected to travel to engagement sites inspecting and assisting with projects as required. In addition, the SDM will work with program management to help define operational processes, drive the launch, adoption, and training, support program development and execution, track customer feedback in order to build improvements into future solution requirements. Responsibilities:Collaborate with customers to find alternatives and solutions to business challenges; seek out systems solutions (either internal to client or external 3rd party solutions) that can be leveraged to meet business requirements; engage the business client early and effectively building solid relationships and pushing IT value upstream as key business direction is being setIdentify, understand, negotiate and document business requirements with various groups; ensure all business requirements are accurateManage the delivery of business cases, scope and requirements documents, use-case documentation, test plans, and rollout communicationsDevelop and manage direct relationships with key stakeholders and technical lead to ensure the business solutions are aligned with their goals and priorities, and how process automation through IT solutions will help them reach their goalsBalance the customers requirements with technical constraints (e.g., application size, network bandwidth, hardware/software/equipment selections) to ensure that the value of the project is realized.Understand, clearly articulate and document existing “as-is” systems &amp; business architecture and define strategy for “to-be” architecture.Implement the methodology adopted for the project; exercise independent judgment in developing methods, techniques, architectures and standards for obtaining solutions.Create and maintain a cohesive, coordinated plan to deliver solutions that meet the stakeholders priorities within budgetary and product requirementsProvide in-depth business &amp; technical strategy for systems solutions, identify business gaps and correlate to potential solutionsDrive the prioritization process by which decisions are made around the final project scopeFacilitate overall IT planning; execute on project plans and assist others in executing on project plans across the various groupsCommunicate project progress to business owners and key stakeholders; recommend scope/schedule/resources (including budget, trade-off recommendations)Ensure line of business priorities and IT capabilities (technology and resources) are alignedDemonstrate a sound understanding of how the overall business solution is positioned, deployed and supported. ","Behaviors:A motivator who works well with the team, and strives to provide outstanding customer serviceStrong focus on execution; able to successfully translate strategies into deliverablesDetail oriented, yet still able to see the big picture and work with the business to drive a strategy which optimizes the IT investment.Inspire through trust and lead by exampleDemonstrate and support Horizons Core Values dailyThink critically, communicate effectively and deliver results with prideResponsible for ensuring delivery on commitments and ensuring that the delivered solution fulfills the needs of the business Essential Skills &amp; Experience:Solid project/program management skills deploying large-scale cross enterprise IT systems implementations within the SDLC in a fast based unstructured environment because of the end to end nature of the Solutions Management responsibilities; specifically, more than 5 years of proven implementation and/or project management experience in this environmentStrong consultative, negotiation and consensus building skills when dealing with internal customers, stakeholders and team members including exceptional customer communication skills; conflict management skillsExtensive product and program management experience with techniques such as use case analysis, data process flow diagramming, process mapping and requirements documentation is highly desirableBachelors degree in computer science or related field of study for software development and project/program management preferredAbility to understand current/changing business processes, participation in defining application and platform functionalityProven track record of building credible customer relationships both in immediate team and across multiple customer groupsDemonstrated experience identifying issues and developing risk mitigation strategiesSound understanding of IT technology concepts; must have an understanding of software architectures, MS products, development tools, etc.Ability to deal with the ambiguity associated with working in a fast paced and changing environmentExceptional communication, problem solving and cross-group collaboration skillsDemonstrated expertise using written &amp; verbal communication skills to distill technology projects into understandable and compelling executive level presentationsMust be proficient in MS Excel spreadsheet creation, manipulation and use; however, this position does not require deep technical knowledgeExcellent time management skills; capable of developing processes, reporting (ad hoc, etc.) and tools that increase efficiency and productivityPrevious supervisory/people management experience requiredProven track record managing organization change and delivering desired results Reports To: Implementation Solutions Senior Project ManagerTravel Expectations: At least 15%",,0,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Project Management,0
1209,Account Manager - Europe,"GB, LND, London",,,"Launched in 2010, Lyst is an innovative way to shop for fashion online thats used by millions every month. Lyst partners with the worlds top fashion brands and stores - from Topshop, Balenciaga, Alexander Wang and Burberry to Saks, Net-A-Porter, Lane Crawford and Barneys - to provide people with a personalised way to discover the fashion they love. Lyst has raised over $20million from a range of all-star investors including Accel Partners (Facebook, Spotify), Balderton (Yoox, MySQL), DFJ (Skype, Hotmail) and the teams behind Michael Kors, Oscar de la Renta and Tory Burch.","The OpportunityLyst is looking for entrepreneurial and highly driven Account Manager to join our Partnerships team. You will be responsible for the satisfaction and growth of a portfolio of retail brand partners on Lyst. You will establish and strengthen relationships by working with partners to develop and execute strategies to optimize their presence across Lyst. Both analytical and creative thinking are essential in developing plans, informed by data, which utilize a combination of effective marketing strategies, interesting content ideas, and ecommerce best practices. You will also work closely with internal teams (Product, Technology, Editorial) to advocate for ways to improve the experience and success for our retail partners as Lyst continues to evolve and optimize its own product and features. The best candidate will be exemplary at building lasting relationships, strategic thinking, communicating clearly and solving problems efficiently.Key Responsibilities:Establish strong relationships with your retail partners to ensure that they are enthusiastic about Lyst, and that Lyst is a valuable part of their digital marketing program.Help educate new partners on the features and capabilities of Lyst so that they are well equipped to be engaged and optimize their presence ongoing.Gain a deep understanding of your partners performance via analytics and data in order to develop quarterly strategic marketing plans to drive growth.Create and present materials for partners such as performance reports, marketing plans, and overviews of new programs.Work with the Partnerships Coordinator to manage the process of executing on partners marketing plans (on-site, emails, social media, etc.).Oversee the efficient resolution of technical issues that effect partner growth and satisfaction. Identify ways to improve partners experience and success on Lyst, develop a thoughtful case, and work with internal teams to prioritize and carry out key initiatives.","Significant digital account management experience, ideally with fashion and retail clients.Strong interpersonal and communication skills, with the ability to build rapport with clients at all levels and internal teams.Ability to pick up new concepts quickly and explain them clearly to others.Experienced in or able to quickly adopt Google Analytics, Salesforce, Excel, Keynote.Comfortable with a high degree of autonomy, solution-oriented, with a strong work ethic.Excellent organizational skills with keen attention to detail.Desire to work in a fast-paced and dynamic start-up environment in different time zones.",,0,1,0,Full-time,Mid-Senior level,,Apparel & Fashion,,0
8473,Account Executive - Boston,"US, MA, Boston",Sales,,"Our passion for improving quality of life through geography is at the heart of everything we do.  Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them.Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more.","THE COMPANY: ESRI  Environmental Systems Research InstituteOur passion for improving quality of life through geography is at the heart of everything we do.  Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them.Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more.THE OPPORTUNITY: Account ExecutiveAs a member of the Sales Division, you will work collaboratively with an account team in order to sell and promote adoption of Esris ArcGIS platform within an organization. As part of an account team, you will be responsible for facilitating the development and execution of a set of strategies for a defined portfolio of accounts. When executing these strategies you will utilize your experience in enterprise sales to help customers leverage geospatial information and technology to achieve their business goals. Specifically…Prospect and develop opportunities to partner with key stakeholders to envision, develop, and implement a location strategy for their organizationClearly articulate the strength and value proposition of the ArcGIS platformDevelop and maintain a healthy pipeline of opportunities for business growthDemonstrate a thoughtful understanding of insightful industry knowledge and how GIS applies to initiatives, trends, and triggersUnderstand the key business drivers within an organization and identify key business stakeholdersUnderstand your customers budgeting and acquisition processesSuccessfully execute the account management process including account prioritization, account resourcing, and account planningSuccessfully execute the sales process for all opportunitiesLeverage and lead an account team consisting of sales and other cross-divisional resources to define and execute an account strategyEffectively utilize and leverage the CRM to manage opportunities and drive the buying processPursue professional and personal development to ensure competitive knowledge of the real estate industryLeverage social media to successfully prospect and build a professional networkParticipate in trade shows, workshops, and seminars (as required)Support visual story telling through effective whiteboard sessionsBe resourceful and takes initiative to resolve issues","EDUCATION: Bachelors or Masters in GIS, business administration, or a related field, or equivalent work experience, depending on position levelEXPERIENCE: 5+ years of enterprise sales experience providing platform solutions to businessesDemonstrated experience in managing the sales cycle including prospecting, proposing, and closingAbility to adapt to new technology trends and translate them into solutions that address customer needsDemonstrated experience with strong partnerships and advocacy with customersExcellent presentation, white boarding, and negotiation skills including good listening, probing, and qualification abilitiesExperience executing insight selling methodologiesDemonstrated understanding and mitigation of competitive threatsExcellent written and verbal communication and interpersonal skillsAbility to manage and prioritize your activitiesDemonstrated experience to lead executive engagements to provide services and sell to the real estate industryKnowledge of the real estate industry fiscal year, budgeting, and procurement cycleHighly motivated team player with a mature, positive attitude and passion to meet the challenges and opportunities of a businessAbility to travel domestically and/or internationally up to 50%General knowledge of spatial analysis and problem solvingResults oriented; ability to write and craft smart, attainable, realistic, time-driven goals with clear lead indicators","Our culture is anything but corporate—we have a collaborative, creative environment; phone directories organized by first name; a relaxed dress code; and open-door policies.A Place to ThrivePassionate people who strive to make a differenceCasual dress codeFlexible work schedulesSupport for continuing educationCollege-Like CampusA network of buildings amid lush landscaping and numerous outdoor patio areasOn-site café including a Starbucks coffee bar and lounge areaFitness center available 24/7Comprehensive reference library and GIS bibliographyState-of-the-art conference center to host staff and guest speakers Green InitiativesSolar rooftop panels reduce carbon emissionsElectric vehicles provide on-campus transportationHundreds of trees reduce the cost of cooling buildings",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Sales,0
13547,Customer Service Associate,"GB, LND, Bermondsey",Customer Service,20000-25000,,"We're seeking an intelligent and engaging individual to lead our customer service team. We're a fast-growing startup with a small team, we're looking for someone who fits well with our culture and is looking to define their own role, and make a real difference when it comes to interacting with customers.Some tasks you'll encounter on a daily basis:Assisting customers with downloading their purchased applications and products.Providing customers with lost receipts, helping with billing issues and unknown charges.Help keep our fraud and chargeback levels down, by monitoring potentially fraudulent orders.Developing our customer support strategy to help us always delight those who shop with us.Improving documentation and help-desk articles to be more informative for potential customers.Implement strategies that help us reduce customer support enquiries.As a growing company, we have growing and ever changing needs, you'll be expected to adapt in your role as we grow as a company and our product offering changes.","Great communication skills, both written and verbal.Proficient in typed communication (99% of support queries are via email).Inquisitive by nature, and ability to diagnose issues and convey these to other members of the team effectively.","We're an awesome team of people building great tools to help developers and businesses make more money online. Some information:Salary in the region of £20-25k dependant on experience.20 days holiday (+ usual bank holidays).Beautiful offices in an old Biscuit Factory in Bermondsey.Fully stocked kitchen with cereal, fruit, snacks and drinks.Regular team lunches and events.",0,0,0,Full-time,Associate,,Internet,Customer Service,0
3983,Event Specialists,"US, OK, Norman",,,We are a digital marketing agency with an emphasis upon quality development that is developed and sold in a consultative custom manner to fit each and every one of our customers like a glove - every time.,"We are seeking entry level and experienced sales representatives/ event coordinators/and management representatives.You will be hosting and attending local events. At those local events you will be selling our recession proof service that is used all over the world by millions. You will never run out of potential applicants to sign up. The position is 80% hosting events and 20% phone work, as you will have to coordinate with and get the proper permissions to setup the events. This position offers career stability and exceptional room for growth. You will have to pass a criminal background check to be hired.The Event Specialist Position: Pays a base/plus bonus and is entry level.The Event Specialist Manager Position: Pays a base/plus bonus/plus team overrides and you would need to have management experienceThe Event Team Builder Position: In this position you would be your own agency owner, therefore your pay would be discussed during the interview.",Backgrounds in these areas work well in this position:Customer Service (In a Sales Environment)Management Inside SalesOutside SalesAdvertisingMarketingBrand AmbassadorsEvent Booth SalesEvent Coordination,"Full Time WorkExperience is not necessary as we will provide paid full training!A weekly base of $300 plus bonus (with bonus you should make $750 to $1,000 a week)We pay twice a month on the 15th and 30thAnd Much More",0,1,1,Full-time,Entry level,High School or equivalent,Marketing and Advertising,Sales,0
11219,Graduates: English Teacher Abroad ,"US, WI, Stevens Point",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US citizens only,See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
7636,Development Team Leader,"GB, , London",Engineering,,"Axonix is a global mobile advertising technology company that's powered by a platform with a 4 year history of leading the market. Using technology acquired from Mobclix, the worlds first RTB-enabled mobile ad exchange, Axonix offers a fully transparent programmatic trading platform that enables publishers to sell their mobile advertising inventory in real-time to brands, agencies and ad networks globally.We serve billions of ads per month across more than 150+ countries, working with our 100+ demand partners globally to achieve industry-leading CPMs, CTRs and fill rates. With built-in fraud detection controls and data-driven targeting options, we help advertisers to secure the optimal ad inventory that will deliver the best campaign results for them.Axonix is headquartered in central London and backed by Telefonica and private equity group, Blackstone.OUR PHILOSOPHY TO HIRINGOur technology serves billions of mobile ads every month, across more than 150 countries. Our company is truly global in scale. We're growing so fast we need to hire the best people out there.People who thrive on fresh challenges. People who want to see their work make an impact, right away. People who demand a lot from themselves and others. We hire someone when were sure “Theres no better person for this job, and no better person wed rather work with.”We know people are our most important asset. If you're exceptional at what you do, we want to hear from you. The next stage in your career could start today.","The software development team is the engine that drives our ambition to build the worlds best mobile advertising platform. To lead this critical team, Axonix is seeking a Head of Development who will be responsible for turning our product vision and roadmap into best-in-class code that delivers an awesome customer experience.The Head of Development will own the technical responsibility for the Axonix platform and product, from managing the live system to delivery against the development roadmap. Working closely with the Product Management team, the Head of Development will be the main designer and architect of the technical solution to deliver against the product specifications. This role requires a person with fully up-to-date knowledge of the latest software coding techniques, tools and languages. We need a skilled leader who can deliver solutions with an optimal balance between development efforts, deployment costs and time-to-market, whilst ensuring product requirements are met.As the overall lead for the frontend &amp; backend development teams, this role requires an individual with exceptional leadership and interpersonal skills, able to recruit the best individuals, define priorities, establish best practice processes, take decisions and motivate a large team of developers to ensure their continued delivery against expectations. ","Core role objectives Recruit, lead, develop, motivate and manage an agile software development team.Own the technical development of the Axonix platform to ensure its robustness, quality and on-time delivery against specification.Analyze and design the architecture, information flows, data stores and processes.Define a plan and roadmap to evolve the current platform in coordination with Product Management team.Evangelize and promote best software development practices across the development team.Hands-on development.  Key responsibilitiesDefine and document a unified way of working for the developers  e.g. how to work with source code repository (SCM), how to prepare a development environment, code style guides, how to deliver to production, development practices, definition of done, effort estimation, etc.Define the number of deployment environments needed and how the team uses them.Engage with the Product Management team to provide input into the user stories, define new features and requirements, support prioritization and then adopt them in the design specifications.Envision and elaborate the product architecture design and technical architecture roadmap.Review periodically the alignment between plan/roadmap and results.Apply best practice coding and testing techniques to ensure the quality of the code.Deliver the stability, availability and scalability according to the demand expected on platform.Provide sufficient flexibility in software design to allow product evolution to be as easy as possible in-line with the product roadmap.Engage with QA team to ensure the quality, performance and robustness of the solution.Lead, motivate and manage the development team to promote best practices and ensure a collaborative and efficient team culture. Provide periodic feedback to developers to ensure their commitment and personal development.Lead and evangelize automation: many processes in the product will need to be automated, between others QA and deployment. Personal qualities and experience were looking forProven Java/Spring development - Strong technical experience working on development of N-tier systems based on distributed application architectures using Java and object-oriented design.Team management and leadership - Experience of managing a team of at least 6 developers. Solid leadership, communication and organizational skills. Calm approach when under pressure.Proven ability to deliver projects to production on-time.Highly personable, with strong interpersonal communications skills, able to work in a small, highly collaborative team environmentProven flexibility and willingness to roll sleeves up as required in the fast-paced and rapidly evolving environment of a small start upGood analytical, problem-solving and documentation skills.Test-driven development - Fluent with JUnit, Mockito and similar.Experience with most of the following technologies:Amazon EC2Java:SpringHibernateJerseyServlets &amp; JSPsAnt/MavenMySQLNoSQLAmazon Elastic MapReduce (EMR)Datawarehouse technologies: ETL, OLAP…Indexing Software (Apache Solr, Elasticsearch, Solr lucene)Web development: HTML, JavaScript, JQuery, KnockoutMobile SDK development: iOS, AndroidDevopsHigh performance, high scalability, high availability systemsLanguages  Fluency in English essential; Spanish an advantage but not essential",Competitive salary according to experienceAnnual bonus schemeGenerous flexible benefits packageEquity package,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Engineering,0
17425,Apple Sales Associate,"US, CA, San Francisco",,,"Started as a business development and consulting firm, Create More has grown into San Franciscos Premier Apple Specialist. After 13 years in business and approaching 11 years at the same SOMA/South Beach location, Create More continues to grow and find ways to work with you to enhance your Macintosh experience. A real store that you can walk in to and browse products, no appointment repair service and a training center are just some of ways we make your decision to work with Create More an easy one.At Create More, we want to be more than the Apple computer dealer where you buy your new Macintosh, iPads, iPods, peripherals and accessories. We want to be your source for support and information too. When you refer to your friend who knows about computers, we want that to be us!Our staff is eager to answer your questions and work with you and provide you with the products and solutions you need. Pre and post sale support is equally important to us.  We want you to get the right computer and then make sure that it does what you need and you are comfortable using it!The relaxed boutique environment of our store is just the start of how we want you to enjoy your Mac experience.How do you go from business development to selling Macs? Were glad you asked!In 1997, the seeds of Create More were planted. Looking for better ways to grow a business. What are the most effective marketing solutions? How should a business position itself? How to communicate these finding to clients?These were but a few questions that were the foundation of Create More. Through workshops, research, and partnerships, a solid base was built. Officially formed in 1999, Create More worked with clients to grow their businesses. In the process of learning about our clients, we also learned a lot about ourselves, but well get to that shortlyIn working with our clients on their businesses, we found certain obstacles. To grow, focus needed to be kept on processes and not infrastructure. Thats just a fancy way of saying, you cant win the race if you can find the keys to start your car.It became clear to us, that if we could simplify the day to day, our clients could then focus on the task at hand, building and growing their businesses. The more time we spent on simplifying the process of doing business, the more we realized technology was the biggest hurdle. The more we made recommendations on technology, the more we realized we needed to be the source of the technology we recommended and implemented.It wasnt long before we realized our true calling. We took a hard look at what we were doing and what was most effective for our clients. Creating, managing, and implementing technology solutions would be far more valuable to our clients.We still continue to evolve and grow, and we always have our clients best interest in mind. Whether its a home user or a company with hundreds of users, we want to make sure the use of their Macs is productive, effective, and as easy as possible.With all of the web sites and big box stores, were happy to be a small, independently owned business with the one main goal in mind  you and your Mac.",Create More is an Independently owned Apple Specialist store in the Heart of San Francisco.  We have been in business for over 15 years specializing in Small Business sales and support.We have expanded over the years to include a retail and online presence.We are looking to hire an individual who has some experience with apple products to assist on our sales floor talking to customers about how to expand their interaction with their Apple Products.Some experience with apple products is required to help select the right solution for a customer.We sell a full range of items from head phones to cases to computers.  We will offer training on the brands and products we sell to better equip you to confidently offer solutions to customer needs on the sales floor.,2+ years of experience with Apple products.Sales experienceFriendly demeanor with tech savvy customersAbility to multitaskFamiliarity of using an Apple Computer and Apple iOS devices,"We are a small company and value or employees like a small familyOur retail store is open Monday through Friday 9am to 6pm and Saturdays 10am to 2pm.We are willing to offer a set schedule or a flexible work week depending on the needs of traffic week to week.We close most major holidays including 4th of July, Thanksgiving, Black Friday, Christmas and New Years day.We respect your life outside of your job and do our best to accommodate vacations and time off.",0,1,1,Part-time,Associate,High School or equivalent,Computer Hardware,Sales,0
12114,Quality Assurance Manager,"US, IL, Chicago",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Will develop the ESL (extended shelf life) HACCP program.Qualifications:• Bachelors degree 5-10 years of relevant experience(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.) Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ,,,0,0,0,Full-time,,,,,0
17839,Senior Sales Executive - Simple Relevance,"US, IL, Chicago",Simple Relevance,,"The story of the Great Chicago Fire of 1871 isnt really about the fire. Its about what happened next: A remarkable moment when the most brilliant engineers, architects and inventors came together to build a new city. Their innovations  born of passion and practical ingenuity  shaped not just Chicago, but the modern world. What started 140 years ago continues to this day.Chicagos brightest digital designers, engineers and entrepreneurs are shaping new technologies, disrupting old business models, and resetting the boundaries of whats possible. If that sounds like you, join us.Come to a place where you can share ideas, make mistakes, work hard, build your business and, with a little luck, change the world.Welcome to 1871.From photo-editing apps to interactive healthcare programs, 1871 has a member company that fits your interests and expertise! Whether youre a designer, a marketing expert, or software developer, we have a startup looking for you. Each company is looking for a way to innovate their industry and is looking to do so in creative and original ways. We are looking to match you with one of our amazing member companies based on the information you provide to us and their current needs. If you believe that you have the skills and vision to help digital startups get their start, 1871 is the right place for you!","OVERVIEW1871 IS COMMITTED TO HELPING OUR ENTREPRENEURS SUCCEED! THAT INCLUDES HELPING THEM RECRUIT TOP TALENT WITH TOUGH-SKIN THAT CAN FACE THE CHALLENGES OF WORKING IN A START-UP HEAD ON!SENIOR SALES EXECUTIVE - SIMPLE RELEVANCE(#URL_cedfefa7b73e7e5ccb640628c92fa8637eaa5674e8b7f0fa8915e2b5c323c1bf#)SimpleRelevance is a rapidly growing company with roots at 1871. We have a brilliant team and a fast paced, challenging environment that is fun to work in. We want people who are passionate about what they do, and want to build awesome things. SimpleRelevance is one of the breakout stars in the Marketing Analytics space for Email Marketing Campaigns. Our technology does all the heavy lifting. We pull in and normalize their clients' current data then use predictive analytics to recommend the best email marketing approach for each customer. The customers' data is refreshed nightly, keeping their preferences up-to-date. Each individual will receive email at the best time of day, with the most engaging subject line and the most enticing product recommendations. Simple and Relevant.We are currently seeking a ROCK STAR Senior Sales Executive (knowledge in the space would be a huge plus!). ","- Proven track record of closing Fortune 500 clients- Experience in Consultative Sales approach- Experience selling SaaS, especially a Digital Marketing Analytics Platform- A desire, wait, a NEED to overachieve and scale our product!- Direct experience interfacing with CMO level individuals during the Sales Life Cycle","Simple Relevance offers a very competitive base and commission structure, as well has Health and Dental Insurance. Equity is also being offered. ",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Sales,0
742,Senior Engineer (Dev ops),"GB, LND, Farringdon",Engineering:Dev Ops,,"We build software for fashion retailers, to help them make the right commercial decisions about what to sell, and how to price it. Fashion is the 4th biggest industry in the world, so this is actually a huge problem economically, as well as environmentally for everyone in the world. The Guardian called us one of the 20 hottest London startups, and we're a quickly growing team, with a product used by some of the best retailers in the world, and we're backed by the smartest investors in Europe, the same ones who backed Etsy, Dropbox, ASOS and Net-a-Porter.","About EDITDWe build software for fashion retailers, to help them make the right commercial decisions about what to sell, and how to price it. Fashion is the 4th biggest industry in the world, so this is actually a huge problem economically, as well as environmentally for everyone in the world. Both Mashable and The Guardian called us one of the 20 hottest London startups, and we're a quickly growing team, with a product used by some of the best retailers in the world, and we're backed by the smartest investors in Europe, including Index Ventures, who backed Etsy, Dropbox, ASOS and Net-a-Porter.The teamWe bring in the best candidates to meet our existing team, and we make hiring decisions together. Most of our engineering team has the same job title, ""Senior Engineer"", and we tend to operate by consensus and behave as peers among equals. One of our founders is an engineer, and has focused on making EDITD the place he wished he always worked.We use the best tools, and offer the best environment we can. We've got huge scope to innovate and we always welcome fresh perspective. You'll be able to make a bigger impact, and learn more in this job than any you've had before, we guarantee it.The jobYou will be the directly responsible engineer (owner) of deployment strategy, infrastructure, disaster recovery, monitoring, high availability and security.You will:work closely with the product and data teams, to help them deploy infrastructure and code.pair with, or work closely with other engineers to share knowledge and work collaboratively on how the products and platform work within the infrastructure.write software and scripts to deploy and manage our products and infrastructure.research, read, analyse and architect our approach to deployment, disaster recovery, high availability and security and the details of how we do so.be an opinion leader within the engineering team, and we'll look to you to set the tone for how we manage infrastructure and devops.But we won't make you:be involved in customer support, unless the infrastructure fails a customer.be involved in routine maintenance or ridiculous busywork.be an intermediary between a fighting ops and engineering team.","Essential:A thorough understanding of the Internet, including technologies, standards and protocols.Strong knowledge of Unix.An ability to design and support robust CI, deployment and configuration management systems for service oriented environments.A thirst for knowledge.A good nose for reliability and safe engineering.A collaborative, friendly approach, good manners, a constructive viewpoint, and a team player.Bonus points:Ansible configuration management Python, Ruby, Perl or similar languagesUnix scriptingVirtualisation experience (Proxmox, VMWare, SmartOS, OpenVZ)Containerisation (Docker, Solaris Zones, etc)Software Engineering backgroundSystems administration or ops team experienceUnderstanding of software configuration issuesExperience with databases such as Postgres or MySQLExperience with NoSQL databases such as MongoDB, Cassandra, Redis etc Experience with DRCS tools such as Git or MercurialExperience with unit testing and automated testing toolsAmazon Web Services (EC2, S3)Content-distribution networks (Cloudflare, Akamai, etc)TCP/IP networking (CIDR subnet masking, routing, VPNs etc)Network security and protocolsWorking with large data sets.",,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Engineering,0
16533,Title Insurance: Recording Officer / Recorder -NYC,"US, NY, New York",,,#URL_e7c9057d5e6f097876436d175031e95669ede4ebaab52b6be0957c837bc98343#,"A very well run &amp; well connected Title Insurance firm based out of Manhattan, NY is looking to hire an experienced Recorder / Recording Officer. Recorders are responsible for final review and timely recording of all title documents submitted for recording with the County Recorders Office. National experience is a big plus.- Read and comprehend written and verbal instructions- Complete understanding of ACRIS- Proofread all documents submitted for recording for accuracy, completeness, compliance with technical and legal requirements and accept or reject documents accordingly- Respond to telephone inquiries about pending records- Read, comprehend and implement information contained in technical memos together with other information affecting title commitments, title policies and recording practices- Make final decisions about the adequacy of documents submitted for recording- Perform other duties as may be required or requested by supervisor- Read, understand, and compare legal descriptions, title insurance requirements, policies, and underwriting procedures.- Familiarity with all real estate related forms and documents and their proper sequenceThis position offer an excellent comp package and full benefits. Drop us a line if you are interested.",,,0,1,0,Full-time,,,Financial Services,,0
14717,Sr. Reservoir Engineer,"US, TX, Dallas",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Are you a seasoned Reservoir Engineer who thrives on establishing resource potential? Are you looking to join a fantastic, strategically expanding and well-positioned E&amp;P? Opportunities for long-term advancement are extensive and strong compensation and benefits packages are available for qualified candidates who want to join the largest player in the region.Job ScopeProvide reservoir engineering and economic evaluation support to geologists, landmen and production engineers for analysis of potential resource development.  Establish resource potential and probability of success based upon sound engineering principles. Generate risked economics for screening and ranking prospects. Maintain an accurate inventory of proved, probable and possible reserves and work with operations/land to timely develop these resources. Forecast ultimate recovery for existing and future wells for reserve reporting purposes.Essential Job FunctionsProvide engineering support for geologists, production engineers, and landmen as a member of a multi-disciplined asset team executing an active drilling plan.Perform reserves and economic evaluations of drilling, recompletion, workover and acquisition opportunities.Evaluate reservoir potential and determine performance potential from analogy studies.Analyze data to make well-founded recommendations and effectively communicate the analysis.Provide exhibits and expert testimony at regulatory hearings supporting company projects.Prepare reserve/economic analysis for budgets, year-end SEC reserve reports and acquisition evaluations.Analyze well data and identify behind pipe potential.  Maintain inventory of behind pipe potential with reserve and economic value estimates.Participate in the identification, evaluation, planning and execution of a resource play focused growth strategy.","Education: Minimum of a Bachelor of Science in Petroleum Engineering or related engineering discipline.Knowledge and Skills: Outstanding verbal, written and presentation skills coupled with a strong work ethic.Ability to calculate well EURs volumetrically as well as using decline curve projections needed to forecast reserve recoveries from reservoirs.Knowledge of SEC reserve reporting guidelines and their application.Solid working knowledge of economic programs (PhdWin or similar industry software) required.Ability to mentor and direct inexperienced engineers and technicians.Must possess a minimum of 5 years of industry experience. Registered Professional Engineer a plus.* Military experience is highly valued by the employer; candidates with military experience can expect some flexibility in terms of requirements, so long as fundamental skills and competencies are present.",,0,1,1,Full-time,,Bachelor's Degree,Oil & Energy,Engineering,0
12298,Systems Engineer,"GB, LND, London",Engineering,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Qubit: Cutting Edge Big Data EngineeringQubit's in-house technology stack collects, stores and processes over 1 billion api calls per day, supporting business critical solutions for some of the worlds largest e-commerce companies. Our core systems run the gamut of everything from high performance ingestion and change management systems, dynamic schema management to machine learning and categorization systems and large scale indexing and in-memory aggregation / querying engines.Youll be working on the cutting edge infrastructure that supports Qubits high performance technology stack.Weve built and deployed a hybrid cloud and dedicated hardware platform that allows our applications to dynamically leverage the benefits of both approaches. As a Sys Ops engineer, youll be working closely with our application development teams deploy and scale.What you will be doingWorking to develop, maintain and continuously expand our globally distributed hybrid cloud/dedicated systems and infrastructure.Speccing, tuning and on-lining our rapidly expanding fleet of dedicated hardwareMaintaining development and production infrastructure: both in-house servers and EC2.Creating smart modules that programmatically control our servers and performance.Providing input into scalability, security, monitoring and deployment of applications.Network and systems administration tuning and configuration tasks on both our production infrastructure and internal networks.","What you will need3+ years experience as a Systems / Network Administrator or Systems Engineer.Previous experience with distributed system platforms and multi-tenant hosted environments.Experience in automated setup, administration, scripting and coding in Linux or Unix.Proficiency with network protocols, NFS, DNS, routing and administration.The ability to write code in one or more of the following languages: Python, Ruby, Shell Scripting.","Plenty of perksAs well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Engineering,0
6811,Misc Referrals,,,,"We're an emerging technology agency, and we build breakthrough products through user-centered design. We're building a team of the brightest minds in creative, engineering, and business because we love working with smart people. ","Candidates that have been referred to MM through trusted channels, but don't line up with any traditional reqs. ",,,0,1,0,,,,,,0
13043,Front End Engineer,US,,,,"Note: Candidates authorized to work for any employer in USA can only apply for this position.Requirements:2+ years experience in software developmentpositions, with demonstrated increasing levels of responsibility.BA/BS in Computer Science / InformationTechnology or related field.Hands-on experience with JavaScript, CSS3, HTML5and Ajax.Experience with Web services development andtesting, version control systems and design patterns. Preferred:Experience with 2 of the following:JQuery, KnockoutJS, ExtJS, AngularJS.1 to 2 years of C# experience.Experience with Team Foundation Server and AgileDevelopment best practices.Mobile Development experience.Knowledge of GIS / Geospacial Applications andGoogle maps a plus.Experience with database concepts: includingdatabase design and SQL programming.",,,0,0,0,Full-time,Mid-Senior level,,Information Technology and Services,,0
9787,Senior Advisor,"GR, I, Athens",Advisory Department,,"Optimal Business Action was created in 2005 in order to provide high quality, personalized HR services to medium and large-cap companies operating in Greece, the Balkans and the Middle East. Seven years later we are present in Athens, Bucharest and Dubai, and several of these companies are on our client list, including most major banks, pharmaceuticals, hotel &amp; retail chains, and large IT firms. We are one of only nine HR firms in Greece operating under the Temping Permit issued by the Labor Ministry and among a very few which offer employee evaluations based on the combination of the Minnesota Multiphasic Personality Inventory (MMPI) and Rorschach tests. Amongst our advantages is our extensive candidate network, the use of industry-specialized recruiters, our technology tools  including candidate pre-recorded interviews, and our competitive, on-success, fee structure. Our subsidiaries: Creative HR which was created in 2010 in order to undertake human-capital intensive projects, HR department restructurings, and provide HR consulting services. iTechScope Recruitment which was created in 2011 and is the first international recruitment company in Greece specializing in sourcing and placing personnel for companies operating in the fields of Information Technology, Telecommunications, and Engineering. Optimal HR Middle East FZC which was created in 2013 and is the groups entity in the UAE, providing our clients with Recruiting, Consulting, and Training products and services. Our partnerships: As of 2014, Optimal is the exclusive Gi Group (#URL_1458d4797348b1fe258e750e89ab66b11c9565a10abede82d761ce37c53395b6#) partner for Greece and the UAE, offering a vast array of products and services as well as sourcing access to candidates from 32 countries around the world. GI is one of the world's leading HR companies, providing services for the development of the labor market. It employs a staff of almost 2.500 people and its 2013 turnover approached $1.5 billion. We have an exclusive representation agreement with UK's APS (#URL_b40fd0caf83243a019915fa08fcf0afbb9f377d15194c88d4e4896e6848bbbbd#) for their on-line evaluation products, such as the TEIQue, Engagement Survey, and 360 Survey, and an exclusive collaboration with Chris Daffy (#URL_712f5a993f998f7aad7e3cc1b7d9c7d3eb17e8ffcace779065d1902e412e2c3d#) for his Customer Experience training programs. We also work with UK's Epigon Training &amp; Development (#URL_fe1f8ff39ad549be4c26f84c348c2d2dad85c84e6746f60140eb4392e652e543#) for NLP-based training seminars. Please contact us at: +30 210 32 59 350 GR &amp; +971 (0) 56 39 33 546 UAE or e-mail us at: #EMAIL_eb107d9d9176aa07bb1d808b882aacd15565df1b289ed0391e62d9bc7422d718# and #EMAIL_21116c53126810b34d578a02cb53ece4f520daa553e1b3ee91c614cc67d51c5d#","On behalf of our client, a European strategic communication and marketing company with offices in London and Athens, we are looking for a Senior Advisor based in Athens.Main Responsibilities:- Development of branding, marketing and sales strategies and campaigns- Implementation of branding and marketing campaigns, roll out of marketing actions, reward and loyalty programs, sales activation strategy and programmes, comprehensive communications services- Work with project lead to grow client business, develop proposals and formalize scopes of work for those efforts- Generate new accounts and increase the potential leads of the company- Lead the development of services based on the targets/objectives set by the client, he/she will be called to develop the strategy and actions to reach these results.- Developing concrete and to the point presentations is essential. Presentation and selling skills are necessary. Network of contacts in companies and in social cycle are crucial.- Own specific work streams and manage all components related (content, client, team, financial performance etc.)- Financial Monitoring","Required Qualifications:- Minimum of 5 years experience in marketing and/or business strategy and client management, preferably in multinationals- Bachelor in Management or Marketing- Masters in Marketing or MBA- Excellent spoken and written English and Greek- Hands on experience in budgeting and PnL- Strong work ethic and entrepreneurial spirit- Managerial and Leadership skills- Team spirit- Good presenter and public speaker especially to senior client executives- Attention to detail- Communication skills- Negotiation skills- Crisis management skills- Able to work under short deadlines, pressure and effective time management",,0,1,0,Full-time,Mid-Senior level,Master's Degree,Marketing and Advertising,Marketing,0
4500,"Video Journalist, English Service","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The Video Journalist shall properly complete all daily tasks. These daily tasks include but are not limited to: performing the basic functions of video assignments (fails not more than twice per week); preparing video elements assigned for a program (fails not more than twice per week); correcting production errors in news stories or features (fails not more than twice per week), meeting deadlines (fails not more than once per week).","The Video Journalist shall have at least five years of current experience. The Video Journalist shall perform a combination of services with respect to acquiring news video content, including, researching, photographing, lighting, editing, and producing. The Video Journalist shall provide video photography and editing services which go beyond standard camera operation. The Video Journalist shall be well versed in video photographic styles and techniques while being able to tell a story with pictures. The Video Journalist shall, when required, serve as a producer for television news and feature stories. The Video Journalist shall, when required, serve as the video editor with a script timed to video shots, natural sound emerges and actualities, and complete with suggested visual, audio and graphic efforts and/or perform desktop video editing as practical. The Video Journalist shall be familiar with the Agencys Best Practices Guide and shall adhere to its policies.",,0,1,0,,,,,,0
17449,"Project Manager - Video Production, Sydney","AU, NSW, Sydney",Success,,"90 Seconds, the worlds Cloud Video Production Service.90 Seconds is the worlds Cloud Video Production Service enabling brands and agencies to get high quality online video content shot and produced anywhere in the world. 90 Seconds makes video production fast, affordable, and all managed seamlessly in the cloud from purchase to publish. http://90#URL_fbe6559afac620a3cd2c22281f7b8d0eef56a73e3d9a311e2f1ca13d081dd630#90 Seconds removes the hassle, cost, risk and speed issues of working with regular video production companies by managing every aspect of video projects in a beautiful online experience. With a growing global network of over 2,000 rated video professionals in over 50 countries managed by dedicated production success teams in 5 countries, 90 Seconds provides a 100% success guarantee.90 Seconds has produced almost 4,000 videos in over 30 Countries for over 500 Global brands including some of the worlds largest including Paypal, LOreal, Sony and Barclays and has offices in Auckland, London, Sydney, Tokyo and Singapore.http://90#URL_fbe6559afac620a3cd2c22281f7b8d0eef56a73e3d9a311e2f1ca13d081dd630# | http://90#URL_e2ad0bde3f09a0913a486abdbb1e6ac373bb3310f64b1fbcf550049bcba4a17b# | http://90#URL_8c5dd1806f97ab90876d9daebeb430f682dbc87e2f01549b47e96c7bff2ea17e# ","Our rapidly expanding business is looking for a talented Project Manager to manage the successful delivery of video projects, manage client communications and drive the production process.We are entering the next growth stage of our business and growing quickly internationally.  Therefore, the position is bursting with opportunity for the right person entering the business at the right time. We are 90 Seconds90 Seconds are the the worold's cloud video production service.  We produce high quality, fast turnaround, affordable online videos. 90 Seconds works with hundreds of National &amp; Global brands.Staff, Freelancers &amp; Clients love 90 Seconds:Cloud video production platform - creating world class videos fast and efficiently from purchase to publishExperience - 4,000+ videos produced for 700+ clientsGlobal - 1400+ freelancers globally shooting in  40+ countries with offices in Auckland, Sydney, Singapore, Tokyo &amp; London","What we expect from youYour key responsibility will be to communicate with the client, 90 Seconds team and freelance community throughout the video production platform including, shoot planning, securing freelance talent, managing workflow and the online production management system.   The aim is to manage each video project effectively so that we produce great videos that our clients love.Key attributesclient focused with excellent customer service and communication skillsoustanding computer knowledge and experience using online software and project management toolsexcellent organisational skills with ability to multi-task100% attention to detailmotivated, self-starter with a passion for doing excellent work and achieving great resultsability to deliver - including meeting project deadlines and budgetability to focus and work efficiently and effectivelypersonable and friendly with clients and colleaguesPrevious experience:Attitude is more important than experience at 90 Seconds, however previous experience in project management and/or production management is beneficial",What you will get from usThrough being part of the 90 Seconds team you will gain:experience working on projects located around the world with an international brandexperience working with a variety of global clients on a large range of projects opportunity to drive and grow production function and teama positive working environment with a great teamPayWe are very keen to get the right person for this role and therefore are open to applications from people with different levels of professional experience.  Start DateASAPReporting toCo-Founder / Australia Director APPLICATIONS DUE by 9pm on Friday 31 October 2014 - apply for this role via the following link together with a cover letter and your CV - https://90#URL_dfca96dcdb83f654749c8fa45b4f3bceaddfc765fdd6a0f552d679c79236f65b#,0,1,1,Full-time,Not Applicable,Unspecified,Media Production,Project Management,0
9085,MN Domestic Violence Advocate (Part-time),"US, MN, St. Paul ",Human Services,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","The Domestic Violence / Sexual Assault Advocate will work with the MN Program Manager to provide direct services to Deaf /Hard of Hearing/Deaf-Blind victims in the State of MN. Advocate will provide direct services in person, through video phone, secured AIM and text communications. Advocate will work as on a part-time basis within the limits of the grant.Provides support and advocates for clients in court related hearings, shelter placements, child protection services, at parenting time, with law enforcement, in hospitals and any other referred collaborative hearing settingsEducate and train clients and community through outreach, presentations, trainings and workshops. These will be provided to hearing and Deaf /Hard of Hearing/Deaf-Blind individuals.","Certified Advocate or be willing to train and attend the MN Victims AcademyBachelors degree in related field and/or professional training,Demonstrated ability to communicate fluently in American Sign Language and other communication modes.","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career's page at  #URL_05620f6dd6912f1a642c3b1ff6e4b7326f08371d775413c552afefd4f17d184c#-s-d.org.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex or national origin, including individuals with a disability and protected veterans. ",0,1,1,Part-time,,,,,0
14193,Photoshop Production Manager,"US, CA, Santa Monica",,,"TaskUs provides outsourced customer care and back office support to more than 100 of the worlds fastest growing companies, allowing them focus on their products and services while we scale their operations. By helping our clients grow, we offer a competitive advantage that is second to none. Founded in 2008, TaskUs employs more than 1,000 people internationally, including a team of consultants at its headquarters in Santa Monica, Calif. And teammates in the Philippines. In 2013, Inc. Magazine recognized TaskUs as the fastest-growing outsourcing company in America.","THE COMPANY: TaskUs (#URL_6f812f6e67907dd52032b9a72cd6f408b46dd669df2c2a0b640034d67ea5d435#)TaskUs provides outsourced customer care and back office support to more than 100 of the worlds fastest growing companies, allowing them focus on their products and services while we scale their operations. By helping our clients grow, we offer a competitive advantage that is second to none.Founded in 2008, TaskUs employs more than 1,000 people internationally, including a team of consultants at its headquarters in Santa Monica, Calif. And teammates in the Philippines. In 2013, Inc. Magazine recognized TaskUs as the fastest-growing outsourcing company in America. THE OPPORTUNITY: Photoshop Production ManagerHave you worked as a post-production manager at a fashion e-commerce company in the copywriting, photo retouching or graphic design departments? Have you built your own business in this industry? Have you been part of a rapidly scaling startup? Do you love to travel internationally, work with other people from all over the world and manage client relationships? Are you ready to help today's fastest growing E-commerce companies scale their international operations? If you answered ""Yes"" to these questions, then the ECommerce Production Manager position at TaskUs is for you.In every instance you will be supporting today's fastest growing companies, companies like Gilt Groupe and Nordstrom. Every campaign is different, challenging, and ultimately rewarding as you monitor your teams growth and high quality work. In addition to client success, you will play a direct role in the operational growth of the business with HQ strategic initiatives. You will impact and witness first hand the companys annual revenue goals of 100% revenue growth year after year. Finally, you will manage dozens of people in the Philippines, and (soon) Latin America. You will manage the TaskUs relationships with all our ECommerce clients. You will lead the budding ECommerce department and be responsible for the P&amp;L for all related accounts.This opportunity requires proven industry expertise, strong operational knowledge, problem solving skills, and team-oriented attitude that TaskUs is built upon. It also requires you to commit a minimum 40% of the year traveling to our clients offices throughout the United States, United Kingdom and Canada and our offices in the Philippines.","THE IDEAL CANDIDATES: REQUIREMENTS/QUALIFICATIONS/ATTRIBUTESEDUCATION: BA/BS required, Masters preferred.EXPERIENCE:1-2 years of managing teams of +10 people.1-2 years as primary contact in account management position.Experience working and delegating in a fast-paced, high pressure environment.History of meeting deadlines for complex projects and deliverables.Comfortable offsetting schedule to match International timezones for team and client calls.Experience working for a fashion brand that demands high quality image retouching (product &amp; model shoots, landscapes, graphic layouts) and/or well crafted written product descriptions.Mastery of Adobe Photoshop and very proficient in Adobe  Bridge, Illustrator and LightroomVery strong writing and English grammar skills.Experience creating instructional manuals and training teams on processes and quality guidelines.Degree in English / Literature / Graphic Design.","WHY TASKUS?TaskUs is where people who are fed up with the status quo come to thrive. We are a collective of doers who believe that even if something isnt broken, it could still do with some fixing. Our attitude to continuous improvement is just one of the beliefs that emanate from our core values  the backbone of our company and our culture.Together we believe we can create an organization that is unlike any other were well on our way to doing it. From Washio credits to mindfulness meditation lessons, an environment of total transparency and a relentless focus on experimentation, were creating a 21st Century organization destined for big things. Come join us. Highlights:TaskUs is Powering LAs Tech BoomThe StartUp Worlds Little SecretThe Anonymous App PoliceOur Story",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Outsourcing/Offshoring,Management,0
4583,English Teacher Abroad ,"US, MI, Grand Rapids",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
5484,Senior Programmer / Developer - L3,"CA, ON, Toronto",,,"Q2Hire has been delivering concrete results for more than 10 years. And this successful history has a formula: Your goal is our goal!All of our partnerships were built through a great relationship, based on ethics and results.It means that we invest on an engaged and professional team, to provide you a qualified IT staffing solutions.","   · Designing, writing, and developing Java applications, including system utilities for testing, monitoring, and administration of applications.    · Designing and implementing middleware services using JSF/Hibernate/iBATIS/Spring frameworks, EJBs, and Adapter Libraries.    · Participating in change management, requirements analysis, and definition.     · Providing specialized technical expertise in the overall analysis of the design and architecture of systems solutions, based on strong hands-on experience.     · Identifying short and long-term project goals/objectives and, as a senior technical expert, defining and identifying program and service delivery requirements in support of the development and/or         acquisition of client applications.     · Leading the analysis, development, and documentation of design and architecture of systems solutions.     · Leading the development of testing, integration, and implementation of systems solutions into the clients applications.     · Migrating applications/data from AS/400 system.     · Debugging, testing, and installing complex applications.     · Diagnosing and resolving complex application software problems.     · Developing test procedures and test platforms.     · Assisting with mitigating performance issues.","Technical Skills  Minimum of 10 years:  · Experience with coding languages and environments in Java, (J2EE) including JSF/Hibernate/iBATIS/Spring/Struts frameworks, JSP/Servlets, XML, JDBC, and EJB.  · Demonstrated experience in entire application development process, design/architecture documentation, etc.  · Demonstrated advanced experience in Object Oriented design and development, design patterns.     · Creating detailed design documents using UML notation (e.g. class diagrams, physical data model).     · Experience with WebSphere, Universal Content Management system, and Oracle database.     · Experience with integrating mainframe and WebSphere/Oracle platform.     · Experience with J-rules engine.Work Experience  Minimum of 10 years:    · Experience with security implementation for web-based systems (e.g. single sign on, role-based security, etc.).     · Extensive experience in systems analysis and design in large, complex systems environments.     · Experience in developing enterprise architecture deliverables (e.g. business, logical, and physical models) based on Enterprise Application Processes and Modeling methodology and guidelines         (preferably OPS-based).     · Experience in the use of data and process modelling methodologies, techniques, and tools, including a working knowledge of metadata structures, repository functions, and data dictionaries.  · Knowledge of performance considerations and performance testing tools in different environments.     · Experience with construction of complex query statements.     · Experience with data migration from Legacy systems to Oracle database.     · Experience with integrating mainframe technology preferable iSERIES/AS-400 (RPG, LANSA, etc.) and WebSphere/Oracle platform.     · Knowledge of a variety of database structures.     · Data analysis, investigative, and logic skills.     · Strong, detail-oriented analytical skills and resourcefulness in issue resolution.     · Experience with data conversion scripts.     · Excellent oral/written communication skills (in English).     · Able to work effectively with others in a team-based, matrix environment.     · Proven high motivation level and superior attention to detail.",,0,1,0,,,,,,0
2271,Software Developer,"GR, I, Athens",Engineering,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","As a Software Developer you will be part of a very competent software team and you will contribute in all phases of the development process. You will be responsible for Java/Java EE application development, supporting business objectives while providing expertise in full software development lifecycle, from concept and design to testing. You will analyze, design and build component-based applications in an enterprise Web/internet delivery environment, including introduction of an application layer, modelling techniques, component and object-oriented design, complex algorithmic coding, and systematic approaches to application integration. You will perform hands-on coding and assist in architecting solutions. You must have in-depth knowledge of working on high volume, low latency, real-time multithreaded platforms of mission-critical systems. Key AccountabilitiesDevelop high-quality applications for mission-critical systems, delivering high-availability and performance.Contribute in all phases of the development lifecycle.Balance time to market with the perfect solution.Maintain a high level of transparency on design and development activities.Ensure designs are in compliance with specifications and that specifications cover all needed aspects of the implementation.Create design documentation and review and provide feedback on test plans.Maintain structured comments in the code.Unit testing of application modules.Prepare release notes for turnover to testing, including configuration specifications and details of changes in each release.Support continuous improvement by investigating alternatives and technologies and presenting these for architectural review.","Knowledge, Skills and ExperienceBSc/MSc in Computer Science or equivalent.2 -5 years of full time Software Development experience in a product company is required, along with a minimum of 2 years on Java.Hands on experience in designing and developing applications using Java SE / EE platforms.Object Oriented Analysis and Design.Excellent knowledge of SQL.Strong team player with excellent written and verbal communication skills.Must be dependable, reliable, and have attention to detail. Understanding of the application development and deployment life cycle and methodologies is a must.Must demonstrate ability to multitask and thrive in a dynamic environment and ability to balance and prioritize activities to ensure critical items are addressed.Personal CharacteristicsAbility to work well under pressure with track record of meeting deadlines in sometimes challenging situations.Team player.Dependable, reliable, with clear thinking and attention to detail.Flexible work style to get the job done without compromising quality standards.Attention to detail. ","We offer a very competitive base salary and benefits, directly dependent on candidates qualifications and skills. By joining the development team, you will be exposed to an international environment in a very dynamic and progressive group",0,1,1,Full-time,Mid-Senior level,,Telecommunications,Engineering,0
17851,North West Level 2 and 3 DGV NVQ Assessors Under ,"GB, MAN, Manchester",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","We are looking for experienced assessor in the North West to deliver Level 2 and 3 DGV NVQ's.Our client are a Logistic Training Provider with a desperate need for assessors in the North West ASAP.Candidates will need to have Assessor Award (A1, TAQA, etc) and experience as an assessor and from working in the Logisitics industry.If you are interested please apply now.","Assessor Award (A1, TAQA, etc) Own transport.",,0,1,1,Full-time,Associate,Vocational,Logistics and Supply Chain,Training,0
8959,EHS Manager,"US, NJ, Cateret",,,"Shirley Parsons LLC is a specialist recruitment consultancy working within the Environmental and Health &amp; Safety markets. We have a passion for developing healthier, safer environments and our mission is to build long-term, sustainable relationships with both our clients and our candidates.  We partner our clients throughout the recruitment process, providing an unparalleled level of service with the ultimate goal of building high performing teams that will deliver efficient systems and procedures, improve safety performance and further enhance our clients profit margins.","The EHS Project Safety Manager is responsible for ensuring the overall working conditions compliance with local and corporate occupational safety and health regulations and procedures.  Responsibilities may include inspecting machinery, equipment and other working conditions in project sites. Observes workers to determine use of prescribed and proper safety equipment, such as glasses, helmets, respirators, fall protection and clothing. Addresses EHS issues as the sites lead person. This will be a regional position supporting projects based out of New York and New Jersey. Major Duties &amp; Responsibilities Include: Directs and administers specific functions of the EHS program at the company or project level.Creates, evaluates, educates, and administers the EHS program for all levels of employees.Conducts surveys, evaluations, inspections, and investigations of incidents and activities without supervision.Gathers information and correlates data.Able to perform all aspects of EHS training. Ability to run multi-projects on one site. Additional Duties &amp; Responsibilities: Refines working knowledge of EHS programs by applying EHS procedures.Direct and supervise the activities of subordinates.Participates in monitoring and controlling EHS standards.Prepares, maintains, and retrieves records, reports, or documents that may be required to meet corporate or regulatory requirements, including monitoring application of EHS standards.Promotes EHS awareness and fosters this awareness throughout the company, project or office.Promotes the IFE Culture between clients, co-workers and subcontractors.Supports all IFE Committee Initiatives on site.Performs other duties as assigned.","Job Skills &amp; Abilities: Good Computer knowledge and efficiency, including but not limited to, Microsoft Office productsGreat understanding of construction and general industryExcellent understanding of Pre Task PlanningExcellent understanding, as well as develop and administer the ISO 14001/OHSAS 18001 management systemStrong written and verbal communication skills in English.Basic math/statistic &amp; accounting skillsFunctions effectively as part of a team AccountableDependabilityQuick Decision MakerAbility to maintain discretion and confidentiality at all times. Specific Requirements:    30 hour OSHA Construction Safety Class or equivalent within the last 5 yearsAn average of at least 24 hours of safety training each year for the past 5 years with training for competent person status for at least the following 4 area: Excavation, Scaffolding, Fall Protection, and Confined Space.Good written and oral communication skills.Good computer skills - Microsoft office, Word, Excel, and PowerpointMust have verifiable references. Education and/or Experience:  Bachelors Degree (Occupational Safety and Health Management or Construction Management) preferred, or equivalent experience and professional training.A minimum of 10 years safety work of a progressive nature with at least 5 years of experience on similar projects.",,0,1,0,Full-time,Mid-Senior level,Certification,Construction,,0
1575,Electrician ,"US, NY, Niagara Falls",Maintenance,,"Niacet is a leading producer of organic salts, including propionates and acetates, serving the Food, Pharmaceutical and Technical industries. With two longstanding and fully automated manufacturing sites, located in Niagara Falls, NY USA, and Tiel, The Netherlands, Niacet offers world-class quality products to a global market. Our products fill vital needs in a broad range of applications that are essential to everyday life including food preservation, antibiotic formulation, dialysis treatment, energy production, and more.At Niacet all employees share in the growth and prosperity of the corporation. We want our employees to take pride in their personal and corporate accomplishments. Safe working conditions are achieved through continuous education of our  employees and improved facilities. We aim to provide job and financial security for all employees.","DEPARTMENT:      MaintenanceREPORTS TO:       Maintenance ManagerLOCATION:           Niagara Falls, NYPOSITIONS:          Maintenance MechanicAbout us: Niacet is a leading producer of organic salts, including propionates and acetates, serving the Food, Pharmaceutical and Technical industries. With two longstanding and fully automated manufacturing sites, located in Niagara Falls, NY USA, and Tiel, The Netherlands, Niacet offers world-class quality products to a global market.Our products fill vital needs in a broad range of applications that are essential to everyday life including food preservation, antibiotic formulation, dialysis treatment, energy production, and more.Maintenance Mechanic Position:Niagara Falls chemical manufacturer is looking for experienced Maintenance mechanic. General Job duties include, but are not limited to:--Knowledge of process piping, autovalves and dry packaging a plus.--Must be able to work on gearboxes, agitators and pumps.--May be required to move or lift up to 50lbs.--Good oral and written communication skills, experience with use of personal computers and prior chemical plant experience preferred.--Pipefitting, machining, mechanical repairs to equipment.--Welding and brazing, building service and repairs, installation of equipment, troubleshoot equipment.--Position is a rotating shift assignment, supporting 24/7 continuous operation.New York State Journeyman certification or equivalent experience required. We offer competitive compensation and one of the best benefit packages in the industry...",,,0,1,0,Full-time,Mid-Senior level,,Chemicals,Manufacturing,0
2061,Customer Service Technical Specialist ,"US, NY, New York",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Technical Specialist will be located in our New York, NY location. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Identify objective data and enter (""key what you see"") at a high level of productivity and accuracyPerform data entry task from a paper and/or document imageUtilize system functions to perform data look-up and validationPerform entry of data presented in structured forms, correspondence text or presented out of context in character stringsPerform document image quality validation, including document type confirmation and verification of image quality and clarityIdentify, classify and sort documents by assigning document types within the data entry applicationPrep documents for scanning to include; separate document by type, separate multiple page documents from single page documents, ensure all paper clips, staples and other fasteners are removed, and sort individual document types by size of the document and make copies as necessaryScan/Image to include; scan documents, ensure each document is scanned, interact with scanning software to indicate when a batch is complete, perform quality assurance and review images, perform quality assurance of documents that have been flagged by the system, and complete Productivity Sheet to track project progress and provide numbers for billing purposesHandle time-sensitive informationHandle confidential informationPerform duties and special requests as assigned by team leader and managerEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careIdentify and refer sales-cues leading to potential add-on businessEnsure adherence to business guidelines, safety &amp; security proceduresSupport financial results by minimizing site waste and reworkCross-train in other areas as required","Qualifications:Minimum of 3 years customer service related experience requiredExperience performing data entry, word processing, remittance processing or related functionsProficient Keyboarding skills required - 7,000 keystrokes per hour alphanumeric, 12,000 keystrokes per hour numeric, with high accuracy levelsExperience in scanning/imaging requiredStrong computer proficiency and work experience in email and Microsoft Windows environments is requiredAbility to communicate effectively both in verbal and written formAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyAbility to adapt to change in a fast-growing production environmentStrong organizational and administrative skillsDemonstrated ability to show initiative and accept ownership of projectsAbility to use problem-solving skills in order to resolve client issuesAbility to meet employer's attendance policyAbility to lift and/or move items weighing up to 50 pounds or the maximum allowed by current State Law with or without accommodationsAbility to sit, stand, and/or walk for long periods of time with or without accommodationsHigh school Diploma or Equivalent required",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,General Business,0
10164,Customer Service Associate - Part Time ,"US, NJ, Voorhees",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth."," The Customer Service Associate will be based in Voorhees, NJ. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Data entryPerform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Create excel spreadsheets, mail merge projects and word documentsComplete multiple projects at one timeLift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assignedOperating mailing, copy or fax equipmentShipping &amp; ReceivingLift large bundles of mail, overnight packages and shipments of paperHandle time-sensitive material like confidential, urgent packagesMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudePerform other tasks as assigned","Minimum Requirements:High school diploma or equivalent (GED) requiredMinimum of 1 year customer service related experience requiredAbility to communicate both verbally and written with customers and company personnel requiredComputer proficiency in email environments, MS Word/Excel or similar programs preferredAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills preferredHandling up to a maximum of 55  pounds with or without accommodationsSort and deliver mail, packages, pouches both incoming and outgoingAbility to walk, sit, stand or sit for long periods (possibly entire shift)Ability to adhere to employee attendance policy ",,0,1,0,Part-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
7925,Graduates: English Teacher Abroad (Conversational),"US, IL, Macomb",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Excellent for student loans/credit cardsHousing provided (Furnished/Private)Airfare ReimbursedRemind us when you wanted to start when applying :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
11768,Sales Engineer/Platform Support,"GB, , London",Information Technology,,"Aptitude Staffing Solutions has redesigned the recruiting wheel. Our innovative new platform cuts the recruiting time in half, yields scientifically-proven results and clients and candidates enjoy a pleasant experience through advanced, simple to use technology and a tenured, industry-experienced recruiting team. Join us in a fresh new experience of leveraging your career...the way it should be! All represented candidates enjoy the following perks:Expert negotiations, maximizing total compensation package Signing bonus by Aptitude Staffing in addition to client signing bonus (if applicable)1 Year access to AnyPerkRelocation Services for out of town candidatesContinued education in your area of profession, seminars, workshops and other skill development events Contract employees receive quarterly bonuses for the duration of their project Direct-Hire employees receive double bonues ($2,000) per referred/recruited candidate into their newly appointed companyAll candidates are encouraged to participate in our Referral Bonus Program &amp; earn $500 - $1,000 per hired referral            ","Description:Sales Engineers are a key point of contact for clients and provide both pre- and post-sales advice. They liaise regularly with other members of the sales team and colleagues from a range of departments; such as account management, product development, marketing, finance, engineering, as well as senior company managers.Sales Engineers must be able to articulate technology and product positioning to both business and technical users. They must also be able to identify all technical issues of assigned accounts to assure complete customer satisfaction through all stages of the sales process, and must be able to establish and maintain strong relationships throughout the sales cycle and beyond.Sales Engineers would report into the head of sales.Responsibilities:Actively drive and manage the technical pitch of the Platform for Advertisers, Networks and Publishers (ATA/ATN);Develop sales opportunities by researching and identifying potential accounts, soliciting new accounts, building rapport and providing technical information and explanations;Provide pre-sales technical assistance and product education, and post-sales support services;Create technical presentations and demonstrations on how a product can meet the client needs;Develop long-term relationships with new and existing clients through managing and interpreting their requirements;Persuade clients that a product or service best satisfies their needs in terms of quality, price and delivery (e.g., simplicity);Able to respond to functional and technical elements of RFIs/RFPs;Search for new clients who might benefit from company products or services and maximize client potential;Assess competitors by analyzing and summarizing competitor information and trends, and identify sales opportunities;Update job knowledge by studying new product descriptions, participating in educational opportunities, attending trade shows, conferences and other marketing events;Liaison with other members of the sales team and other technical experts;Convey customer requirements to product management and engineering teams;Meet regular sales targets and coordinate sales projects;Able to travel throughout sales territory.","REQUIREMENTS:BS in Computer Science or related field or equivalent work experience; MS a plus2+ years experience working with web technologies including Ad Serving, Video Players, HTTP, HTML, HTML5, IOS, Android, Javascript, Flash, XML, etc.A background in Client Services, Professional Services or Consulting is highly desirable with strong problem solving skills and attention to detail.Aptitude for, and interest in mastering new applications and platformsExcellent written and verbal communication skillsStrong interpersonal skills and a desire to interact with clients, engineers, business analystsFamiliarity with project management and training techniquesIDEAL SKILLS: The ideal candidate will be a self-driven creative thinker, who is prepared to roll up their sleeves and get the job done in a fast-paced growth environment with 2-3 years prior pre sales engineering experience. You must have relevant experience in online advertising and have a solid track record and strong relationships working with advertisers (video publishers preferred).",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,1
5162,Demonstrator,"US, CA, San Francisco",,,"As one of the worlds leading staffing companies, our primary objective is clear-cut: To perfectly align the best people with appropriate positions for our clients everywhere. Every day, we talk to hiring managers, HR directors, procurement managers, and senior management to learn their needs. At the same time, were bringing in candidates and associates with a variety of skills and backgrounds, seeing how we can best put their skills to use.This happens in every Advantage office—in just about every industry—with a talent roster that includes engineers of all kinds, IT software, hardware and infrastructure, finance &amp; accounting professionals, clerical, light industrial, manufacturing and warehouse workers. In addition, Advantage helps businesses with some of their most critical day-to-day tasks, providing assistance with workforce consulting, management of payroll, procurement, recruitment outsourcing, and project management.","Company: Dyson Corp.Dyson Demonstrators work part-time as members of the Field Sales team to engage consumers in an energetic and confident manner and provide them with knowledgeable information about Dyson products in select retail locations (i.e. Costco, Bed Bath and Beyond and Best Buy) or events across the United States. The most important part of a Demonstrators job is to create a positive experience with the store and the consumers; assisting in the sale of Dyson products to achieve company specified sales goals. Demonstrations occur on Saturdays, Sundays and some weekday holidays. Demonstrators must work a minimum of 3 out of 4 weekends per month within an assigned market. Duties and Responsibilities: •  Conduct planned demonstrations in assigned retail stores to achieve specified sales goals•  Capture consumers attention to participate in high energy, captivating in-store demonstrations and model all aspects of Dysons brand, culture, and passion for technology•  Be a credible product and brand expert by educating consumers on the benefits and features of Dyson products and actively listening to consumers to confidently recommend appropriate Dyson products and comfortably over objections•  Increase overall awareness and sales of Dyson products through in store and event demonstrations•  Actively monitor Dysons online reporting system to contribute quality feedback and report/confirm attendance",•  HS Diploma or GED required•  1 year experience in a public interfacing role required•  Experience in a retail sales environment required,"Opportunities for career growth.Competitive salaries.100% immediate vesting in our 401K pension plan including a 3% non-elective contribution by Dyson and a discretionary match on employee contributions.Bonus schemes.Paid vacation including one additional day earned every year, capped at 5 weeks in total.10 paid holidays, plus one floating holiday.Company paid life insurance.Health, vision and dental insurance.Extended child care and parental leave of absence policies.Very relaxed dress code.Company paid short term and long term disability.",0,1,1,,,,,,0
9143,Beauty & Fragrance consultants needed,"GB, , Edinburgh",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury beauty &amp; fragrance consultants needed!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary &amp; permanent beauty, fragrance, fashion &amp; retail staff. We are recruiting now for beauty &amp; fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care &amp; perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to #EMAIL_bedc659500da7f5550f2f23c9e71efa09767318b80459b0fdf9af163d2fe10ce#",,,0,1,0,,,,,,0
13550,Account Executive ,"CA, ON, Cambridge",,,"LogiSense Corporation is a well-established software company with rapidly growing business in Telecommunications, Hosted Communications, Machine-to-Machine (M2M), and Wholesale Billing / OSS markets.  As a leading provider of Billing and OSS software and solutions, we are well positioned to capitalize on the ever-increasing need to automate, accelerate and regulate IP networks.  We are always looking for proactive, skilled people who share a passion for thought leadership, domain expertise and excellent customer service to join our growing team.  If you believe you can contribute to the growth and success of LogiSense but you don't see a suitable position below, we encourage you to submit your resume anyway. Were always looking for exceptionally talented people.","ACCOUNT MANAGERAs a natural relationship builder you will ensure client satisfaction, retention and identify additional sales opportunities!Our ideal candidate will be responsible for maintaining high levels of client satisfaction, assisting with pre-sales qualification for new opportunities to sell existing clients additional products and services, achieving sales targets for additional products and will be accountable for securing client renewals.Success is driven by exceptional people. We are a growing, dynamic company with a great work environment, opportunities for advancement and leading edge technology. Were looking for exceptional talent and offer competitive remuneration including benefits and employee recognition. Lets win together.Your duties would include:Closing business and meeting defined revenue goals within assigned customer portfolio.Targeted prospecting of defined geographical and vertical markets.Fanatical use of #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# to track opportunities and maintain accurate forecasts.Responding to online and referral leads and qualifying prospects.Communicating and collaborating with departmental managers for the timely execution of product delivery and or solution provisioning.Cultivating and maintaining ongoing customer relationships.Interface with deployment team to develop detailed project integration time-line.Continual learning of LogiSense technology and monitoring of industry trends.We thank all applicants in advance for their interest. To make the best use of your time and ours, only those applicants whose profiles closely match our requirements will be contacted directly. ","Professional and self-motivated with a current track record of top sales performance.Manage assigned client relationships at all levels.Exceptional communication and public speaking skills.A strong understanding of applying IP technology to solve customer operational challenges.Billing, rating, operational support, and network support software sales experience preferred.Knowledge of IP based access services (BROADBAND, DSL, CABLE, 802.X, VoIP, is recommended.)Some travel required for trade shows and client visits. (must have current travel documents)College or University degree in business or computer related disciplines or relevant experience.",,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Sales,0
5738,Clearview Energy has Expanded to Houston and we need a Sales Manager!,"US, TX, Houston",Clearview,,"Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.To setup an interview, call our recruiting department Monday-Friday from 9am-5pm @ (361) 400-2907","Argenta Field Solutions is now hiring for our new Clearview Energy Division. AFS is an award winning contractor that specializes in Direct Sales. We have established ourselves in South Texas and the Midwest and are growing rapidly. We have an ever growing need for management personnel to fill our constantly expanding workforce.We will also pay referral fee's if you bring on your full team.Clearview is brand new to this market and has the following benefits.- Competitive green energy- No credit check, no deposit- no contract, month to month- predetermined zip codes- demographically enhanced leads About the position: -          1099 paid contractor-          $1500+ for Assistant Manager and Office Mangers-          Health Insurance after 90 days-          Salary, Overrides and Bonuses-          Paid Training-          $200 first sale kick start-          Top commissions $55 to $85/sale-          Weekly Leads-          Opportunities for Advancement (Ground Floor!)",-          Sales and Management Experience-          Strong work ethic-          Clean cut appearance-          Background check (no felonies or theft)-          Proven Management Track Record-          Transportation-          Española a plus,"Mission Statement Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.",0,1,0,Full-time,Not Applicable,Unspecified,Consumer Services,Management,0
1397,Grails & Java Developer for Cloud Platform provider. Remote Full Time,"IE, L, Dublin",,,"There is a huge skills gap in Ireland, and having a base in Greece and over 15 years experience in the Irish IT sector, the team at TekTalent are passionate about connecting the best of the best technical talent of Greece with growing Irish businesses that are desperate for cost effective &amp; high quality resources. We specialise only in connecting resources within Greece to Irish businesses. We are not really recruitment people, we are IT people that spotted a problem to be solved in Ireland and we had the experience and geographical footprint to address it. Wherever possible we are bringing remote work back to Greece so candidates can stay in their homes, close to family and do not have to relocate. We will also offer relocation positions to those who are seeking to travel.","Our client is searching for a strong candidate that has 2 years Grails development experience as well as 3 years Java development experience.This is an excellent opportunity for an ambitious, creative developer that wants to innovate and build what doesnt exist yet. Working remotely for a well funded company with an existing distributed team. Our client is a cloud platform provider empowering ISVs to provide an awesome SAAS solution to their customers. This company is based in Dublin &amp; San Francisco and embraces the new wave of distributed product development, with the members of our team hailing from all corners of the globe.You will be involved with building of prototypes, developing to specified requirements, and supporting customers. You will provide resource estimate and work schedules for tasks allocated if required and organize your work priorities and time management effectively. Your responsibilities also include researching new tools, techniques and processes, identifying where and how they could benefit the company. You will also need to be a natural fit with the rest of the team.Furthermore we insist that you have a passion for what you do and a hunger for learning new things. The current team are constantly evaluating and trying to better themselves. They will expect you to do the same and  just as important  help others improve as well.","The candidate we are looking for will be Fluent in EnglishAble to work remotely on a contract basis where you will issue your own invoices and pay your own insurance &amp; taxes locally in GreeceEnthusiastic, solution oriented &amp; extremely productive &amp; motivatedEntrepreneurial with initiative, a team player, with the ability to work alone and still maintain high productivitySelf-starting, drivenEnergetic, passionateHave a strong desire to learn and grow within the roleExperience is important but what the client does is cutting edge so were looking for a smart individual with an appetite for knowledge and exploring new thingsAbout the Role/TermsOur number one priority is someone who is smart, can work with unknown/very new technologies and is not afraid to learn new thingsThe client would like the candidate/s to start immediately but they are also happy to wait a notice period also for the right candidateIdeally they are looking for at least one full time developer but they would also consider a part time (Minimum 25 hours per week) basis also if they found a suitable individual. (This would not be suitable for a candidate with an existing full time job however)No travel will be required for this role as everything is done remotely including trainingThe company is a start-up and building their product themselves, thus no certification is necessaryThere is some flexibility on the working time as long as it is contained Mon-Fri and there is a minimum of 4 hours per day overlap working in parallel with the rest of the remote team (11am - 7pm Greek time)Essential Requirements2 years Grails development experienceBachelor Degree or Masters Degree in computer science or related field from a named universityAdvantageous knowledge/experienceMultiple IT LanguagesFamiliarity with PAAS: Cloudfoundry/Heruku/EngineYardActivity in an open-source communitylinux, sysadminOther advantageous knowledge of or experience (in order of preference)Anything in the Cloud space (PaaS, IaaS, AWS, OpenStack, etc.)Experience building software required to serve a large number of usersExperience in payments industry (Payment Gateways, PayPal, Stripe, Balance Payments)Web services/API development experienceMulti-tenant development experience Advantageous Project Management knowlege of and/or experience:KanbanAgileXPPair-programmingFunctional/concurrency languages:Erlang, Scala, Go Advantageous Social Tech ParticipationOn Stackoverflow? What kind of stats?On Github. Has the candidate committed to projects? Has the candidate any projects of their own?On Coderwall, Twitter, etc.Attend/organize any technology related events such as meet-ups, conferences, etc.A blogTesting Philosophies:TDDBDDPlease provide your updated CV in English in either MS Word or HTML format. ",Remote work - flexible hours.Remote training provided - No travel requiredReliable Regular SalaryWell funded CompanyPart of a Global TeamInternational Career ProspectsCutting Edge Technology,1,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
15403,Male Extras - Shortland St,"NZ, N, Auckland",Extras Division,,The Online Talent Booking Agency.,Background Talent work on Shortland St production.No experience required - No dialogue - No upfront fees,Must have reliable transportMust be able to wake up earlyMust be keen and have great availability ,Gain valuable experience without paying an upfront fee. A great opportunity for newbies to the film and tv industry.,0,1,0,Contract,Not Applicable,Unspecified,Media Production,Production,0
9297, Managing Director,"US, NY, New York ",,,"Digital Brand Architects is a transformative boutique agency that expertly navigates the digital landscape through a two-pronged business model: one that tackles digital strategy and social media innovation for some of the worlds most venerable brands and companies, and the other that specializes in the talent management of a world-class portfolio of over 90 top online influencers and publishers. DBA is best heralded as the first and only agency to have a dedicated management division for online talent and for pioneering a monetization model that leverages a digital publishers influence online and builds them into prominent brands offline.","Digital Brand Architects is in search of a Managing Director for New York Headquarters.  As the Managing Director, you will be actively building and leading a cross functional team and its processes to ensure our success with brand and agency partners, overseeing client communications, developing creative brand programs, and improving the quality of client service.  You'll be the senior liaison working closely with clients to identify their specific needs and business objectives, and then help identify and implement strategic programs that will help achieve the clients goals.  Your goal will be to effectively scale the account management team to deliver exceptional client success and the retention and renewal of client accounts.   ","SPECIFIC RESPONSIBILITIESManage a team of Account Managers and Content Producers to engage and retain client partnershipsProvide thought leadership to help guide clients in the digital spaceOversee day-to-day relationships with a roster of top brands and major strategic partnershipsProvide guidance on how to assist clients to partner with DBA and get the most from our relationshipDevelop strategic and innovative ideas and ways to deliver exceptional quality and resultsImplement processes and planning and remain informed on client progressPartner with the business development team to create effective process and strong relationshipsAnalyze program results to create and deliver strong metrics and client recommendations to drive brand objectivesProvide ongoing client feedback to internal teams to help retain and grow our client portfolioCultivate and manage partner/vendor relationships to support account services and strengthen business efficienciesCommunicates with sr. management on strategic business and employee initiativesMentor team and champions continuous improvement throughout the organization; achieves recognizable improvements in the skill level and P&amp;L performance of the teamDrives the success of all performance management initiatives and programs with emphasis on the successful completion of all performance review processesWorks with sr. management to establish effective pricing and staffing levels for each client; monitors P&amp;L functions to proactively identify risks and opportunities.Champions positive change and a creative, collaborative work environmentREQUIRED SKILLS/KNOWLEDGE/EXPERIENCE10 + years of related work experience preferably agency7 + years demonstrated track record of developing senior level client relationships  strong client references are necessaryProven experience in managing teams of 20+ people and in working cross functional across an organization  Strong knowledge in social media, digital marketing with particular emphasis on influencer relations, content marketing, analytics and emerging trendsTrack record of leadership, coaching and mentoring abilitiesExceptional organizational skills and strong attention to detailExceptional verbal and written communications skillsSound business acumen; strategic skills; common senseAbility to lead a team effectively and provide direction to other members on the teamAn entrepreneurial mindset and/or attitudeABOUTDigital Brand Architects is a transformative boutique agency that expertly navigates the digital landscape through a two-pronged business model: one that tackles digital strategy and social media innovation for some of the worlds most venerable brands and companies, and the other that specializes in the talent management of a world-class portfolio of over 90 top online influencers and publishers. DBA is best heralded as the first and only agency to have a dedicated management division for online talent and for pioneering a monetization model that leverages a digital publishers influence online and builds them into prominent brands offline.Visit #URL_371604961093bbbf5988cef4717537ae3b2424fe2a9206e0a6640ff46dfccc34# for more information. ",,0,1,0,Full-time,Executive,,Marketing and Advertising,Strategy/Planning,0
3175,"TV Director, Broadcast Operations Division","US, DC, Washington",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The TV Director shall properly complete all daily tasks. These daily tasks include but are not limited to: making direction calls in coordination with the automated rundown, rapidly adapting to changes during production, and initiating rapid work-arounds for production technical problems. For full-time personnel, more than one mistake per week will not be acceptable; for part -time personnel, more than one mistake per month will not be acceptable.","The TV Director shall have at least three current years of experience with live news broadcasts and shall provide directing services and support for the creation of television programs and/or program segments for broadcast on one or more of the television networks operated by the BBG; shall work with television producers and crews of diverse culture and language to create high quality television programs; shall work in a fast-paced, news deadline environment; shall work cooperatively and efficiently in a live broadcast environment; and shall be proficient in a combined position, Director-Technical [Hybrid] and simultaneously direct and switch productions that are simplified or fixed-format.",,0,1,0,,,,,,0
17550,Sales manager,"IN, , ",Sales and Marketing ,50000-60000,,"Collaborates with [Insert title] in establishing and recommending the most realistic sales goals for the company. • Manages an assigned geographic sales area or product line to maximize sales revenues and meet corporate objectives. • Establishes and manages effective programs to compensate, coach, appraise and train sales personnel.","• Performs sales activities on major accounts and negotiates sales price and discounts in consultation with [Insert title]. • Manages personnel and develops sales and sales support staff. • Reviews progress of sales roles throughout the company. • Accurately forecasts annual, quarterly and monthly revenue streams. • Develops specific plans to ensure revenue growth in all companys products. • Provides quarterly results assessments of sales staffs productivity. • Coordinates proper company resources to ensure efficient and stable sales results. • Formulates all sales policies, practices and procedures.","Interprets short- and long-term effects on sales strategies in operating profit. • Educates sales team by establishing programs/seminars in the areas of new account sales and growth, sales of emerging products and multi-product sales, profitability, improved presentation strategies, competitive strategies, proper use and level of sales support, management of expenses and business/financial issues on contracts.",0,0,1,Full-time,Mid-Senior level,Unspecified,Accounting,Accounting/Auditing,1
4125,Desktop Support / IT Technicians - Start Immediately!,"US, AR, Little Rock",IT,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","We're looking for a sharp team of Level 2 IT technicians to work a local project starting in mid April. For some, there may be an opportunity to convert to full time. Excellent company! Details will be shared up receiving application.If you have a little less experience or a little more please do apply!Essential Job FunctionsImaging, deploying, migrating, and upgrading laptops and desktop computers in a professional environment while maintaining exceptional level of customer service.Providing support for Microsoft desktop / laptop computers.Setting up new equipment and installing software.Maintenance / troubleshooting of all user hardware and software issues.Identify/Communicate noticeable problem trends and troubleshoot recurring problems to find permanent solutions.Provide first level problem determination for desktop hardware and software related problems.Resolve less complex problems immediately and escalate more complex issues.Accurately communicate pertinent information to create a work environment that lends itself to the best interests of departmental personnel and customer service.Responding to any inquiries and answering any questions in person, via telephone, and via email","Must have excellent communication and writing skills.Demonstrated excellence as an empowering and flexible employee in a dynamic, fast paced, hands-on, high stress environment.Solid judgment, problem solving, decision-making skills and a proven record of taking the initiative and responsibility in using those skills effectively. Both individually and as a member of a team.Detail oriented with the ability to learn detailed processes and methodologiesMust have a professional appearance.Customer Service experience is A+.Must be able to interact with customers, associates, contractors, and vendors in a professional manner to ensure customer satisfaction.Punctual and dependable with reliable transportation.Must be able to perform other duties as assigned by managementEducation: High School DiplomaOther:Must have 6+ months experience working in the desktop support field supporting Windows 2000/XP/Vista clients in a WAN environment.Microsoft Certification A+ or equivalent experience.Comp Tia A+ or equivalent experience.Dell Certified in desktop/laptop diagnosis and repair.Experience with configuring PCs, Laptops and printers.Ability to reimage or refresh laptops and desktops and transfer data accordingly.Experience with troubleshooting and diagnosing problems in person, via telephone, and via email.",Excellent opportunity to join a world class team of technicians for an incredible client deployment. Start as soon as 4/14/2014!,0,1,1,Contract,Associate,Unspecified,,Information Technology,0
15360,Technical Sales Manager,"US, WI, ",Leaf Technologies,,"Lesaffre Yeast Corporation is a French privately owned company that has been the worldwide leader in yeast manufacturing for the past 10 years. In 2013, the company celebrated its 160th anniversary. Yeast manufacturing is more of a passion for the family who owns the company than it is a business. To excel at Yeast manufacturing like Lesaffre does, it requires hiring talented people who are knowledgeable and skilled in microbiology, biotechnology, mathematics, food processing, automation, people management and most of all who can learn and teach Lesaffres know-how. The Lesaffre Group has been leading the worldwide yeast manufacturing industry because of passion, know-how and superior technology.Lesaffre manufactures and sells “fresh” bakers yeast, also called wet yeast, and we serve a base of industrial bakeries as well as bakers compressed Yeast, Instant High Active Yeast, Active Dry Yeast, Distillers ADY, Protected ADY other type of specialty #URL_8ead3a909a01e46bf54d799760864575097f4be44f1453ac5a4183c634707458# addition, Lesaffre has separate business units which sell into the Nutritional industry; Animal Feed Industry; Beer, Wine and Whiskey Industry; Renewable Chemicals and Ethanol Industry; and Flavor Enhancer Industry. ","Leaf Technologies is a Business Unit of the Lesaffre Group, world leader in yeast and yeast extract.  The Business Unit will focus on the worldwide sales and market development of value-added fermentation solutions for fuel ethanol and bio-based chemicals producers.Job Description:  The primary function of this position is to confirm and/or identify new business opportunities and develop in set geographical zones, the sales of added value yeast and yeast products in the targeted market segments (first generation fuel ethanol).  To meet or exceed the economical targets from the established Yearly Sales Planner.   To operate within agreed expenses (Budget).  To report to management on factors that are either benefiting or affecting the company Yearly Marketing Plan.Territory: Mainly IL, WI, MI, INDuties and Responsibilities: include the following.  Other duties may be assigned.Sales performanceAchieve the targeted sales objectives in terms of tonnage and revenue.Budget, sales reporting and analysisAssist Sales Director in the preparation of sales &amp; marketing budget (Budget).  Reports monthly sales results and/or assist in sales analysis upon request.Marketing studies/researchCarry out studies to confirm projected production volumes in the targeted segments, e.g. by assessing economical &amp; political drivers country by country.Identify and lobby key contacts (influencers, decision-makers), key organizations, technical institutions and associations for each segment.Carry out field visits to end users and distributors, as per Leaf Technologies definition and requirements (company information, technology, yeast purchases, etc.).Update customer Database accordingly.Identify business opportunities and key prospects.Establish contacts with business/sector related trade organizations, research organizations, other suppliers  as per instructions of Sale Director.Monitor competitive activity by identifying and following up competitors organization and product &amp; services offer.  Report to Sales Director.Technical salesVisit existing accounts / distributors, target and potential customers (see Yearly Sales Planner) in accordance with the call frequency defined for each market segment (see Yearly Marketing Plan), developing strong relationships with key accounts.Deliver technical presentations to key accounts.Prepare sales offers in accordance with the guidelines of the Sales Director.Provide technical support to direct customers (technical advice, assistance in trials, operators trainings…). Seek assistance from Technical Manager as and when required.PromotionIdentify opportunities of promotion and report to management.Promote Leaf technologies products within the trade, highlighting their technical and economical benefits and raising Leaf technologies as the expert in the industry.Take an active role in the promotion of products through technical publications, paper and poster presentations, seminars, and participation at international and national trade shows, conferences and seminars  as per instructions of Sales Director. Prepare technical presentations for Seminars, conferences, tradeshows, etc., according to a specific plan agreed with the Sales Director. Seek assistance from Technical Manager as and when required.Assist the Sales Director in the organization of Seminars and events with customers / distributors. Management of distribution networksTo increase current market coverage by consolidating the existing distributorship, reviewing when appropriate existing distribution agreements and identifying alternative and/or new distributors  whether inside or outside the Lesaffre organization  in segments requiring an indirect approach.To establish yearly objectives and action plans (sales objectives, promotional activities, new product development…) with distributors, reviewing progress on a quarterly basis.To provide distributors with continuous technical, commercial and marketing support.  Organize training as and when required.Sales administrationLiaison with Sales Administration department and/or other shared services, ensuring good customer service and satisfaction from order capture through to delivery, invoicing and payment.When applicable, liaison with Supply Chain department to ensure stock availability.QualityTo follow up complaints from customers.  Liaison with Sales Director and/or Technical Manager as and when required. BudgetTo assist Sales Director in budget preparation, as and when requested.OthersOther duties may be assigned. ","Education and/or Experience                      Bachelor's degree from a four-year college or university; preferably in Microbiology or related field with 5 or more years of technical support / sales experience in fermentation and/or related fields.  Equivalent combination of education and experience considered if related specifically beverage alcohol production. Other Qualifications       Must be able to travel 60-70% of the time.Competencies - To perform the job successfully, an individual should demonstrate the following competencies:Problem Solving - Identifies and resolves problems in a timely manner; Gathers and analyzes information skillfully; Develops alternative solutions; Works well in-group problem solving situations; Uses reason even when dealing with emotional topics.Customer Service - Manages difficult or emotional customer situations; Responds promptly to customer needs; Solicits customer feedback to improve service; Responds to requests for service and assistance; Meets commitments.Cost Consciousness - Works within approved budget; Develops and implements cost saving measures; Contributes to profits and revenue; Conserves organizational resources.Judgment - Displays willingness to make decisions; Exhibits sound and accurate judgment; Supports and explains reasoning for decisions; Includes appropriate people in decision-making process; Makes timely decisions.Motivation - Sets and achieves challenging goals; Demonstrates persistence and overcomes obstacles; Measures self against standard of excellence; Takes calculated risks to accomplish goals.Qualifications To perform this job successfully, an individual must be able to perform each essential duty satisfactorily. The requirements listed below are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions.Language Skills                                            Ability to read and interpret instructions, and procedure manuals.  Ability to write routine reports and correspondence.  Ability to speak effectively before groups of customers or employees of the organization.  Good working vocabulary in English.  Fluency and command of Spanish is a plus.Mathematical Skills                                       Ability to calculate figures and amounts such as discounts and commissions. Reasoning Ability                                          Ability to apply common sense understanding to carry out instructions furnished in written, oral, or diagram form.  Ability to deal with problems involving several concrete variables in standardized situations.","Effective first day of employment: Medical, Dental, Vision, Short and Long Term Disability, Life and Accidental Death and Dismemberment Insurance, Paid Vacation, Holiday and Sick time.  401K with Company Match and Discretionary Contribution. ",0,1,0,Full-time,Associate,Bachelor's Degree,,Science,0
8075,Above the Line Specialist ,"GR, I, Athens",Marketing & Communications ,,,The Above The Line Specialist will be the key person handing the ATL activations related both to Corporate and Brand-focused communication plans. The purpose of the position is to translate in ATL tactics the brand strategy per segment towards achievement of P&amp;L targets. He/she should guarantee the flawless execution of agreed activities and assume the appropriate budget controlling/monitoring responsibility. Major responsibilities: Assists the ATL Manager in forming the ATL communication plans across brands portfolioWorks closely with brand segments teams and external agencies to achieve the on-time implementation of agreed plans throughout all available ATL communication channelsOwns the marketing plan development &amp; executionHolds both internal and external stakeholders accountable for delivering agreed projectsPerforms evaluation of projects and recommends corrective actionsChallenges for efficiency on any investment for external communicationEnsures timely delivery of ATL materials and costs minimization through proper schedulingSupervises the production-related invoicing procedures,"Bachelors degree in Marketing, Advertising or related field2-4years experience of brand/ project management in a well-known company (industry or agency side)Good knowledge of ATL and integrated communication principles (from brief to execution)Good presentation skills both in English and Greek (creation and delivery)Excellent project management and time management skillsStrong results-oriented individualTeam spirit, cooperative attitudeFeels comfortable in a large, matrix organizational setupAdaptable and flexibleCan-do spirit. Problem-handling and solving ability","The company offers excellent career opportunities and a competitive compensation package, based on the qualifications of the candidate.",0,0,1,Full-time,Associate,Bachelor's Degree,Gambling & Casinos,Marketing,0
4205,Full Time Accounting Assistant,"US, FL, Orlando",,,"Growing event production company providing staging, scenic, and drapery primarily in the state of Florida. We have a secondary location in Las Vegas and will soon be adding a third location in Southeast Florida. We are a small team passionate about creating high quality events and providing excellent customer service, both on show and in the office. ","We are a rapidly growing staging, scenic, &amp; drapery company. We currently provide services and rentals in Orlando &amp; Las Vegas, and we are expanding to Miami in September. We have an immediate need for a full time Accounting Assistant. The right candidate should be self motivated, detail oriented, organized, and have a great attitude. We are a small team and we need people who have the drive to help us succeed and grow. This is a fast paced industry and self direction is critical. We are a small team and we are passionate about what we do and about growing our company. You will be expected to demonstrate your skills and experience immediately. You will be trained in our processes and procedures but we have full expectation that you will be able to perform the items specified here and also in your resume. Please bring a positive attitude and a willingness to go the extra mile. TASKS:Accounts PayableProcess purchase orders from inter-departmental purchase requests through to paymentProcess credit card receiptsPost and pay all bills under supervisionJob costing assistance Accounts ReceivableProcess estimates for invoicingProcess corporate client invoices according to established proceduresReceive and oversee payments for prepaid and COD clientsManage collections to ensure A/R aging is less than 60 days on all accountsGeneral Accounting &amp; TasksTime sensitive reporting of financial data to managementCredit card reconciliationsSales tax filings and management of corresponding recordsAssistance with quarterly closings Filing and clerical tasksProcessing new hires and terminationsHR specific tasks such as garnishments, compliance, and maintenance of sensitive dataProject specific tasks i.e. research, spreadsheets, etc.    ",4+ years QuickBooks (MUST) Common sense and good problem solving skillsCompetent in MS OfficeWorks efficiently and with great attention to detailWorks well independently and as part of a teamSelf-motivatedHigh level of computer literacy,"The position will start at $11.00. Your performance and attitude will be the determining factor for rate increases. Performance review will be given at 90 days. Some company provided benefits, such as paid vacation, life insurance, supplemental insurance, and 401k with match are available to qualifying employees after 90 days and 1 year of service. Group health insurance may be available in the near future.",0,1,1,Full-time,Associate,High School or equivalent,Entertainment,Accounting/Auditing,0
14427,Beauty & Fragrance consultants needed,"GB, , Leeds",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury beauty &amp; fragrance consultants needed!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary &amp; permanent beauty, fragrance, fashion &amp; retail staff. We are recruiting now for beauty &amp; fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care &amp; perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to marie@#URL_2cf2adf737014de8b49f0776d9c8caa1a359f0bf42fa9910bb760d5475a2f1b9#",,,0,1,0,,,,,,0
12282,Application Development Manager,"US, FL, Jacksonville",,,"Bewdd &amp; Associates - Talent Acquisition Simplified, its what we do.Bewdd specializes in developing custom Recruitment Process and Talent Acquisition solutions for small to mid-market organizations across the globe. Bewdd &amp; Associates will optimize your recruitment processes, identify and attract leading professionals to your organization. Our culture, based on simplifying your needs while enhancing performance, is what keeps clients coming back.Who we are...With offices in the US &amp; UK, Bewdd &amp; Associates caters to individual organizations, creating custom recruitment process solutions specific to distinct requirements of each client. Our in-depth industry specific recruitment experience allows our teams to partner with each client, ensuring workflow optimization and attracting highly-qualified, top tier talent, all while minimizing recruitment costs.  After all, the success of your company depends on the performance of our associates and we heavily invest our resources and talent in every partnership.Talent Acquisition Simplified, its what we do."," The Application/Software Development Manager is charged with leaded the strategic and tactical direction of in house application development efforts. Directly overseeing upwards of 10+ application developers - including Team Leaders, individual will be charged with resource planning &amp; team actives in all design, development &amp; analytics required to develop and/or integrate new software solutions for a privately held financial institution.  JOB KNOWLEDGE, SKILLS, &amp; ABILITIES    •             Experience with establishing technology guidelines based on best practices in enterprise application architecture and software development.•             Experience working with, and in depth knowledge of core Microsoft technologies including, SharePoint, Project Server, Teams Foundation server.•             Ability to lead technical and procedural standards in SDLC for systems design, development, verification, and implementation.","BS degree in Computer Science, Engineering, Mathematics, or equivalent experience5+ years of related experience in software development and 5+ years in people managementStrong abilities in .Net Development Technologies (C#, #URL_86fd830a95a64e2b30ceed829e63fd384c289e4f01e3c93608b42a84f6e662dd#, VB.net, etc)Experience with both Windows and Linux operating systemsWorking knowledge of SQL and relational  database systemsSolid understanding of object-oriented programming (OOP)Knowledge of standard concepts such as HTML and JavaScript, User Interface, Object Oriented concepts, Use Case and basic UML Diagrams.","Full time opportunity with a privately held financial institution based in Jacksonville, FL.  Role included extensive healthcare package, paid time off/vacation offerings, retirement/401k savings as well as upward mobility through organizational growth.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Financial Services,Information Technology,0
12774,Talent Management Process Manager - All Major states,"US, IL, Chicago",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Designing, building and automating talent management processes, metrics, tracking, and reporting capabilities.Developing rigorous analytical models that provide structure to ambiguous, complex issues.Reviewing data and communicating insights as a basis for talent management action to be utilized with key stakeholders including c-suite executive management.Evaluating Talent data collection process to ensure efficiency and high level of data integrity.","The TMO Manager will be a “Hands on” Manager responsible for:Designing, building and automating talent management processes, metrics, tracking, and reporting capabilities.Developing rigorous analytical models that provide structure to ambiguous, complex issues.Reviewing data and communicating insights as a basis for talent management action to be utilized with key stakeholders including c-suite executive management.Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,0,0,0,,,,,,0
6763,FRONT END DEVELOPER NYC,"US, NY, ",,,"LOOP is an award winning interactive agency based in Salzburg with satellite offices in Copenhagen, Berlin, Sydney and New York City. We work on remarkable online campaigns in web, social and mobile specializations. Over the last few years our brilliant team has done outstanding work for internationally recognised brands such as Puma, Audi, Clearasil, Durex and many more. OUR SERVICES.We create digital experiences for brands and companies by using creativity and technology. From design to development, from mobile to social, from content production to monitoring and analytics. LOOP offers a broad range of services to help businesses and brands thrive in the digital age. LOOP works together with brands to craft strategies along with a unique and innovative digital approach. We thrive on collaborating with clients who are looking to push the limits and think outside the box.ENGAGING THE #URL_1cc142d21262b78a43aae9217a11ae2d5ebb9f2289a01a905afe6ffe361c4565#'S WHAT WE'RE HERE FOR.The common things expected from a digital agency are nice looking websites, branded social media profiles, some mobile apps and possibly a few microsites. At LOOP we aim for more. It kicks off with a brilliant idea and leads to a unique digital approach to draw in your target group. Contemporary advertising should be something that people really want to be part of. Engaging your audience, that is what we're here for.","FRONT END DEVELOPERAs a Front-end Developer you are responsible for breathing life into our designs. Create state-of-the-art website and web application templates for our international clients. Sit on the cutting edge of your field and be unafraid to push forward with new techniques and new technology. Stuffed full of ideas, which youre thrilled to put into practice, to create or optimize any website, as front-end development is more than just a job it is your passion. Get involved in projects from the ideation stage until final delivery and enjoy sharing your knowledge and experience with your international, interdisciplinary team.With advanced experience in HTML, CSS and in cross browser compatibility, a passion for innovative UX solutions through Javascript and JQuery integration, and design understanding, you'll have a great time at LOOP! Trust us, we know.REQUIREMENTSCreative problem solving skills and a thirst for knowldedgeEnjoy interesting challenges and solving problemsLove to work with international clients and within an international teamExperience with  JS-Frameworks or with BackboneJS, AngularJS and a flexible approach to new technologies like LESS, SASS, minification. Or a thirst for knowldedge to learn these technologiesAdvanced experience with Html/Html5, CSS/CSS3, JavascriptExperience with mobile development and responsive designBasic PHP knowledge is an advantageFamiliar with Subversion or GitStrong team player and willingness to work closely with the agencies organizational and design teamGood English language skillsRESPONSIBILITIESSignificant responsible for the technical conception of web projects to achieve exceptional, innovative resultsTechnical quality assurance for each projectAbility to keep track of own projects, timings and needed resources in all situationsEnsure a perfect project workflow and work as a part of teamAbility to flourish in a hands-on culture and know when your team needs supportOur flat hierarchy and exceptional team culture, is key to the personality of our agency. We believe that there is a wide range of talent in our team, so we won´t restrict passionate people from learning skills in new areas for professional growth. In fact, we will encourage you! We promote continual advancement in our staff by giving opportunities to attend tech conferences, meetups, english classes, workshops and further training. Plus were really fun people as well!So if you are motivated to join the LOOP Team, we are happy to offer you a full-time position as a Front-End Developer in Salzburg or NYC. Your entry level and starting salary will be based on your experience.To be considered for this role, send your application, CV and earliest possible start date to the LOOP Team.",,,0,1,0,,,,,,0
7243,Embedded Systems Developer,"US, CA, San Francisco",,,"Come on in.Welcome to August Smart Lock.The August Smart Lock is the secure, simple, and social way to manage your homes lock. Now you can control who can enter and who cant—without the need for keys or codes. And you can do it all from your smartphone or computer.August builds products that make life simpler by allowing physical environments to respond seamlessly to peoples behavior. We believe in building quality experiences for our customers through elegance and simplicity. We have a lot planned for the future, and are looking to build a team eager to join the ride. Who we are looking forAugust values multi-skilled engineers who are just as passionate about crafting secure, yet beautiful experiences for our customers as we are. We expect our software developers to be comfortable working in multiple programming languages and to be enthusiastic about learning new things. We do have high standards. Our product aesthetic extends to our code. We expect our hardware engineers to be obsessive about things like power consumption and reliability. If you look at everyday objects and believe they can be made more intelligently, we are looking for you.Things we are buildingA peerless security infrastructureiOS, Android and other mobile applicationsA compelling and intuitive web dashboardSensor-laden hardware devicesRobust customer intelligence toolsAn ecommerce system","OverviewWe are seeking an Embedded Systems Developer responsible for writing the firmware that drives the August Smart Lock. They will be responsible for customizing a Bluetooth LE stack, implementing an API through Bluetooth, and engineering the main firmware to achieve the utmost reliability with the lowest power consumption.The Embedded Systems Developer is an integral part of the product development team and will regularly collaborate with the smartphone and server engineering teams. Embedded Systems Developer will maintain full responsibility for ensuring the firmware and API work reliably under adverse conditions with supported smartphones and other BLE clients.Please note - local San Francisco Bay Area candidates ONLY.  No relocation. Principals Only.ResponsibilitiesArchitect, implement, and maintain complex firmware designsWrite clean, easy to understand, robust, and sustainable code tailored for embedded systemsNegotiate, specify and document communication protocols, system states, and FW/SW feature requirements with the SW teamHelp select micro controllers, define power management strategies, and review schematics with the HW teamCreate and write cases to exercise and validate firmware","Requirements5+ years of coding experience on low-power embedded platforms (ie MSP430, ARM cortex M0...)Proficiency in C and embedded software development environmentsSolid board bring-up and debug skillsDemonstrated capability in applying software best-practices to firmware designsExperience with writing boot loadersDeep understanding of modern MCU architecturesSignificant experience in power management, power-on-self test and fault handlingAbility to read and interpret schematicsFamiliar with general debug tools such as JTAG and oscilloscopesEven BetterExperience with Bluetooth LE or other wireless network equivalentsExperience with micro-watt level low power applicationsExperience with MISRA-C or equivalent ultra high reliability development techniquesRTOS experienceDigital signal processing (DSP) experienceProficiency in Matlab simulations",,0,1,0,Full-time,Mid-Senior level,,Consumer Electronics,Engineering,0
13865,"Sales, Assistant Manager & Market Manager Positions Available","US, TX, SE Houston",AFSHOT,45000-67000,"Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.To setup an interview, call our recruiting department Monday-Friday from 9am-5pm @ (361) 400-2907","We are Argenta Field Solutions, a rapidly expanding and award winning Fortune 500 partner, which specializes in direct sales for industry leading companies nationwide.Argenta Field Solutions values the client, creates income streams for them through our sales mechanism and focuses on quality &amp; numbers rather than numbers alone. While this is paramount to our foundation, the foundation itself is our people. Environment, Training and Compensation are the tripod that support our workforce. The same way we believe in quality of sales, we believe even more in quality of our people's environment, training and compensation.Positions now available in Houston TX. We provide all the tools and training to be highly successful and seek top performers to step up into leadership roles.Positions- Sales- Assistant Manager- Market Manager- Regional DirectorCompensation- $45k-$67k per year (entry level) - Weekly pay- Direct deposit - Bonuses (daily, weekly &amp; monthly)",#NAME?,"- AFLAC- Health Insurance (Management)- Training (Initial &amp; Ongoing)- Vacation &amp; sick time- Paid travelThis is not a telemarketing or call center positionSchedule an interview by contacting Craig @ (361) 400-2907To learn more about Argenta Field Solutions, visit our website @ #URL_1d9e75fb4100e4ecdc0d72a1205f398abb97bf07f3018745f8fbcab82dc07ef3#Argenta Field Solutions711 N Carancahua St, Suite 1750, Corpus Christi TX 7840115600 San Pedro Ave, Suite 105, San Antonio TX 782322855 Mangum Rd, Suite 417, Houston TX 770921100 E Nasa Pkwy, Suite 410, Houston TX 770582201 N Collins St, Suite 252, Arlington TX 76011keywords: sales, outside, direct, commission, cable, security, alarm, security, internet, tv, directv, dish, satellite, assistant manager, manager, customer service, csa, salesman, salesmen, career, job, door",0,1,0,Full-time,Entry level,Unspecified,Consumer Services,Sales,0
5576,Campaign Manager,"GR, I, Athens",Campaign Management,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","The Campaign Manager is responsible for identifying, designing, planning, testing, delivering, monitoring and enhancing cross-channels marketing campaigns in line with business objectives. Your work will ultimately allow for Upstreams innovation to positively impact millions of consumers around the world.The position is based in Athens-Greece.Key AccountabilitiesDevelop and execute a series of integrated, multi-channel marketing campaigns to generate direct revenueCreate test plans and undertake market analysis for each campaign where appropriatePrepare and deliver pre-campaign briefings, provide the necessary information to the international copywriting team and lead the creative process to ensure each campaign is a successWork alongside the statistical team to ensure targets are met and take decisions around continuation or adjustment of campaign activityDrive campaign data segmentation and list selectionEnsure all campaigns are delivered effectively, to deadline and deliver against business objectivesMonitor the effectiveness of campaigns, implementing changes where necessaryProvide detailed analysis and reporting of each campaign, including interpretation, commentary and recommendationsHave a solid grasp on the data selected for each campaign and fully understand how that data is being used to support campaign and business objectives","Knowledge/Skills/Experience0-2 years+ working experience. Experience in broad marketing or online marketing/ advertising would be a plus. So would experience in the broad area of software development and digital technologiesPrevious international work experience / work environment appreciatedPossess out of the box thinking and an aptitude for written communicationExperience with consumer marketing is a plusUnderstanding of Mobile and Web spaceExperience with content management systems, even if its just a blog publishing toolKnowledge of SEO, PPC and general experience in online advertising is a plusAccountable and results-oriented with strong analytical and quantitative thinkingUp-to-date with popular culture, new technologies and current trendsExcellent command of the English language, knowledge of additional languages is a plusPersonal CharacteristicsBright, ambitious, self-driven, hard-working and flexibleAbility to work under tight deadlines and cope with pressure     Able to perform under pressure and deliver results in a demanding and fast-paced environment that requires  fresh thinking and innovationExcellent written and spoken communication skills Attention to detail  ","We offer a competitive base salary and benefits, directly dependent on the candidates qualifications and skills. By joining Upstream you will be part of a young, creative and dynamic company undergoing rapid growth and transformation into a global market leader.  ",0,1,0,Full-time,,Bachelor's Degree,Telecommunications,Marketing,0
12016,CRM Admin,"AE, , Media City | Dubai",,,"Entertainment everywhere.  Operating under the StarzPlay brand, Playco Ltd., delivers a premium video-on-demand service.  We believe that the home entertainment experience should be available for everyone everywhere. We bridge our cutting-edge technology with in-demand TV series, top quality movies, and a large range of children's programming to reinvent, redefine &amp; shape the viewing experience. Our site allows subscribing users to access premium entertainment content from across the globe. Gone are the days of waiting an entire season to watch your favorite series, as viewers will have access to in-demand, exclusive day-and-date premieres, along with a large selection of movies and box office releases.Playco is currently present in 2 continents with plans to become increasingly global. We encourage and support our employees who wish to relocate to other Playco offices, as we believe that international mobility strengthens and shapes the international culture of Playco.Learn more about us on LinkedIn Do you have what it takes to work with us?Self-startersCreative thinkersProblem-solvers (not problem-identifiers)Affinity for working in teams Sense of humor A MUST!A fine appreciation of TV and film (we might ask for a detailed list)","We are building the next generation of video-on-demand (VoD) and are currently building an all-star team who will help turn our company into a leader in its field. Do you want to be at the crossroads of new technologies and entertainment? Are you hungry to deliver revolutionary customer service that maximizes productivity and delivers customer satisfaction in a new and innovative way? The RoleAs CRM Admin you will be at the cutting edge of how media and technology interact. Your primary role will be to lead our global CRM strategy and execution, ensuring smooth management of our SFDC Market/Sales/Services Cloud.Success in this position will require both the ability to decide on high-impact CRM decisions and initiatives as well as the ability to create SFDC training content to foster and grow desired knowledge, processes, and skills.  Within the first 60 days you will be expected to:Develop a deep understanding of our business and our customer interaction channels and take over operational management of the newly created #URL_1e2e8c2aa3448868521f437655b97aa030cd58404a2a701ce411573d85d62a63# org; Design and build interface tools to integrate new departments into Salesforce;Undertake operational management of the ExactTarget Marketing automation platform;ResponsibilitiesPerform day-to-day configuration, reporting, training, and maintenance of the Salesforce orgContinuously monitor data quality, perform data cleanup, and implement tools and controls to ensure data integrityDevelop reports, dashboards and meaningful analytics for various teams and executive managementAble to take the lead in solving complex data management issuesManage security, users, roles, profiles, groups, sharing rules and other setup optionsManage and prioritize ongoing support requests and administrative needs of usersProactively seek out and implement innovative solutions, best practices and productivity toolsConfigure and maintain sandbox environments to facilitate successful development and QA testing of new features and functionality","Your 3-5 years of direct experience with #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# as a current Salesforce Certified Administrator and/or consultant have allowed you to develop a comprehensive understanding of the full application lifecycle from inception through maintenance.  This combined with your hands-on experience with billing systems, knowledge of billing operations, and intersection with CRM touch pointsprovides you with a high-level perspectiveto recognize opportunities for optimizing business #URL_78b2f91f0499f1df354453513cb50d34f912f9773ac4000020ca2a38c56b452c#, you have working knowledge of data structures and understanding of data migration along with a proven ability to learn technical concepts and translate them to the appropriate audience.Talent is critical, but personality is also a big deciding factor for our candidates. Your excellent communication skills, demonstrated training and customer skills, and ability to manage concurrent projects make you an integral member of our team. Bonus            Analytical skills to respond to requested changes and identify customizations.Consulting experience a plus.Understanding of data structures and migrations using the #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# data loader, import wizard, and Excel Connector tools.Knowledge of subscription billing and management of customers in a B2C environment.Experience in television, film, music, or content creation and distribution industries. Full professional proficiency in Arabic.","Why Join Us?Growing international team with colleagues from all around the world. Combined, we speak 9 native languages (English, Swedish, Russian, Spanish, French, Portuguese, Urdu, Swahili and Romanian).A shiny new Mac Book.Located in the heart of Media City.Compensation philosophy: We pay for what we get and we want the best!",0,1,1,Full-time,Mid-Senior level,Associate Degree,Media Production,Customer Service,0
4696,Project Controller Oil and Gas Approval Center/Houston,"US, TX, Houston",,,,"Position Summary:EXPRO is seeking a Project Controller for the Oil &amp; Gas Approval Center in Houston. The Project Controller will report to the Head of Oil &amp; Gas Business Support. In this role the Project Controller is responsible for ensuring strong financial control of projects as well as being involved in analysis for the section. This includes compliance, computing, classifyin g , recording and verifying numerical data for use in maintaining accounting records by performing the following key activities.Job Responsibilities: Ensure time &amp; expense statements are submitted and processed each week according to deadlines and proper control/approval proceduresEnsure that all new projects set up from Affinitas are input into TEAM with the correct financial data and ensure proper contract documentationBasware: processing of internal invoices and project payablesProcessing of subcontractor invoicesInternal and external invoicing for all projects within deadlines for the primary units and assistance if needed with the secondary units, and achieve WIP below 20 daysClient credit checks and assistance with proposal financial reviews as needed or requestedAssist with training project managers needed in TEAM and in better understanding the monthly project reportsGenerate and evaluate monthly wip reportsFinancial analysis of projects and unit financialsMonthly project accruals as required to Business ControllerMonthly follow-up of AR to achieve below 60 daysCompiles and sorts documents, such as invoices and expense statements, substantiating business transactions.Audits invoices against purchase orders, researches discrepancies, and approves for payment.Codes data for input to financial data processing system according to company procedures.Assists employees and customers by answering questions related to accounts, procedures, projects, financials and services.Assist Department Controller with reporting and analysis covering monthly and quarterly reporting of financials as well as forecasting of financial figures.About Expro:Expro provides services and products that measure. We improve, control and process flow from high-value oil and gas wells, from exploration and appraisal through to mature field production optimisation and enhancement.With a specific focus on offshore, deepwater and other technically challenging environments, we provide a range of mission critical services across three key areas:Well Test &amp; Appraisal ServicesSubsea, Completion &amp; Intervention ServicesProduction ServicesOur vision is to be the market leader in well flow management, using the industrys best people, to deliver the highest standards of safety, quality and personalised customer service.We provide a range of solutions including:Exploration &amp; Appraisal TestingSubsea Safety SystemsDrilling &amp; CompletionFlowback &amp; Clean-upProductionWell Integrity &amp; InterventionExpros 40+ years of experience and innovation empowers the company to offer tailor-made solutions for customers across the energy sector. With over 5,400 employees in over 50 countries, Expro offers a truly global service solution.","Position QualificationsBachelor's degree in accounting or finance-5 y e a r' s accounting experience- Knowledge and interest in business/economic s (emphasis in oil and gas, chemical, nuclear and renewable energy industries)",,0,0,0,Full-time,,,Oil & Energy,Project Management,1
507,Assistant Property Manager,"US, DE, Wilmington",,,"Hawkeye Recruitment provides cost effective recruitment advertising solutions to help you cast the widest net to find the perfect candidate for your job. We can help improve your recruitment efforts, and streamline your hiring process. ","Assistant Property Manager:  Experienced Assistant Property Manager needed for an SRO in the Wilmington area.  Must have 1+ years experience in property management.  Familiar with HUD 4350, LIHTC compliance and MITAS.  Excellent written and oral communication skills, detail oriented, tenant/management skills and able to be bonded.  ",,,0,1,0,Full-time,Entry level,High School or equivalent,Real Estate,Administrative,0
13936,Brighton Beauty and Fragrance Promotional Staff,"GB, BNH, Brighton",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","We are currently recruiting for an exciting Sales &amp; Customer Service role. We are looking for someone with a passion for sales, beauty and fragrance who is enthusiastic and driven.The ideal candidates will have the ability to demonstrate a fresh approach to sales and be able to work in a fast paced and versatile environment.If you are looking to build your career in Beauty, Promotional work, Sales and Customer Service apply now.The role would suit anyone with the following experience:* Great communication skills* Positive attitude* Be able to hit targets and achieve goals* Be able to work in a customer facing role* The ability to build and maintain strong relationships with clients and customers* Beauty training or make up artisty* Fragrance or cosmetic counter experienceFull product training will be available to all successful candidates.Please click on the link below to apply directlyhttps://b4.#URL_c050d78cefc5b9fd74edaf55bf1e65eb99b3be8c37df0cbef9b053604be1ce85#?AppKey=0e11200093d4b79c99574dd8af67",,,0,1,0,Temporary,,,Cosmetics,,0
7556,Internship: Copywriting & Social Media at a new online Startup,"GB, MAN, ",,,,"Location: Central ManchesterRole: Unpaid internship for 3 months---We have a great opportunity for a budding writer/blogger to gain invaluable experience at a new online startup that is due to launch over the next few months.You will gain first-hand experience and exposure in writing for:- The website- The startup blog- Online Press Releases- Social media campaignsItll also provide a great opportunity to experience an exciting, fast-paced, startup environment.ResponsibilitiesGain experience in:- Writing Press Releases- Writing website content- Writing &amp; running a startup blog- Running a social mediaSuccessful candidatesWill have:- A love for writing and can show examples of previous writing- Are naturally very active on social media (Twitter, Facebook, etc..)What are the prospects for progression?Although this will be an unpaid internship for the first 3 months, the opportunity is there for a full time paid position following the successful internship.How far can you go? Well, one of the founders of this business first joined our previous online venture as an unpaid intern 3 years ago…How to applySend an email and include:- examples of your writing- your Twitter handle- a piece on why you would great for this role- any other examples of your online writing",,,0,0,0,Other,,,,,0
4846,Licensed Optician,"CA, ON, Toronto",Sales Team,,,This job is for a high end boutique eyewear shop. We seek a full-time permanent licensed optician. Our clients are spectacular individuals needing exceptional care. The ideal individual will have a outgoing personality with an ability to provide outstanding customer service. ,"The individual(s) selected must be able to perform a medley of tasks; including, but not limited to: overseeing opening/closing, discerning and exceeding clients' needs, inventory maintenance, supply ordering, and ordering and restocking, maintaining records, etc. Interested individuals should send a PDF resume with contact details.","Competitive salary based on experience will be discussed with you (if you are selected) during your one-to-one interview. Our staff are above par and phenomenally warm, friendly, and excited to meet you; you will be included in a dynamic team, The schedule will involve variable hours (based on retail shopping hours) and will fit your lifestyle.",0,0,1,,,,,,0
2352,Event Sales Executive,"GB, , ",Commercial,,"The Beans Group is a media company that exists to empower young people to thrive.  We have been on an exponential growth spurt since our inception as #URL_8d1ca4faf2d2518ce9ec21b3fbe5c63e4b47f383d6bb1f0a681ff6cc801b4550# in 2005 and in 2013 we won Digital Business of the Year. The Beans Group owns two brands: Student Beans, our youth media brand, strives to make life a little more awesome; and Voxburner, our youth insights consultancy, helps young people to be understood.We have created a business we are passionate about and really proud of. To continue to grow we need brilliant people who inspire and deliver change all around them - that's why we're looking for talented, ambitious and creative folks to come and join us.","Award winning London based Youth Marketing Strategists are looking for a delegate sales executive to help expand their growing international portfolio of Youth Marketing conferences, exhibitions and awards, focussing on this summer's YMS: New York event. This is also available as an internship and is for immediate start.---The Role---You will be a confident, articulate professional able to present and sell Voxburners growing portfolio of international events. This role will be specifically selling delegate places for our YMS: New York event though following the summer you will be concentrating on a wide variety of other conferences, exhibitions and awards events.Success in the position requires boundless enthusiasm and a razor sharp focus on achieving revenue targets. Great written and verbal communication skills are also key, as well as a strong customer oriented attitude. You will be making calls, generating leads and working with clients,  considering and accommodating their needs whilst always closing business time after time!Due to the nature of the role as delegate sales for a summer conference, though this is a permanent role, we would also consider internship candidates. ---The Company---The Beans Group, and our start-up-within-a-start-up, Voxburner, have been on an exponential growth spurt since our inception as #URL_8d1ca4faf2d2518ce9ec21b3fbe5c63e4b47f383d6bb1f0a681ff6cc801b4550# in 2005, adding More Beans and Voxburner as well as standalone campaigns like Freshers Fields and events like Youth Marketing Strategy and the Youth 100, to the stable since then. Were now looking at taking our award-winning products global, from YMS New York to our refactored local offering in 2014.---Apply Now!---If you are looking for a challenging sales role that will give you great international exposure to sales, events and marketing, and you have buckets of drive to succeed, what are you waiting for? Apply now with your CV and a cover letter.","---Experience &amp; Skills Requirements---Highly energetic with the desire to make 80+ calls a day, many of which will be cold callsAbility to generate your own qualified leadsAbility to apply a consultative sales approach Ability to work independently and be self-driven within a team structureExcel at managing multiple tasks at once and perform in a high energy, fast paced environmentYou will be a go-getter with the ability to work in a highly autonomous environmentWillingness to work New York business hours (approximately 11am-7.30pm or 1pm-9.30pm)Good university degree or equivalent - school leavers will also be considered","Multiple Award-Winning Company and Brands; Established Fan Base; Entrepreneurial Startup Culture with Security of being Fully-Self-Funded; Major Growth Plans; Awesome Team; Competitive Salary; In-House Training and Development; International Opportunities; Childcare Vouchers and On-Site Childcare Facilities; Discounted Gym Membership; ad hoc Partnership Discounts (e.g. Tastecard, Local Dining Establishments).",0,1,1,Full-time,Entry level,High School or equivalent,Events Services,Sales,0
14221,Entry Level Sales and Marketing Rep - South Chicagoland Area,"US, IL, Orland Park",Sales,50000-85000,"Advocate Construction is an up and coming leader in the disaster recovery industry, In early 2012, Advocate was founded by two individuals that decided that they wanted something better in life, today their idea, hard work and dedication has grown Advocate Construction into a team of over 25 sales professionals and 3 branches offices in 3 different states with more offices opening soon. Advocate believes in growth of its entire workforce and offers an outstanding management training program. Our Sales managers will provide the invaluable tools needed for you to be successful for many years to come. So if you have what it takes to be part of something great then we encourage you to apply today! The Advocate difference! We believe that hard work and dedication deserves to be rewarded, we provide the opportunity for every single team member to be successful by homing in on a working process and delivering a top notch product plus exceeding our commitment to service. This posture has taken us to the next level of sales and revenue.","Are you looking for an exciting new position and an opportunity to change your life for the better?About us:Advocate Construction is currently expanding our territory in the Chicago Area, due to this exciting growth we are now looking to offer an outstanding opportunity for the right person that is not intimidated by hard work and has what it takes to become part of a company that has increased sales year after year.As a Corporate Representative you will help Advocate Construction in our mission to help homeowners obtain much needed repairs to their homes after they have experienced damage due to a severe storm at little or no cost.Advocate Construction offers our team members the opportunity to enter our Management Trainee Program (MTP) which offers an outstanding focus on sales and business management education; this invaluable training will enable you to acquire an impressive skill set which will lead you into senior management and earn a sustainable income for many years to come.If you are seeking a long term career with unlimited potential and are interested in becoming a Corporate Representative, we invite you to contact us via email with your resume and introduction as to why you are the right person for this outstanding opportunity.","Basic Requirements:Ability to effectively communicate in English, in person as well as by phone and email.Ability to present, pitch and deliver brief customer orientations in person on consistent basis.Ability to work with minimal supervision after paid training has been completed.Must have a pleasant, energetic and empathic demeanor.Must be able to work, secure and canvasses an area on foot.Must be neat and have the ability to represent yourself and the company.Applicants with experience in the service and sales industry will be highly considered.No general experience required just enjoy helping and speaking to people.","What we offer for your hard work:An excellent compensation package, with secured and guaranteed high earnings after just your second week of work.Weekly compensation payout after the first two weeks of employment.Excellent new employee training and on boarding orientation.New Truck 0% Interest financing program with dealership locator assistance.Performer of the month and many other incentive programs.Relaxed and comfortable work environment with casual business dress.Dedicated support team that ensures your homeowners paperwork is completed so you can focus on existing and new client interactions.",0,1,1,Full-time,,High School or equivalent,Construction,Sales,0
5718,WSC Supervisor (Night shift),"RO, B, Bucharest",,,"#URL_c379aa631173ed5b7c345ab3f500a9a053e509138ca70e52c1088e5a784dc8d7# is a modern online travel agency that was founded in Athens in 2005. Today, our company is one of the leading online travel agencies in Greece with presence in over 25 countries in Europe, Asia and America; offices in Athens, Bucharest and Sao Paulo.","Job DescriptionAs a Welcome Service Center Supervisor, you will be responsible for developing our Romanian WSC. You will also be responsible for overseeing daily activities and ensuring the smooth operation of the WSC. The right candidate will provide any necessary training and everyday coaching to the CSRs, as well as performance feedbacks. He/she will also be responsible for providing sufficient reporting and act as a liaison between the Line Operations Manager and the Senior Management Team.*This is a full-time position with a work schedule of 22:00-06:30 plus weekends.Responsibilities- Continuously develop the Customer Support Team that will provide at any time the highest level of customer service.- Ensure resolution of enquiries, complaints and any request for services at the earliest possible.- Develop and Coach CSRs ensuring that everyone is familiar with company policies and procedures.- Promote team work and guide CSRs to work effectively as part of a team, while developing supportive relationships with colleagues.- Monitor CSRs and provide performance feedback to them, as well as the Management Team.- Document, Measure and Report on teams performance.","Required Skills- Very good product knowledge.- Very good knowledge of Computer System.- Very good knowledge of MS Office.- Excellent written and oral communication skills.- Excellent customer support skills and ability to effectively handle customers.- Excellent interpersonal skills.- Very good time management and multi tasking skills.- Leadership skills and ability to coach and motivate others.- Critical thinking and decision making skills.- Innovative, hard-working, self-confident and flexible.Knowledge and Experience- Minimum of 1-2 years experience in a Team Leader position.- Experience within travel industry.- Knowledge of GDS and Call Center Distribution Software.- Experience in call-center environments will be considered an asset.- Excellent level of English.","We Offer- Attractive Remuneration Package.- Work in an international, dynamic and fun atmosphere among young and smart people.- Huge learning experience in using best practices and cutting-edge technologies.- Challenging engineering projects in an interesting and complex travel industry.",0,1,0,Full-time,,,,,0
10814,Customer Service Associate ,"US, AR, Little Rock",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Little Rock, AR. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Prepare materials for mailing including: applying postage, preparing items for shipment or collection, distributing items as needed, ensuring items are properly labeled and documenting data according to management guidelines.Sort, deliver and pick-up mail and packages utilizing mail cart according to established time frames and service standards.Maintain all logs and reporting documentation as required.Receive and log all incoming items, letters and packages, including certified, registered, return receipt, Federal Express, UPS, and all accountable mail.Keep manager advised of associate or employee moves or requests, and other related matters.Copier key-op dutiesAssist with monthly measurement reports as required.Perform other duties as assigned.",Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredExcellent communication skills both verbal and written.Ability to effectively work individually or in a team environment.Competency in performing multiple functional tasks.Ability to meet employer's attendance policy.Basic PC/Windows experience required.Ability to lift and/or move items up to a maximum of 55lbs. or the maximum allowed by current State law with or without accommodations.Ability to stand and/or walk for long periods of time with or without accommodations.Previous experience in a mailroom operation preferred,,0,1,0,Full-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
15582,Test Automation Engineer,"US, OH, Groveport",,,,"Position:  Test Automation EngineerLocation: Groveport , OHDuration:  6+ months Contract to Hire Skills: JavaHTMLCucumberRubySeleniumElectric commanderJenkins  Responsibilities: Write test scenarios using test frameworks such as Cucumber and languages such as Java and Ruby.Produce well-written, efficient, high-quality, self-documenting test code that follows best practices and design patternsDesign, implement and launch highly-visible features for our retail platform using Test/Behavior Driven Development practicesUse your critical thinking skills by doing exploratory testingContinuously grow your skills and value through paired programming and code reviewsPractice relentless automation, continuous integration, continuous delivery and continuous improvementRecommend and adopt new technologies as well as keeping existing technologies on leading edge versionsInterface with the project team to develop a test strategy for each featureReport on quality to project and department leadershipBe an ambassador to the open source community to share your passion for testing innovation and to learn from the testing community ","Requirements:Experience with WebService technologiesExperience with presentation layer technologies, including Javascript, HTML and CSSExperience with Cucumber, Ruby, Selenium, mocking, service virtualization or equivalentExperience with headless testingAble to balance the need for speed of execution with coverage requirementsExperience in relational database programming3+ years developing automated tests5+ years of overall IT experience Preferred SkillsExperience programming in Java and J2EEExperience with Continuous Integration tools (Electric Commander, Jenkins)Proficiency with virtualization technologies such as VMware",,0,0,0,Contract,,,Information Technology and Services,Information Technology,1
11877,Norwegian Inside Sales Representative,"ES, , ",,,,"JOB DESCRIPTION One of our clients is one of the biggest Cloud/SaaS/IT multinational companies and they are looking for hungry and driven candidates to join and start a successful an lucrative career in IT/software sales.Are you an ambitious person?Do you want to earn great money?Are you motivated for growing in an international environment and have a career progression?Do you have 1-3 years within a quota carrying role in software/IT sales?Are you fluent in NORWEGIAN language?If your answers are YES, I want to hear from you TODAY!!","ESIRED SKILLS AND EXPERIENCE:Our client is seeking for fluent Norwegian Sales Executives and Business Development Representatives. The desired skills and experience are the following:Minimum 1 year of a quota carrying role in the IT sector.Excellent interpersonal skills.Mother tongue or highly fluent in Norwegian language.Having worked with sales fields and partners.Goal achiever and targets exceeded.Having carried the prospection of qualiying opportunities and managed the entire life sales cycle.If you meet these requirements and you have a good level in Norwegian, I definitely want to hear from you NOW!Apply today or alternatively send me your CV to #EMAIL_1b76673bab02d6b9d588346fa52d9523ef949ac1c176d9ea74075fa3b5b04909# ","They are offering a generous relocation package and assistance in this way, fast track career progression in a fun and competitive environment, outstanding onboarding and mentoring throughout your career, constant training, as well as free lunches, gym, many social activities, and an excellent salary (basic + commissions + benefits).This an extremely exciting time to join this multinational company. You will get to work closely with experienced, savvy professionals building a great foundation for both your personal and professional future.",0,0,0,Full-time,Entry level,Vocational,Information Technology and Services,Sales,0
12810,Creative Technologist,"GB, LND, ",Creative,35000-50000,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","Come join blippar and help us build awesome augmented reality experiences, on one of the worlds fastest growing image recognition platforms.This is a hybrid role sat between the creative and technology teams. You will become part of the team that is building amazing blipps for the worlds most exciting brands. You will understand the capeability that the platform offers, working with all departments to define and deliver new ways of using augmented reality for a range of campaigns.From games to advertising campaigns; your primary role will be to define, develop and deliver new ways to use the blippar platform. We will expect you to have both a artistic vision as well as the ability to hands on create and build each project in which way you see fit. You will of course be given plenty of training but your ability to pick up ideas and run with them will help you hit the ground running. ","Without doubt an exciting challenge we are looking for experienced creative and technology professionals that share blippar's dream of making amazing things at the cutting edge of mobile technology. Ideally you will have both: leadership ability that will allow you to realise projects through leveraging internal resources and your own technical and creative ability to deliver work. Key in this role is your ability to rabid prototype, i.e quickly assemble working models of apps, games and campaigns. We dont mind where you come from as long as you have a portfolio of amazing work and love working in fast paced environments. Ideally you will have:Knowledge of front end development skillsExperience using Adobe Creative Suite and 3D modeling toolsUnderstanding of mobile app and game designUnderstanding of all emerging technologies across mobile, wearables and ARInnovative and creative flairA passion for making amazing things","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, we love your ideas and want to help you help us make Blippar work better. We also offer:Competitive packageGreat benefits and shares scheme.Flexible working environmentWeekly beers, lunches and the office dogTravelA real challenge and oppurtunity to change the world",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,,,0
16983,Customer Service Positions,"US, OH, Cincinnati",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Under the direction of your team's Marketing Manager, The Customer Service Rep must respond to basic Customer Service inquiries and problem solve by providing information, setting up appointments or directing requests to other professionals involved in the business.The Customer Service Rep is expected to negotiate and provide alternatives and options during all customer interaction. Possess and demonstrated ability to gather information and make appropriate decisions.The Customer Service Rep will have to go through a comprehensive on site training program to learn about our marketing techniques and product line.","YOU MUST HAVE:     1)Proficient PC skills including Word, Excel,      2) Proven ability to work in a fast paced environment with attention to detail      3) Positive and professional attitude in the workplace and when dealing with Customers     4) Accountability and customer Service Skills in order to handle the needs of our customers","WE OFFER:A competitive base plus commissions structure Full benefits provided (health, vision, dental, paid time off, vacation)Paid training",0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
9321,Accountant,"GB, LND, London",Finance team,,"We take the hassle out of finding and booking a cleaner. We are currently in the UK but we have big plans and we want an amazing team. If you want to be part of a rapidly growing tech startup with big ambitions take a look below, pick a job and apply!","About UsWe take the hassle out of finding and booking a cleaner online. We currently help you book a trusted cleaner in under 60 seconds, from your phone, iPad or laptop — but that's just the beginning. Having recently secured funds from Accel (the backers behind Facebook, Spotify, Etsy, Dropbox to name a few) we are set to expand internationally and need to expand our amazing team hence why were looking for a Accountancy support here at #URL_ff63a650f387cb722b8e7880655b3f1e996e58bcc123a222a23f39e1a834837a#. We are genuinely passionate about our customers and freeing up more with their time to do what they want in their busy lives and are looking for an enthusiastic finance professional to provide support. We are also open to those wishing to work flexible hours around family commitments too.","About The Role:Assist with monthly management accounts and other reportingCash book and reconcile bank statements (using Xero)Management of AR / APMonthly PayrollProcess and pay employee expense claimsManual journal entries for sales &amp; VAT, Payroll and accruala / prepaymentsQuarterly VAT return and reconciliationBalance sheet reconciliationsManage Inter-Company Transfers (multi-currency)Assist with year end accounts and auditAbout You:Be an experienced bookkeeper/accountant (minimum 2 years practical experience)AAT Qualified or a Finalist or equivalent; CIMA, ACCA or ACA qualified would be nice to haveIdeally have a very good working knowledge of XeroHave payroll experience; and experience of multicurrency accounting will be an advantage","The standard extrasWere happy to provide you with the machine and software of your choice  to make working here awesomeWe provide free breakfast every day (just let our office manager know what you like) and theres always a pot of great coffee ready in the kitchenSharing winsEvery Friday the whole company gets together to share our wins from the previous week and make sure everyone is on the same page. The simple things, like everyone sharing a meal together, really count.Wed love to hear from you so please drop us a line on #EMAIL_822ee70a2ea24de5b03e096e43012609ec7d5927e2630f1c36701b1927ee852f# and of course any pointers on your salary expectations would be gratefully received. Get in touch!",0,1,0,Full-time,Associate,,Accounting,Finance,0
7796,Customer service associate,"GB, LND, London",Operations,,"We take the hassle out of finding and booking a cleaner. We are currently in the UK but we have big plans and we want an amazing team. If you want to be part of a rapidly growing tech startup with big ambitions take a look below, pick a job and apply!","About Us:We take the hassle out of finding and booking a cleaner online. We currently help you book a trusted cleaner in under 60 seconds, from your phone, iPad or laptop — but that's just the beginning. Having recently secured funds from Accel (the backers behind Facebook, Spotify, Etsy, Dropbox to name a few) we are set to expand internationally and need to build out our Marketing team.We are genuinely passionate about our customers and freeing up more with their time to do what they want in their busy lives.  We enjoy active conversations with them, solving problems because they help us to understand their needs more  and to create a better service for them. We want to continually engage and delight them and this is where you come in! You will be joining a passionate team of 17 based in Vauxhall and there is plenty of opportunity for you to grow upwards as the company expands.ResponsibilitiesWe have awesome customers because we take care of them. Thats your main task!Answering the phone, emails, texts and tweets.We have awesome cleaners because we take care of them. You'll be fielding queries and issues they run into when out and about.You will also play a part in promoting #URL_ff63a650f387cb722b8e7880655b3f1e996e58bcc123a222a23f39e1a834837a# through social media and offline campaigns. You can also get involved in recruiting cleaners, our expansion plans and training up new hires - we are small so you can help craft your own role!","About You- You must have previous customer service experience and enjoy talking to people- You must have excellent English and French speaking and writing skills- You need to be flexible and able to deal with an ever changing environment- You should be good a problem solving, able to take the initiative, be enthusiastic and have a positive attitude- An interest in working in start ups","Salary is £16-20k depending on experience excluding bonus28 days paid holiday per yearEmployee share option planUnrestricted growth opportunities - we want you to grow and rise with the companyA very fun office environment, field trips, free beer and team nights outThe opportunity to join a well-backed, fast growing European start up in the early stages",0,1,1,Full-time,Entry level,,Consumer Services,Customer Service,0
8443,Learning Consultant,"US, IL, Chicago",,,"Everybody agrees that education is important, right? Well, we think important is too small of a word. Transformative? Definitely. Revolutionary? Absolutely. We also think traditional education models are broken...which is admittedly a little weird for an education company.Fortunately, we have a few ideas on how to make things better. Our vision is to change the online learning landscape by combining entrepreneurial methods with academic best practices.We believe that online courses should deliver what they promise.We believe the criteria for amazing online courses isn't objectives or sales, but learning.We believe in providing insane quality and stellar service.Welcome to Learnwise.Learnwise LLC is a learning solutions company specializing in online courses, e-learning and digital learning products. From small businesses to corporations, people come to us with grand visions and we help them turn their learning vision into a reality that serves their customers and their business goals. If that sounds like something you'd like to be a part of, we'd love to hear from you.","Company OverviewLearnwise provides education services and digital learning products for small businesses, corporations and academic institutions. Our vision is to change the online learning landscape by combining entrepreneurial methods with academic best practices. We're looking for creative self-starters with an insane commitment to quality to join our young, growing company. Is that you?Position OverviewThe role of the Learning Consultant is integral to the Companys services. The Learning Consultant (LC) role is a hybrid of Instructional Design and Project Management. The primary role of the LC is to scope projects, identify needs and interface with clients. The LC is also responsible for managing projects, including resources, timelines and deliverables. The LC is expected to work independently and make complex decisions to meet project needs.This is a client-facing position. In addition to scoping projects, the Learning Consultant will be the point of contact for clients and, as such, will manage project-related communication. The LC will also assign, manage and communicate with contractors to ensure project deliverables are completed on time. The LC will work closely with the Owner to define systems and processes to increase quality and efficiency. Additionally, as the company grows, the LC will be an integral part of developing our services model.","EducationRequired: Bachelor's degree in Communications, English or a related fieldPreferred: Masters degree in Instructional Design, or equivalent Experience A minimum of three (3) years Instructional Design, Education Consulting, Adult Learning, or related experience.Minimum of two (2) years of project management experience related to one or more of Learnwise's business offerings: instructional design, e-learning, or digital media development. Key SkillsCreative and Critical Thinking  Able to think beyond limitations and/or existing situations to visualize solutions; alternatively, able to clearly identify critical elements of projects, deliverables and resources and understand how all components work together.Problem Solving Methods/Learning Needs Analysis - Able to identify problems, design problem solving processes, execute analyses, and deliver findings presentations. Solution Design Expertise  Create learning solutions that solve client issues and link to our clients' performance outcomes.Scope Management - Ensure the project includes all the work required to complete the project successfully. Cost Management - Establish labor and cost budgets at project initiation; ensures project team is aware of allocated hours and costs; monitors labor and cost budgets.Opportunity Analysis - Quickly analyze customer needs and make appropriate and effective recommendations. Makes effective use of available resources to support analysis tasks.High Learning Organization Acumen - Possess a solid understanding of instructional design, curriculum design, online course, e-Learning, and the challenges faced by internal Learning organizations, and applies that knowledge to client/prospect conversations and solutions.Strong Interpersonal Skills  Able to interact with persons of various stakeholder levels and business relationships.Strong Organizational and Time-management Skills  Able to handle multiple tasks simultaneously and work independently with minimal supervision.Technologically Proficient  Comfortable working with software applications (SAAS) such as Dropbox, Box, Smartsheet, etc. Proficiency in MS Office, GoogleDrive, &amp; project management software such as Wrike or Basecamp. Primary Responsibilities                                                                                               Serve as primary point-of-contact for project-related communication, requiring broad knowledge of instructional design, course development best practices, resources, and client needs. Manage incoming calls and e-mail communication in a professional manner, which includes responding to project questions, meeting requests and arranging consultations with clients.Consult with clients and end-customers to determine capabilities and define scope for new projects. Interact with stakeholders of all levels while maintaining professionalism and confidentiality.Serve as project manager to ensure deliverables are completed on time and meet quality expectations. Includes defining project goals, scope, deliverables and timeline for each project.Manage project-related communication with contractors, includes clarifying scope, identifying resources, requesting information and ensuring contract agreements and forms are on file prior to engagement. Also includes ensuring invoices are accurate and received in a timely manner.Solve problems quickly and easily during the project development process. Use discretion in managing client relationships and product information.Use Web software services (SAAS) to input, update, and maintain project documentation. Primary applications used include Dropbox, Box, GoogleDrive, and Wrike.         Prepare documents and presentations, as required.Attend planned and unplanned sales calls with the account managers to provide expert consultation based on the clients areas of interest, or the account managers preliminary findings.",Health care and retirement plan options provided.,1,1,1,Full-time,Associate,Bachelor's Degree,E-Learning,Education,0
2655,Consultant Doctor Opening in Saudi Arabia,"SA, 12, AL Hasa",Medicine,0-0,"We the Medical Recruitment Team of Roland and AssociatesRoland &amp; Associates is a Corporate Recruitment Organization providing solutions to Global MNCs for the past 11 yearsWe specialize in High end Recruitments in IT, Telecom, Embedded, Chip Design and Non-IT (Manufacturing, Engineering, Oil &amp; Gas, Infrastructure, BFSI, FMCG, Automobile,Aeronautics, Pharmaceutical, Healthcare and Life-Sciences and Business Advisory) domains. We have a strong team of more than 100 employees operating from multiple offices in Bangalore located on HAL Airport Road.Visit us at #URL_1722ee2d0bc47c66058101f0a811deb4a0991ebf80d1cadd752ef83c41ebfd15# / #URL_387f3642127667d307b8bfb226e4fb0d52ab6c3140cc7d544b8027e21c4fc3a5# and #URL_38dc1f46e3e24b1dc78c6df531cec0dd30529f43f08b4d7a62a4dbfc8377f4cf#",Consultant Doctor Opening in Saudi ArabiaWe have a wonderful opportunity for Consultant Doctors who are interested to work in Saudi Arabia with a leading healthcare groups.Requirements:Pediatric NeonatologistM-ICURegistrar RadiologistOrthopedicianPediatricianGastroenterologistVascular SurgeryGeneral SurgeryInternal MedicineAndrologistCandidate should have minimum 5 years of experience as a ConsultantInterested professionals please mail your updated CV to #EMAIL_0687b9656f843689dea8f44da6cafa830fe2dc77029c46e7b0d0c926dcbc1204#OR Feel Free to call me on +91 80 42821630 (Anoop Gurunathan),Requirements:Pediatric NeonatologistM-ICURegistrar RadiologistOrthopedicianPediatricianGastroenterologistVascular SurgeryGeneral SurgeryInternal MedicineAndrologistCandidate should have minimum 5 years of experience as a Consultant,"Our Client is one of the reputed Health care Group in Saudi Arabia, which is JCI Accredited and 200 Bedded Hospital.",0,1,0,,Associate,Master's Degree,Hospital & Health Care,Health Care Provider,0
4966, Translation Project Manager,"DE, HH, ",,,,"An international translation agency is currently seeking a freelance, telecommuting Translation Project Manager.The position will entail the integration of translation projects into our system, the management of our linguists and regular communications with the Translation Team. Current workload is between 10 and 15 hrs a week, with number of hours likely to increase in the next months.","The successful applicant will be reliable, task oriented, organized and web proficient. Fluent written and spoken English and German required. Must be in a timezone compatible with European business hours.Experience in translation preferred. Previous experience in managing staff, knowledge of Asian languages and DTP experience a plus.",,1,1,0,,,,,,0
14146,Manager of Hardware-Platform Validation Engineering,"US, OR, Portland",,,"Human capital is usually the biggest asset and expense for a company. Making the right hire  the first time  is critical to an organizations ability to succeed in a competitive market. At Talence Group, we take the time to understand our clients and their mission, and match the right talent to this mission.For candidates, we want to make sure that the opportunties we present are a perfect fit for you.  Our goal is to match your core competencies, passion and career focus with great positions that our client companies offer.","As the strategic Manager leading the Platform Validation Engineering Group, you will be working closely with the leaderhship team and key customers to create and drive industry wide enabling solutions for validation. In this critical role, you will be responsible for the technical and managerial leadership to deliver tools supporting validation and debug of multiple platforms spanning mobility to server products.What you will do…Build and communicate a strategic vision with a supporting roadmap for the development of software test and debug tools for platforms spanning mobility to sever products.Transform, lead and develop a geographically dispersed team of validation engineers, software developers, strategic planners and customer support engineers.Influence and partner with all parts of the organization including the architecture and design teams, key technologist, customers and other internal and external organizations.Manage the end-to-end solutions for debug including OEMs, software development, silicon debug and manufacturing test environment.  Develop the software and hardware to enable and/or deliver internal and external solutions; enabling commercial solutions for the market.Ensure successful development of debug tools for platform validation and ensure the quality and suitability of the on-die debug support.Provide the technical leader to deliver tools supporting validation and debug of platforms spanning mobility to servers while increasing the productivity and effectiveness for producers and users of tools.Create an effective method and process that drives a consistent definition of debug silicon support architecture spanning all silicon products.  Provide leadership opportunities to exemplary employees in throughout the organization.  "," Knowledge of the entire product life cycle on tool development with knowledge of how these tools perform in both pre and post silicon environments.10 + years experience in leadership and people management positions.Excellent communicator and strategic influencer.Managed an organization of 100 + employees with large budget responsibilities.Creative, outside-the-box thinker and leader who values employees that challenge the status quo.Strong software development and validation experience including use of Agile or equivalent development methodologies.  Experience in the DFX and development of test and debugging tools.Strong technical knowledge in silicon architecture and micro architecture.Experience with SOC tools and design practices.Strong business acumen and knowledge of the product development ecosystem including experience with third party contacts/licensing and business development.Expert level communicator to build Executive sponsorship and support of programs.Demonstrated leadership skills in motivating, recruiting and retaining top talent for the organization.Ability to proliferate and sustain creativity, a sense of urgency and results driven mindsets within the team.Ability to be an influential change agent and drive transformational change initiatives.Proven ability to develop and drive effective strategic long-term roadmaps initiatives.Ability to influence and manage expectations with leadership team and other critical stakeholders.BS, MS or PhD in Electrical Engineering, Computer Engineering or Computer Science",Total Compensation: $225 - 250K+,0,1,1,Full-time,Director,Bachelor's Degree,Semiconductors,Management,0
4145,Sr. Systems Developer,"US, NY, New York",,,"We design, deploy, and maintain advanced technologies that enable our customers to achieve operational excellence at substantial OpEx savings. Our core strengths include a concentration on networks, their security &amp; optimization with a laser focus on redefining the fulfillment experience. We are driven to add value at every step by providing access to inventory, streamlined credit, integration with your procurement organization and real time status information. These are all reflective of our value-added philosophy.We have developed solutions for leaders from Wall Street to the Web. What makes us different is our concentration and commitment to exclusive relationships with leading vendors.","We are looking for highly skilled developer to join a fast-paced, dynamic and challenging environment managing a global cutting edge security infrastructure. We're seeking extremely motivated, self-directing individuals that demonstrate a natural curiosity and innate interest in technology and security. All candidates must possess a sincere interest in the technological beyond their day to day work responsibilities. Candidates must demonstrate very strong conceptual understanding of security and networking and the ability to work in a global team environment.This is for direct full time employment in midtown Manhattan. Salary is open, based on experience.","Practical Knowledge &amp; Experience:Strong research, analytical and problem solving skillsAbility to write/debug administrative and reporting tools in one of the the following programming languages: Perl/Shell or Python, desired; Java, C/C++, RubySolid understanding of a Linux/UNIX-based operating systemnderstands paging and swapping, inter-process communication, filesystem concepts (inode, clustering, logical partitions)Experience with host security (e.g., passwords, uids/gids, SIDs, file permissions, ACLs, filesystem integrity, use of security packages)Knowledge of Palantir or any SIEM productKnowledge of E-mail  Sendmail and/or PostfixExperience with any of the following systems management and monitoring : Empire Sysedge/SNMP, Nagios or similarExperience with MIT Kerberos, RSA SecurID, Radius, SPNEGOKnowledge of RIA technologies such as Flex, Silverlight, extJS, GWTPenetration testing tools like Tenable Nessus, Qualys, Skipfish, Flawfinder, SWIFTBigData: Hadoop, Elasticsearch/SolRXML/REST/SOAP","At Fivesky, our employees are our greatest asset and the focal point around which we operate, therefore, we always want the best for our employees.  In addition to offering competitive compensation plans and long-term career opportunities.  We offer an attractive mix of benefit plans to our employees that include: a health plan, 401k, provisions for vacation, holiday pay, and sick days.  Employees qualify to join these plans and other sponsored benefits after 90-days of employment.Fivesky is an equal opportunity employer and will consider all applications without regard to race, sex, age, color, religion, national origin, veteran status, disability, genetic information or any other characteristic protected by law.",0,1,1,,,,,,0
15676,Controls Engineer,"US, WI, Milwaukee",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Qualifications Required:Bachelors degree in Electrical Engineering is required.7 years of experience is required. Customer machine build or systems integrator experience is preferred.Knowledge of NEC, NFPA, ANSI standards, UL/CE specifications, customer specifications, technical and maintenance manuals, and procedure instructions.Ability to read, interpret and develop electrical and fluid schematics, electrical drawings, basic mechanical drawings is required.Excellent verbal and written communication skills are required.Knowledge and experience in one or more CNC controls manufacturers is preferred (Siemens 840D; Fanuc 31i / 32i, Haas). Siemens 840D experience is preferred.Knowledge and experience in one or more industrial controls manufacturers is required (Allen-BradleyExperience with PROFIBUS, Ethernet and/or other communication networks a plus.Ability to interpret instructions furnished in written, oral, diagram, or schedule form.Knowledge of Visual Basic and/or C++ is a plus. Proficient in the use of all Microsoft Office products.Excellent time management skills are required.Travel to customer plant locations to participate in machine installation and startups. Must be an aggressive, pro-active team player who is not afraid to dig for information and function autonomously.Job Responsibilities:Engineer custom controls drawings (Electrical, Pneumatic, Hydraulic, Lubrication). Develop PLC and HMI programs (AB, Siemens, Fanuc and others).Develop CNC machine programs and HMI screens (Fanuc, Siemens, Haas and others).Support commissioning during the build, start-up, installation, and runoff phases of projects.Design and program ladder logic to integrate a CNC machine with various other peripheral equipment, including gauging, material handling, robotics, etc.Develop/write operating and control manuals. Support and provide customer training. Maintain effective communication with other project staff, customers, other departments, and outside suppliersParticipate in project teams, providing input in SOWs, Timelines and Action Items lists.Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#",,,0,0,0,Full-time,,,,,0
5722,Junior Developer,"SE, AB, Stockholm",Technology,,"We started making apps the day App Store opened. Initially, we developed iOS apps for other companies, but in 2011 we started developing our own apps. In March 2012, we launched our first own game, Ruzzle. It became an immediate success and rose to the top ten list in 148 countries. While taking Ruzzle to the next level, we have also launched a new quiz game, Quizcross. It has already been on the top ten list for trivia games in over 50 countries. Totally more than 50M have played our games.We thrive on exciting ideas, so stay tuned for more games from MAG.The MAG Interactive team is a group of passionate developers and designers. Our mission is to produce extremely polished and easy to play games that makes you want to tell all your friends about it.The MAG Interactive team is based in Stockholm, #URL_29206d9d6d46b4bc66bba586803a8387427193f1326a4ca4a1afcceaf7b68010# more information, please contact us on #EMAIL_778459cb1331b0e6c32b879ba7b82bc8ff495bc9ac735935d6e64f49b2d97398#","Swedens No 1 is looking for developers that can make a differenceMAG Interactive has rapidly become the fastest growing mobile gaming company in Sweden and an extremely appreciated gaming company worldwide. More than 50 million fans enjoy playing Ruzzle and QuizCross.We are looking for talented junior iOS and Android developers to join our small but skilled team. We believe our team is what makes us unique and we always welcome new additions to our family.If you enjoy entertaining users and posses that extra “something” that makes a BIG difference, we would be delighted to hear more from you and the apps youve been working on. Considered applicants will, during the selection process, be asked to demonstrate their development skills but we guess you already knew that and looked forward to it!"," Qualification     Minimum 2 years of programming experienc     Experience from developing own apps for App Store/Google play     Great eye for details, what looks good and feels good     Good entertainment sense     Perfectionist-       Team player","What we offer-       A dynamic, talented and humble gaming company with great ambitions-       Ability to influence millions of users worldwide-       Competitive salary and benefits-       Offices in the city centre of Stockholm-       Be part of a winning team-       Profitable start-up",0,1,1,Full-time,Associate,,Internet,Information Technology,0
15040,Front-End Developer Viral Growth,"EE, , Tallinn",Development ,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"TransferWho?TransferWise is the clever new way to move money between countries. Co-founded by Skypes first employee and backed by some of planet's most experienced innovators, including Sir Richard Branson and PayPal founder Peter Thiel, we're disrupting the world of currency &amp; international money transfer. That means flipping a gazillion dollar industry on its head and taking power away from banks and the establishment.Located in one of Tallinns start-up and design hubs, were growing at an extraordinary rate and looking for exceptional talent to jump on board.Were currently 150 people strong and handling more millions in customers money every day.TransferWise is a 100% boredom-free zone. If youre looking for a stuffy financial company, the back button beckons, if you want to be part of something innovative and truly exciting, read on.So, what's the role?We're looking for a rockstar front end developers to work in our virality team.","We believe that growth comes from delivering an incredible experience to our customers.  Our customers market us best - and we actively invest in delighting them and encouraging them to advocate our product and services. This drives growth directly through “word of mouth” marketing and our industry leading ""refer a friend"" program. We are looking for developers that would develop and deploy features within our virality team: responsible for growing our customer base through “word of mouth” and referral marketing. Within the team youll be completely empowered to deliver a step change in our viral growth rates.Were looking for someone whos more at home making browsers dance than fiddling with DB queries. If you can build pixel-perfect UIs, know your way around JavaScript and speak JSON, click below to apply. Experience with modern JS frameworks gets us really excited, and you're pretty much hired if you love Angular and it loves you back.We're looking for somebody to:Build elegant web pages using the holy trinity of HTML, CSS and JavaScriptKnow their way around mixpanel and analyticsLay the groundwork for solid, sustainable developmentIs this for you?First and foremost, a brilliant developer.  Someone who takes their craft seriously and takes pride in their workYoure experienced building interfaces with HTML, CSS, JavaScript and JSONYou know your way around Javascript frameworks (Angular (were fans !), Ember and their offspring)You have a strong sense of good UX and the ability to make pixel perfect interfacesStrong knowledge of web standards, CSS-based design, cross-browser compatibilityEnglish as a working languageAlways a plus:No fear of server-side programmingJava/Grails experienceStrong communication skills",You will join one of Europes most hotly tipped startups with plenty of opportunities to grow and the chance to be part of our little revolution,0,1,0,,,,,,0
7171,Java Contract Position (front end development focused),"US, NJ, Piscataway",,,"Even &amp; Odd Minds is a talent-driven consulting firm providing individual consultants, project teams, and strategic outsourcing services to clients in a wide range of industries. We leverage our recruiting expertise to deliver high-end consulting services for engagements in select Information Technology and Engineering disciplines. Founded in 2011, Even &amp; Odd Minds combines international reach with local depth, serving our clients all over North America.  ","The main responsibilities of the individual in this position are definition, architecture, design, development, implementation and maintenance of Clients professional networking and collaboration system. The candidate will provide technical leadership in software application development of the user interface and user experience for clients collaboration solutions, applications integrated with Google Apps and services, and other custom web applications. The candidate is also responsible for the following:* Hands-on development with emphasis on User Interface/User Experience software development* Provides technical guidance to other staff and management* System analysis, design, hands-on development and software architecture proposals* Plays a Subject Matter Expert role in several key areas of business and software systems* Reports progress and activity to project leader and/or manager on a continuing basisThe position includes implementation and maintenance of a state of the art professional networking and collaboration solutions using industry best practices and staying up to date on emerging trends in order to implement them when appropriate. The incumbent will work hand in hand with system and application developers and business partners to define and implement solutions in this area."," Bachelors Degree or higher in Computer Science or related field - A minimum of 7 years hands on analysis, design, and programming experience, out of which at-least 3-5 years are using Java and J2EE- Must have at least 3-5 years of experience in the development of enterprise applications utilizing software frameworks (Struts, Struts 2, Spring)- Must have a clear understanding and hands on experience with Web Services, Web Services Integration, and XML fundamentals- Must have experience of working with and building of REST services- Knowledge of Maven, Ant- Strong front end experience with emphasis on Web User Interfaces development: JavaScript, JavaScript toolkits (jQuery), JSON, CSS, AJAX, mashups- Experience developing rich web applications utilizing HTML5, CSS3, responsive web design- Must have effective communication skills both written and verbal required to effectively present ideas and concepts to the user community- Ability to work as part of a team, as well as with all levels of management- Experience with project life cycle, including design, development, testing, data conversion, system interfaces, and customization, UAT, implementation and production support- Experience of working with a SCRUM agile methodology- Strong preference for candidates with background in social applications development- Certification in Java, J2EE is an added advantage- Experience with Google Apps Engine is highly desired and an added advantage- Google Apps Script development experience is highly desired and an added advantage- Experience with Google APIs: Google Apps Application APIs (Drive API, Spreadsheets APIs, etc) Google Identity Toolkit API, Google OAuth2 API, Google+ API, Goole+ Domains API is highly desired and an added advantage- Experience of working with Bootstrap, LESS is an added advantage ",,0,1,0,Contract,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
1110,Solutions Architect,"US, CA, Manhattan Beach",Consulting,,"The Boston Consulting Group (#URL_45423e1e16703aa4d8ee444d3ad69bb51525f1dad0b3115713cf80dc496299e2#) has launched a new digital business, BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) - a digital innovation, product development, and commercialization firm.  This first-of-its-kind firm will bring unique capabilities to create and grow disruptive digital products and platforms.  BCG Digital Ventures goes beyond providing consulting, design, and technology services to form strategic venture teams with its clients in order to rapidly develop, launch and grow transformational digital products, platforms and businesses.The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy.  BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises.BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries.  Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a world-class professional services organization.","THE COMPANY: BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) The Boston Consulting Group (#URL_45423e1e16703aa4d8ee444d3ad69bb51525f1dad0b3115713cf80dc496299e2#) has launched a new digital business, BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) - a digital innovation, product development, and commercialization firm.  This first-of-its-kind firm will bring unique capabilities to create and grow disruptive digital products and platforms.  BCG Digital Ventures goes beyond providing consulting, design, and technology services to form strategic venture teams with its clients in order to rapidly develop, launch and grow transformational digital products, platforms and businesses.The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy.  BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises. BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries.  Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a worldclass professional services organization. THE OPPORTUNITY: SOLUTIONS ARCHITECTBCG is looking for an exemplary Digital / IT Solution Architect to help drive the rapid growth of BCG's new digital business, BCG Digital Ventures. Our purpose is to help companies imagine, bring-to-life and launch digital businesses and solutions that have transformative customer impact. To do this, weve assembled a group of world-class business / strategy, product design, and technology professionals with deep knowledge and experience in digital businesses and solutions  from mobile applications and ecommerce, to new and emerging digital solutions yet to be realized.Specifically… Be a thought leader in digital platforms and solution architecture, regardless of the screen, device or deployment environment.Design bespoke online and mobile IT architecture, drawing on knowledge of open source and/or commercially available platformsApply industry best practices and design patterns to design scalable, secure solutions that have superior technical performanceDevelop system implementation plans and processes and oversee development, integration, testing and launch of new digital products and solutionsShape and drive implementation of key processes related to digital businessesEngage and in some cases lead rich collaborative problem solving with other domain experts, which include business strategists, information architects, UX / UI designers, developers and industrial / product designersDrive the evaluation of third party suppliers/products and solutionsDesign and establish an accredited 3rd party supplier network of IT / digital delivery partners who can be subcontracted to support large client engagementsHelp hire, develop, and lead a team of system analysts to become solution architects","EDUCATION: Bachelors or Masters Degree (s) in a related field of study (e.g. Comp. Sci.)EXPERIENCE: 5-10+ years of experience in solution / enterprise architecture, preferably within top tier consulting and industry settingsWorking knowledge of online, cloud and mobile platforms, (e.g., Windows Azure, Google App Engine, Amazon Cloud, OS X, Android)Deep experience of driving analysis of how business requirements can be technically implementedExperience with large or complex system integration projectsExperience with IT architecture in retail, media and/or telecommunications industries a plusDeep experience of how business requirements can be technically implementedPossess a passion for digital products and servicesStrong track record of dealing with complex bespoke solutions that require creative and complex investigation and evaluation of alternative architectural solutionsStrong track record of being recognized as a true team leader and mentorStrong written, verbal and interpersonal communication skills.Self-motivated, takes initiative, possesses creative problem-solving skills and willingness to offer suggestions for improvement; able to build effective relationships to leverage resourcesProven diligence, attention to detail and technical intuition.Service-oriented, flexible, positive team player, capable of interacting and problem solving with a multidisciplinary team.An organized and composed professional, able to handle competing priorities and work effectively in a challenging fast paced environment.Exercises judgment in managing confidential / sensitive information; trustworthy, ethical and possesses the highest levels of character and values. Must be able to thrive in a fast-paced, intellectually intense, service-oriented environment and to interpret rules and guidelines flexibly to enhance the business and in keeping with BCGs values and cultureProven Experience working successfully within a complex matrix structured organization is essentialIt is necessary to have the ability to understand and manage complex reporting relationships and incorporate multiple labor laws and cultures. ",Why BCG?Is BCG for me?Career GrowthWorking at BCGMeet BCGersFAQ“Top 5 Fortunes Best Companies to Work for”96% of the staff is proud to be part of BCG92% of alumni recommend BCG as place to workBCG works with 2/3 of Fortune 500 Companies,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Consulting,0
9278,Office Manager,"US, NY, new york",,,,"OverviewProenza Schouler is looking for an experienced Office Manager to work in our SoHo corporate headquarters. This individual will be the first point of contact for building/facilities management, shipping &amp; receiving vendors, messenger services, travel agency booking, office supply procurement, technology vendors, and catering services. The Office Manager will also be required to provide front desk reception support on an as-needed basis.He/She will possess attention to detail, superior time management skills, and the ability to prioritize a variety of responsibilities. A successful candidate will be efficient, process-oriented, and dedicated to being a positive brand ambassador to external partners.The Office Manager will be responsible for spearheading efforts to streamline and improve office operations as well as coordinate an upcoming office expansion.","3-5 years experience in Facilities Management and/or Administrative positionsFull proficiency in Microsoft Office SuiteAbility to work on a small team in a fast-paced, high-demand environment",,0,1,0,Full-time,Associate,,Apparel & Fashion,Administrative,0
948,Graduates: English Teacher Abroad ,"US, GA, Augusta",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport only,See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
17010,Account Executive,"US, NC, Raleigh",,,"Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Your satisfaction, as our client, is important.  We are here to assist you and ensure a efficient, cohesive transaction.  Our experienced settlement officers and attorneys will travel anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services.  For resume review, email to #EMAIL_bbbab0052922a3a922939af2180ff4d9f034ba04634bd573870818da62a0d151# or call Office: #PHONE_113b1bd5d3e5e087c3480c458e2ef299fd3a272612224463899e65ab2fe73995# x7141 Cell: #PHONE_c3853c0e034b798989b048d3a55a28d03177bfde5db40af1c435f6fa5a867868#","This is who we are: Network Closing Services, Inc., a full service Title Company with headquarters in Orlando, Florida.  We are currently in a nationwide expansion.Network Closing Services has been serving Lenders, Real Estate Consumers, and Professionals since 1999.  We provide courteous professional services, speedy title searches, and timely disbursements.  Dynamic flexibility is key to our success.  Our Client satisfaction is very important.  We provide experienced settlement officers and attorneys anywhere in the country, day or night, to perform a closing. Our staff is committed to providing our customers a level of service that is unparalleled in the title industry.  Today's competitive market requires more than dedication and experience. It requires proven expertise, irrepressible vision and tightly orchestrated teamwork. Network Closing Services incorporates these essential qualities into every facet of every service we offer. We successfully deliver innovative, high-volume, regional closing services. Come join a winning team!What we need: An established Sales Representative with a Title Insurance Book of Business.  A motivated go-getter who doesn't take no for an answer. Someone who will be a key part in our continued nationwide expansion.  What you will do: As a sales representative you will be responsible for generating revenue from your existing clients and identifying new clients who can direct title business to Network Closing Services.  Promote our title and closing services to generate leads and referrals.  Develop sales and marketing plan targeting key Realtor, Lender and Builder accounts.  Assist clients with questions, act as liaison between company and client as needed.  Candidate will meet weekly and monthly sales goals set by management.  Candidate will provide reports of sales activity.  Growth opportunityEmmanuel Sanchez#PHONE_dc5cff94cbcc4ac18e1234a2e6521946ae7d722bdf66cfc1af3aeca9255aee0d##URL_1bdaa45fd1ec77ea7879abfceafd610ca4fa9b4eb30eb6fa5f39b9ffac8a8c5a#                                            *Seeking candidates in North Carolina and Nationwide ","What you should know:**Experienced candidates only**A successful candidate will have an existing Realtor, Broker, Lender Book of Business and proven track record of meeting and exceeding sales goals.Must have experience in maintaining and developing long term relationships with key decision makers.Must have excellent communication skills with ability to be assertive and to persuade. Must present oneself and company in a professional manner at all times.Must be accountable and have the ability to change a process or method as needed.Ability to travel at least 50% of the time.  Go out and see lot's of potential clients.Licensed Title Agent a plus or ability to pass a background check.","What's in it for you?We provide a team oriented and rewarding atmosphere, our employees are supported at all levels to achieve a common goal.  We also have the traditional benefits including:Competitive compensation packageVacation PaySick PayHoliday Pay401k PlanHealth, Dental, and Vision Insurance",0,1,1,Full-time,Mid-Senior level,High School or equivalent,Real Estate,,0
728,Outside Sales Professional-Hartland,"US, WI, Hartland",Department,,"ABC Supply Co., Inc. is the nations largest wholesale distributor of roofing and one of the largest distributors of siding, windows, and other exterior building products. Since our start in 1982, we've grown to become a national organization with over 450 locations in 45 states. Our mission is to be the biggest, best, and easiest service company distributing exterior building products. We fulfill that mission by stocking the brands and products contractors need at competitive prices, operating a state-of-the-art delivery system, and actively listening to our customers. We know that we will only be successful when our customers are successful. Thats why we make good on our promises every day.","As an Outside Sales Representative, you must have excellent sales talents as well as the willingness to learn the ABC Supply sales approach. It will also be very helpful to your role as an Outside Sales Representative if you have existing knowledge of exterior building product lines such as siding, roofing, and windows.  This will not only to lend credibility to your sales presentations, but also to assist the Branch Manager in the selection of new product lines. It is also vital for your role as an Outside Sales Representative that you have empathy for your customers and their needs, and always provide them with the best possible service.","Track Record of Sales Success  B2B or B2CNo minimum experience required, but specific industry knowledge is strongly preferredFamiliarity with product lines and the overall building contractor process is strongly preferredPast Experience in a production-measured environment, highly desiredValid drivers license, clean driving record, and own reliable vehicleExceptional verbal and written communication, interpersonal and public speaking skillsProfessional appearance and demeanorSuperior time-management and planning skillsExcellent attention to detail and organizational skillsPositive, team-oriented attitudeSelf MotivatedHigh school diploma or equivalent; college degree preferredStable job historyWillingness to travel within territory, as neededAutomobile and Insurances to company standards","As an Outside Sales Representative, you will receive paid sales training, which will include professional development sessions with veteran ABC sales representatives! You will also receive consistent product training, particularly when we adopt new products and product lines. You will find there is plenty of opportunity for growth as an Outside Sales Representative, both within the role itself, and possibilities in Management. We value your hard work and professional dedication as an Outside Sales Representative, and will reward you with an excellent compensation package. We have a very competitive commission-based pay structure, and you will continue to receive commission on your established accounts with every purchase they make. You will also receive a comprehensive benefits package!",0,1,0,,,,,,0
16824,Marketing Rep Positions (Base Plus Commissions),"US, WI, Madison",,55-72,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.",We are seeking a Marketing Representative for our promotions division. The Marketing Rep will be responsible for contracting area customers who are interested in replacing their home improvement products.The responsibility of the Marketing Rep is to identify potential homes and set up an appointment with home owners that are interested in replacing their home improvement products.Responsibilities:- Canvass designated territory and identify suitable homes that qualify for products and promotions- Contact homeowners and set up appointments to have a Sales Rep demo our products. There is no selling!- Maintain relationship with new and current customers- Attend events to promote out company,"Requirements/Skills:- 1 Year customer service, sales or marketing experience- Motivated, energetic, and positive outlook.- Exceptional communication skills.","We Offer:Attractive compensation plan » base + (no cap, unlimited earning potential)                Average hourly pay is between $15-20 an hour plus commissionsFantastic benefit package » eligible after only 90 days of employment - including generous paid time off plans, medical, dental, 401(k) with company matchCareer growth opportunities in cities throughout the countryPaid trainingFun, friendly work environment",0,1,0,Full-time,Entry level,High School or equivalent,Marketing and Advertising,Customer Service,0
9325,Shyp Hero Courier - Los Angeles ,"US, CA, Los Angeles",,,"Shyp is the easiest way to send anything, anywhere.Here at Shyp, were building the #1 brand consumers and small businesses trust for shipping their packages, and in the process fundamentally disrupting a 220 year old #URL_5e3cdedac8b912c62b6523c7af01360e03d0cb98631134035b2ddbaecdee14dd#re building a customer-centric organization that encourages customer service to permeate the decisions and attitudes of the entire company. Our culture is collaborative, focused and fast-paced. We have an incredible team and are well funded by some amazing investors. Come join us.","Trustworthy. Efficient. Friendly.Shyp is looking for reliable, safe and savvy car and bike messengers (Shyp Heroes) to collect items from individuals and business and safely transport to our warehouse for packaging. Shyp Heroes are the face of Shyp. They directly interact with our customers and bring the magical service to life for our users in Los Angeles and our other expanding cities.What is Shyp?Shyp is an on-demand shipping service that allows people to send items anywhere in the world. Simply take a picture with the Shyp mobile app, and within minutes, a Shyp Hero arrives at your location to collect the items.","Shyp is looking for hard working individuals with a positive, can-do attitude, who want to help change the world and provide a magical experience to our customers. We are building a high tech logistics operation, and we dont compromise on anything to make sure customers trust us with their goods. We offer competitive pay and flexible hours to build a team of the most dedicated and trustworthy Shyp Heroes.Our couriers and drivers are called Shyp Heroes, because they save the world from the pain of shipping.","Use your own bike, car, van etc.No passengers or messy/smelly foodFlexible hoursFun peopleBe part of a magical and revolutionizing service",0,1,1,Contract,Not Applicable,,,Customer Service,0
3051,ECAD Engineer,"MX, CHH, Juárez",,,"Quiénes SomosSomos una firma mexicana de jóvenes especializados en reclutamiento y selección de candidatos excepcionales, recién egresados o que estén desarrollándose en el área de Ingeniería. Surgimos a partir de la necesidad de las empresas de obtener talento humano de alta calidad que permanezca por más tiempo trabajando dentro de ellas. Proporcionamos ""inversiones a largo plazo para empresas excepcionales"". La firma busca innovar y diseñar metodologías de calidad constantemente, las cuales fungen como medio de evaluación de los candidatos que prospectamos, haciéndolo de manera profunda explotando su capacidad al máximo en las áreas de oportunidad que pudieran desarrollar.Nuestra Misión“Formar una sofisticada sinergiaentre el factor humano y el tecnológico,conformando así un proceso de reclutamientoy selección, en el que la alta ingeniería,aplicada al talento humano sea el ingrediente principal""Nuestra VisiónEn M+M planeamos, estratégicamente, ser uno de los mejores lugares para trabajar, en donde nuestros clientes, usuarios y colaboradores experimenten, junto con nosotros, la pasión que sentimos por las metas que nos exigimos día a día.Nos exigimos ser constantes pioneros en desarrollo de tecnologías que simplifiquen y mejoren cada vez más los procesos de reclutamiento con el fin de ofrecer a nuestros clientes una constante evolución de servicios integrales que se adelanten a sus necesidades y las satisfagan de la mejor manera, además con el fin de fortalecer cimientos e impulsar en conjunto proyectos de mayor impacto, planteamos una red de asociados excepcionales que evolucionen constantemente en cuanto a su oferta de servicios tecnológica aplicada a los recursos humanos.Nuestros ValoresLiderazgoAtrevernos a innovar y redefinir los estándares para estar siempre un paso adelante.SecreciaSomos una caja fuerte cuando se trata de resguardar información acerca de nuestros clientes y usuarios.IntegridadSabemos manejar de la mejor manera los valores personales de nuestros candidatos y usuarios.CompromisoLo que prometemos, no solo lo cumplimos, sino que lo hacemos con la mayor calidad posible.HonestidadRealizamos nuestras actividades de la manera más clara y transparente posible.CalidadGenerar una experiencia superior a través del intensivo cuidado y mejora continua de cada detalle. PasiónTodo lo que realizamos tanto en el ámbito profesional como personal lo hacemos con la razón y el corazón.SolidaridadImpulsar el talento en equipo a través del mejor ambiente laboral. Impacto SocialGenerar un importante impacto social a través de nuestras acciones y dejar huella a través de cada proyecto en el que estemos involucrados","Trasnational company, leader provider of end-to-end IT services and solutions for 1000 global companies because of expansion, looks for the best talent in engineering for automotive field.",#NAME?,Growth opportunity in a trasnational TI company.,0,1,1,Full-time,Mid-Senior level,,Automotive,Engineering,0
7278,Linux Engineer with AWS,"US, NY, New York",,50-90,,"We are looking for candidates who would help build and manage a significant AWS infrastructure to support millions of online users.- Work closely with the development team to build automation tools - Help to better the continuous deployment process - Work heavily with Puppet for configuration management - Build out Linux and AWS infrastructure - Support large-scale video processing of live eventsThe salary is open, depending on experience.","- Experience supporting AWS  - Expert knowledge of Linux Systems Engineering - Experience supporting databases (MySQL, PostgreSQL) - Practical experience with most if not all components of AWS: EC2, Beanstalk, ELB, Route53, S3, Cloudfront, SNS, SWF, SQS, RDS, DynamoDB, ElastiCache, IAM, CloudFormation. - Familiarity with SOA principles and practice. - Experience reading, analyzing, and absorbing AWS white papers, architectural documents, and technical briefs. - Service rollout plus complete multi-cycle SDLC experience, using Chef, Puppet, CloudFormation, etc. - Exposure to AWS auxiliary tools and practices (e.g., Chaos Monkey, Asgard, etc). - Hands-on experience with monitoring tools, intrusion detection mechanisms, and vulnerability assessment tools and practices. - General scripting wizardry. - Self-motivated team player who demonstrates initiative and flexibility. - Strong organizational skills, with the ability to handle and prioritize multiple tasks. - Experience supporting high traffic web environments",Fast Growing Company.,0,0,0,Contract,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Information Technology,0
13042,Product Engineering Manager,"HK, , ",,,"Lifehack is widely recognized as one of the premier productivity and lifestyle content sites on the web. With over 10 million readers from all over the world, we are one of the fastest growing online publishers in the world. Through useful and practical content and tools, we want to improve every aspect of people's lives.  "," Lead market and user research and develop strategy and product roadmap for Lifehack blog.Work with blog editors and the engineering team to drive and manage the development of Lifehack blog and related products.Monitor the workflow of Lifehack including writers management and blog articles publishingIdentify, monitor, learn and experiment with new and alternative strategies on Lifehack readers engagement.Analyse blog performance based on reports and metrics."," Strong problem-solving, time management and analytical skillsLogical thinkingSensitive to metrics and statisticsGreat communicator who is driven and self-startedAbility to quickly grasp new learningsGood command of both spoken and written English", 5 days workFlexible working hoursStand-up desks availableEnergetic office with card games and video game consoleReading cornerRegular social activities and company gatheringsFully-stocked pantry,0,1,0,Full-time,Entry level,Bachelor's Degree,Internet,,0
5409,Embedded web hacker,"GB, , London",,,"You don't need to be a Linux guru to hack on embedded devices like the Raspberry Pi. All you need is JavaScript.Use the same workflow, libraries, and tools you use to code your #URL_a58bd7bd48420a1f4774598bc5f1451bdcc79baee91a357c1d69e8aede501d73# and browser applications today. Let us worry about the infrastructure, so you can focus on your application!And when the time comes to deploy to more than one device, we've got you covered.Javascript on your devices, as simple as 'git push'!","We are team of coders, passionate about web technologies and using them in new and strange places. We are currently getting ready to launch a new product and we are looking for talented engineers to help us accomplish our goal. We need our engineers to be passionate about new technologies and to enjoy solving related to technology problems as we walk the innovation path.As a web hacker, you take pride in your work and are passionate about good code. You can't imagine starting a new project without version control and have a portfolio of code to show on GitHub or otherwise. Your job will be to combine cutting edge technologies (WebRTC, #URL_a58bd7bd48420a1f4774598bc5f1451bdcc79baee91a357c1d69e8aede501d73#, Raspberry Pi, firebase, you name it) into impressive open source demos of the #URL_d42bc3aa587b3ab44e5a56e2c65baf74f20d6b2191736c9f09611197a29bb2dd# platform. Expect to have to learn new technologies and APIs constantly as a part of your job.The ProcessSend us your CV, with a focus on what you can bring to the team. Repository of code we can review is a requirement.If we like what we see, we will contact you for a video call. Some programming questions may be asked but no high-pressure whiteboard coding. We may give you a few days to learn an unfamiliar technology or API and demonstrate understanding with live coding during the interview.The next phase is a paid consulting project. That is, we will pay you to complete a small/medium project involving existing or new code.The candidates we are happiest working with, and produce the best result, will be offerred a full-time job with us.About #URL_d42bc3aa587b3ab44e5a56e2c65baf74f20d6b2191736c9f09611197a29bb2dd#We ...... are team of coders, passionate about Web technologies and using them in new and strange places.... have created embedded, tablet, mobile, and web applications, all with JavaScript both on server and client side, over the last 2 years.... are currently getting ready to launch #URL_d42bc3aa587b3ab44e5a56e2c65baf74f20d6b2191736c9f09611197a29bb2dd# and we are looking for talented JavaScript coders to help us demonstrate our platform.... are based in the UK and Greece but are set up around online tools, so your location not important, your skills are.... use Coffeescript, NodeJS, AngularJS, RequireJS, Grunt, Postgres, and a long list of less well known technologies.Knowledge of these technologies a definite plus, but can be overcome by demonstrating willingness to learn, deep knowledge of JavaScript, the Web, and coding-in-general.","You ...... are a productive coder, experienced in the whole spectrum of web technologies.... take pride in your work and are passionate about good code.... can't imagine starting a new project without version control.... are an excellent communicator, fluent in English.... have a good internet line available so you can join a video call without trouble.... are comfortable taking on a project and pushing it to completion without too much management.... have a portfolio of code to show, on GitHub or otherwise.... (optionally) are able to describe your projects to a wide audience in written form.If so, join us!Skills &amp; RequirementsWe use CoffeeScript, #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659#, and #URL_9078e8368d89dcdbf1ed26064be556e5b47144133bf647a7deaa954f8ec0bdb4#. Besides this, just be willing to learn and work with us, and maybe you can teach us a few things too. Paramount is a love of open source and an ability to pick up new skills fast. An interest in embedded hardware devices like the Raspberry Pi is a requirement. ","Competitive salary, depending on skills and experienceRemote workingBleeding edge technologiesFlexible working hours (we care about what, not when)Time to learn new technologies on the job",1,1,0,Contract,Not Applicable,Unspecified,Computer Software,Information Technology,0
15116,English Teacher Abroad,"US, AR, Little Rock",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
12316,Quality Assurance (QA) Engineer,"US, NY, New York City",Engineering,,"Namely is the leading end-to-end HR and payroll platform for growing companies. Offerings include human payroll, benefits administration, time management, human capital management, performance management, and employee engagement. In addition, each company is assigned its own account manager, available 24/7 for ongoing support and training for each of Namelys features. Namely is used by some of the worlds most innovative and exciting companies from many industries, including media, technology, commerce, and professional services.","Namely Inc New York, NY (relocation offered)Namely is an agile startup dedicated to building an end-to-end Human Capital Management platform for businesses of all sizes  some of the worlds most innovative and exciting companies from many industries use Namely for HR Management. Our users are varied (HR administrators, managers, and average employees), and our clients each have their own culture and policies.Developers work out of Greenpoint, Brooklyn.","ResponsibilitiesDesigning, developing and executing test plans for the Namely product suite.Working with product and UX team in documenting features to be developed and tested.Working with engineering team to develop new testing tools/techniques for better product test coverage.Reporting and tracking issues found, and communicating with teams to resolve.Requirements:QA experience in an Agency, Startup, or Enterprise environment for Web Applications.An understanding of problem isolation, writing test plans, test matrices, QA testing methodologies, and debugging.Comfortable working with and communicating with all teams. Able to translate high level ideas between tech and non-tech individuals.Excellent knowledge of current internet technologies and patterns.As a plus, you have working knowledge of one development language.",,0,1,0,,,,,Engineering,0
7488,IT Security Customer Service,"US, NC, Cary",,,,"IT Security Customer Service Team Profession: IT Security VDR Service Team 24X7 Desk SupportRegion: GlobalLocation: Cary, NC Reporting to: Global IT Customer Service Team Lead Role DescriptionSummary:IT Security VDR Service Team members raise workflows to initiate the provisioning process.   This role also tracks progress of IT Service provisioning requests from request to delivery, provisioning, invoicing through the lifecycle of the request.Tasks / Responsibilities:Provide hands-on support to a Global Bank IT Service requesters and users.Guide requesters through the end-to-end process of IT Service provisioning:Stage 1: Submit IT Service RequestStage 2: Approve IT Service RequestStage 3: Generate Work OrderStage 4: Sign Work OrderStage 5: Submit POStage 6: Open IT ServiceStage 7a: Approve POStage 7b: Reject POStage 7c: PO Not Approved within X Days   Stage 8: Request CompletedProvide consolidated tracking and communication across the weekday and weekend activities.Track and manage committed delivery dates for IT Service solutionsInterface to internal Global Bank and external IT Service vendors to complete IT Service Work Orders, POs and other IT Service provisioning artefacts throughout the IT Service provisioning process.Interface with IT Services to ensure the timely delivery of any requests.Provide early visibility of and appropriate escalation for 'at risk' delivery milestones.Monitor IT Service Provisioning Governance process to identify bottlenecks to solution delivery and to chase any team with overdue tasks and assist with the removal of any obstacles.Assist IT Service Provisioning Governance Continual Service Improvement team to transition to new processes and tools to mature the provisioning process.Assist in IT Service regulatory reporting and governance activities.  ","Experience / Exposure / Education / Certification2 years of experience in an IT environmentFamiliar with workflow management systems such as Remedy, Oracle BPM, ServiceNowFamiliar with Enterprise Procurement Systems such as Ariba.Type 55+ WPM with in depth experience of using  MS Office applications especially ExcelHighly developed organization skills and attention to details is a must!Good communication skillsFlexible schedule",Contracted Work (1099 job):  30-48K ($100-$200 daily- depending on experience),0,0,1,Contract,Entry level,Bachelor's Degree,Information Technology and Services,Customer Service,0
6665,International Support Personnel,"FI, , Helsinki",,2000-4000,"#URL_31fdc354999cbb96507ebbe4e9c4aa7eed5edd0dd1c0816b36e0f4c681f01fac# is a marketplace for Bitcoin, everyones favourite cryptocurrency. The site is one of the most popular person-to-person bitcoin exchange places in the world, now growing at the pace of 1000 new users a day. As Bitcoin is a currency without borders, so is our site: the users come from over 200 different countries.LocalBitcoins Oy, the parent company of the site, is cash flow positive, bootstrapped and has not raised any venture capital yet.Currently we have four people working full-time on the site, and several freelancers/contractors. We are looking to grow explosively. Our goal is to bring Bitcoin everywhere in the world. We need the most ambitious and brightest minds to achieve this. International background is a big plus as all of our customers are and will be outside Finland.Our offices are located at Kalasatama area, Helsinki, named as one of the hottest startup cities by Wired Magazine. We wish that you could be present at the office at least two weeks per month, except for international support personnel who can work in their corresponding countries.As we are a startup, our positions are more directional than specific. Flexibility, ability to step out from your comfort zone and open-mindedness is a good attitude for this job. Also interest in Bitcoin and similar crypto-tech is definitely a plus!","Our site has users from 200+ countries, and our aim is to be truly global connector in the Bitcoin space. The site is already translated in Chinese, Russia, Spanish, Portuguese etc. At first, your job would cover managing the translation process, but later become an active community manager/support person/dispute resolver.The job can also be combined with other areas of interests, such as community management, marketing, etc.",Fluent major native language outside EnglishFluent englishInternationally focusedAbility to make rational decisions under pressure,Meaningful equity/option package at an early stage companyOwn your own projects from conception to launchHealth insuranceA new MacBook computer or iMacThe option of getting paid in BitcoinWork whenever you work best (flexible hours)Flexible vacation - take time off when you need itWork on a big idea that is changing the world,0,1,1,Full-time,Entry level,Associate Degree,Financial Services,Finance,0
16612,Customer Service Reps,"US, WI, Madison",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","Job Description LEI  is seeking an experienced Customer Care Representative. This position is responsible for educating potential customers to the benefits of a free in-home window consultation, and scheduling the consultations for our sales representatives. Essential Functions: 1. Provide an exceptional customer experience. 2. Speak to potential customers, schedule appointments, answer basic product and installation questions, confirm the appointments made, and following up on customer inquiries","QualificationsKnowledge, Experience, and Skill: • Experience in sales and/or customer service; • Superior organizational and multi-tasking skills; • Skill in establishing and maintaining professional relationships; • Professional, empathetic and friendly tone • System's literate (Microsoft Office); • Must also have a strong work ethic, be willing to take on new tasks and learn new skills, extremely detail-oriented, have an outgoing personality, and enjoy working with the public;  • Flexible hours; • A team-player is a MUST!",,0,1,0,,,,,Customer Service,0
9464,English Teacher Abroad ,"US, PA, Slippery Rock",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
16635,Web Designer,"GR, I, Athens",Creative,,Tribal Worldwide Athens is a digitally centric advertising agency that draws no lines. ,We're looking for a full time Web Designer to join our expanding team of over-enthusiastic creatives. You must have an eye for details and love pixel perfect positioning.,,,0,1,1,,,,,,0
9982,English Teacher Abroad ,"US, CA, Berkeley",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
5926,Siebel Integration Developer ,"US, MI, Grand Rapids",,,"Saras America's Microsoft Center of Excellence can help you:Design and develop robust, scalable .NET applicationsDevelop web services using the .NET framework and the SOAP toolkitRe-engineer applications to .NET from legacy systemsMigrate web and desktop applications to .NETManage application upgradesDevelop application interfaces (APIs)Enable remote/mobile access to applicationsTools and Technologies We build visually rich web applications and complex transactional business applications with Microsoft's .NET framework containing Windows Presentation Foundation (WPF), Windows Communication Foundation (WCF), Windows Workflow Foundation (WF), .NET services, etc. Saras America uses Microsoft platform products such as Windows Server 2005/2008 with virtualization technologies such as Hyper-V to build applications that can scale and allow server consolidation to reduce IT investments. SQL Server forms reliable data platform for most applications built using Microsoft .NET environment. Saras America has built many complex, innovative and mission critical applications utilizing the SQL Server database technologies. Saras America can help you develop custom Business Intelligence (BI) and reporting application that analyses and reports from a variety of structured and unstructured data. Saras America helps customers develop and deploy a number of solutions including custom branding, workflows, collaboration, departmental solutions and custom portals using Microsoft SharePoint technologies. We use appropriate technologies such as Silverlight along with .NET technologies to create applications with compelling user experiences in a rapid timeline. Saras America can also build Open Source web applications for small and medium businesses using the Microsoft Web Platform.","Siebel Integration Developer (1):• 7-8 Years Experience with Siebel application• Good to have Siebel 8.1 Public Sector experience• Good to have Siebel integration with OPA• Must have an advanced demonstrated proficiency using Siebel Tools, EAI, eScript, EBC's, Integration objects, Web Services, HTTP.• Must have created and supported Siebel Inbound and Outbound web services.• Must have created Siebel technical design documents.• Worked on Siebel Configuration, Scripting, Workflows and EAISkills: SQL, Web Services, Web Services Tools, XML Transformation, integration real-time, batch, sync/async). Top 3 skills for this role: 1) Siebel CRM Implementation2) Must have an advanced demonstrated proficiency using Siebel Tools, EAI, eScript, EBC's, Integration objects, Web Services, HTTP.3) Must have created Siebel technical design documents.Nice to have Siebel Server Admin Experience Siebel Integration Developer (2):• 7-8 Years Experience with Siebel application• Good to have Siebel 8.1 Public Sector experience• Good to have Siebel integration with OPA• Must have an advanced demonstrated proficiency using Siebel Tools, EAI, eScript, EBC's, Integration objects, Web Services, HTTP.• Must have created and supported Siebel Inbound and Outbound web services.• Must have created Siebel technical design documents.• Worked on Siebel Configuration, Scripting, Workflows and EAISkills: SQL, Web Services, Web Services Tools, XML Transformation, integration real-time, batch, sync/async). Top 3 skills for this role: 1) Siebel CRM Implementation2) Must have an advanced demonstrated proficiency using Siebel Tools, EAI, eScript, EBC's, Integration objects, Web Services, HTTP.3) Worked on Siebel Configuration, Scripting, Workflows and EAI Skills: SQL, Web Services, Web Services Tools, XML Transformation, integration real-time, batch, sync/async)."," Siebel CRM Implementation2) Must have an advanced demonstrated proficiency using Siebel Tools, EAI, eScript, EBC's, Integration objects, Web Services, HTTP.3) Must have created Siebel technical design documents.Nice to have Siebel Server Admin Experience",,0,1,1,Contract,Mid-Senior level,,,,0
12356,Outside Sales Professional-Greater St. Paul Area,"US, MN, St. Paul",,,"ABC Supply Co., Inc. is the nations largest wholesale distributor of roofing and one of the largest distributors of siding, windows, and other exterior building products. Since our start in 1982, we've grown to become a national organization with over 450 locations in 45 states. Our mission is to be the biggest, best, and easiest service company distributing exterior building products. We fulfill that mission by stocking the brands and products contractors need at competitive prices, operating a state-of-the-art delivery system, and actively listening to our customers. We know that we will only be successful when our customers are successful. Thats why we make good on our promises every day.","As an Outside Sales Representative, you must have excellent sales talents as well as the willingness to learn the ABC Supply sales approach. It will also be very helpful to your role as an Outside Sales Representative if you have existing knowledge of exterior building product lines such as siding, roofing, and windows.  This will not only to lend credibility to your sales presentations, but also to assist the Branch Manager in the selection of new product lines. It is also vital for your role as an Outside Sales Representative that you have empathy for your customers and their needs, and always provide them with the best possible service.","Track Record of Sales Success  B2B or B2CNo minimum experience required, but specific industry knowledge is strongly preferredFamiliarity with product lines and the overall building contractor process is strongly preferredPast Experience in a production-measured environment, highly desiredValid drivers license, clean driving record, and own reliable vehicleExceptional verbal and written communication, interpersonal and public speaking skillsProfessional appearance and demeanorSuperior time-management and planning skillsExcellent attention to detail and organizational skillsPositive, team-oriented attitudeSelf MotivatedHigh school diploma or equivalent; college degree preferredStable job historyWillingness to travel within territory, as neededAutomobile and Insurances to company standards","As an Outside Sales Representative, you will receive paid sales training, which will include professional development sessions with veteran ABC sales representatives! You will also receive consistent product training, particularly when we adopt new products and product lines. You will find there is plenty of opportunity for growth as an Outside Sales Representative, both within the role itself, and possibilities in Management. We value your hard work and professional dedication as an Outside Sales Representative, and will reward you with an excellent compensation package. We have a very competitive commission-based pay structure, and you will continue to receive commission on your established accounts with every purchase they make. You will also receive a comprehensive benefits package!",0,1,0,,,,,,0
11880,Sales ,"US, FL, Fort Lauderdale",,,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#",I Hold The Key (#URL_eb0e517114169ed4fcba310a757ba1aeedbba2033f7da82cb13fb864d668d9d6#) is looking for Account Executives in Broward County.We sell monthly advertising packages.Account executives earn residual income plus bonuses.Job may require some nights and weekends.Must have reliable transportationSales experience preferredSome sort of college preferredPlease E-mail your resume to: #EMAIL_eb47c2e1b2e3bd1cee1a6b45935c3054a5ec33f34eaa006589db615a8432a427#,Positive AttitudeGood Work EthicTransportationSales Experience,Commission + Residual + Bonuses,0,1,1,Full-time,Entry level,Unspecified,Marketing and Advertising,Sales,0
16458,Housing Advocate,"US, MN, St. Paul",Early Head Start,,"Community Action Partnership of Ramsey &amp; Washington Counties (Community Action) was established in 1964 as a program of the War on Poverty. Community Action Agencies became the service delivery arm of the Federal Office of Economic Opportunity. As the only continuously funded anti-poverty program in the country, our mission is to reduce poverty and its impact on people in Ramsey and Washington counties.Community Action is involved in grassroots public policy and community engagement activities, such as voter registration drives, legislative hearings, advocacy and education activities. ","Job SummaryUnder the direction of the Early Head Start Manager, this position is responsible for supporting families enrolled in the Early Head Start Home Visiting program with their housing needs.  Duties include meeting with participants in their current living environment, assessing and identifying their needs and developing a plan to meet those needs.   Advocacy activities include assisting families in finding emergency shelter, landlord/tenant education and mediation, locating and showing properties, providing case management services, recording and reporting outcomes, and coordinating with other housing programs and support services to meet each familys unique needs.Essential Functions (Not All Inclusive)Partner with families to assess their housing needs and develop a plan to meet those needs. Assist with housing searches and placement activities.Work with the family and assigned Home Visitor to develop a goal plan specific to the familys needs and resources.  Monitor progress towards goals and support the family in becoming self-sufficient.Provide and document advocacy activities on behalf of participants.  Assist in achieving and sustaining self-sufficiency.Maintain a quality customer service process for crisis intervention and educate participants on payment plans, county assistance, and additional resources.Partner with the Early Head Start Registered Nurse to support the familys public health needs as related to their living conditions.Provide families with accurate and complete information about community resources, and assist and advocate for them in accessing services to best meet identified needs. Maintain required documentation of referrals and outcomes.Assist in developing and facilitating parent groups and workshops.Develop housing resources and relationships with landlords, area housing consortiums, and state agencies.Assist families with legal issues such as eviction notices and unlawful detainers.  Attend court with families when appropriate.Establish and maintain collaboration with other Community Actions services, emergency service providers and community resources to better meet the participants basic needs.Attend staff meetings, other meetings, workshops and program-specific training sessions when appropriate. ","QualificationsAA Degree in Human Services or related degree and 2 years of experience working with children and/or families in diverse communities, or an equivalent combination of education and experience to successfully perform the essential functions of the job.  Bachelors Degree preferred. Valid drivers license and reliable transportation on a daily basis required.Must have the ability to relate to and communicate effectively with a variety of multi-ethnic and socio-economic groups and/or individuals, including non-English speaking families.Strong record keeping, writing skills and computer proficiency required.Experience working in a home visiting setting highly desirable.Knowledge/experience in case management and housing resources advocacy preferred. Knowledge of low-income housing arena preferred.Must be able to navigate and enter data in a complex database.Must be able to lift 50 lbs, climb stairs and navigate in the community.Knowledge of Head Start preferred. ","Benefits: Community Action offers excellent benefits including generous paid time off (PTO), paid holidays, health, dental &amp; life insurance, retirement, employee discount programs and more.Salary:   $19.02/Hr;  $19.50/Hr. - Bilingual  Languages:         Karen preferred Schedule:            M-F, 40 Hours/Week, Full Year                                EEO/AA/ADA Employer",0,1,1,,,Associate Degree,,,0
14972,Receptionist - Wellness Practice,"US, KS, Shawnee",,,,"Hiring: Front office receptionist with a positive attitude, genuine heart and ability to make people feel loved!We are growing family wellness practice seeking a self starter to complete tasks from beginning to end with a smile! We are looking for someone that enjoys living a healthier lifestyle and wants to help others in doing the same. This position will be the “First Impression” of our office; therefore, one must be able to handle multiple issues while maintaining an optimistic approach.Job DescriptionProvide reception duties: open/close office, manage phones, greet and direct visitor'sMaintain calendars and doctor's appointment schedulesPatient check-in / check-out, collect payments, schedulingInsurance benefits verificationEducate patients about products and services to enhance their livesDaily office duties: email, mail sorting, scanning, faxing, return callsMaintain inventory and supplies35-40 hours per week","Must take PRIDE in work tasksSuperb Customer Service skillsStrong knowledge of Microsoft Windows and internetProficient in Microsoft Office: Word, ExcelPrevious front desk reception and insurance experience preferredMust be able to thrive in a fast paced environment but self-start during internal office hours","Compensation is determined on an individual basis depending on previous experience and job skills. Benefits including 401K, health insurance and paid holidays are available based on performance reviews.",0,0,1,Full-time,,,"Health, Wellness and Fitness",,0
622,"Technical Recruiter San Francisco, CA","US, , ",Recruiting HR,,,"Responsibility: Will work closely with account managers and client's managers for their temp resources needs.Contact candidates via phone calls and emails, validate their qualifications, working permit (visa or citizenship), corp to corp terminology, tax terms, maintaining hot lists and keep people motivatedMaintain candidate pipelines and submit qualified candidatesCoordinate phone or onsite interviews with hiring manager and candidates.Experience in entire cycle of corp to corp arrangements.Source candidates through innovative techniques.","Very good communication skill, can articulate hiring manager's requirement on both technical and soft skillsGood negotiation skills and can convince people easilyHas experience with major search engines such as #URL_6844a27a2c682d6341050815a35ca63600177b334c0a541a0cccdd2d9cfaff12#, Careerbuilder, LinkedIn, #URL_fe1bd712f9d4d34b5653986ee7a6746f0b00f5edbaf0b1cd5eb394ca464d7a32#, Craigslist, etc.",,0,0,0,Contract,Entry level,Unspecified,,Human Resources,0
8302,Entry Level Sales,"US, KS, Topeka",,55000-75000,,"General Summary: Achieves maximum sales profitability, growth and account penetration within an assigned territory and/or market segment by effectively selling the companys products and/or related services. Personally contacts and secures new business accounts/customers.CORE FUNCTIONS:•Promotes/sells/secures orders from existing and prospective customers through a relationship-based approach.•Demonstrates products and services to existing/potential customers and assists themin selecting those best suited to their needs.DETAILS OF FUNCTION:•Establishes, develops and maintains business relationships withcurrent customers and prospective customers in the assigned territory/market segment to generate new business for the organizations products/services.•Makes telephone calls and in-person visits and presentations to existing and prospective customers.•Researches sources for developing prospective customers and for information to determine their potential.•Develops clear and effective written proposals/quotations for current and prospective customers.•Expedites the resolution of customer problems and complaints.•Coordinates sales effort with marketing, sales management, accounting, logistics and technical servicegroups.•Analyzes the territory/markets potential and determines the value of existing and prospective customers value to the organization.•Creates and manages a customer value plan for existing customers highlighting profile, share and value opportunities.•Identifies advantages and compares organizations products/services.•Plans and organizes personal sales strategy by maximizing the Return on Time Investment for the territory/segment.•Supplies management with oral and written reports on customer needs, problems, interests, competitive activities, and potential for new products and services.•Keeps abreast of product applications, technical services, market conditions, competitive activities, advertising and promotional trends through the reading of pertinent literature and consulting with marketing and technical service areas.QUALIFICATIONS:Demonstrated aptitude for problem-solving; ability to determine solutions for customers (consultative sales approach). Must be results-orientated and able to work both independently and within a team environment. Must possess excellent verbal and written communication skills. Proficiency in using Microsoft Office Suite applications and contact management software. Valid drivers license.",,Great Health and DentalFast Advancement OpportunitiesGreat Income PotentialCompetitive Bonus ProgramCar Allowance,0,0,0,Full-time,Entry level,High School or equivalent,Financial Services,Sales,0
13864,Superstar (Store Manager) - St. Louis Galleria,"US, MO, St. Louis",Retail,,"Ready to let your star shine? Discover the career youve been dreaming about at AvaStars where… The Star Inside is YOU! At AvaStars, were dedicated to making dreams come true and creating entertainment retail that is highly personalized and aspirational. Were currently looking for “A” players to capitalize on this once in a lifetime opportunity. Our senior leadership team is known for the success of Mattel, Pokemon, Build-A-Bear Workshop, LeapFrog and Waterbabies. Join us by getting in on the “ground floor” in the development and implementation of the “next big thing” destined to change the face of retail and the way children play. AvaStars is an equal opportunity employer committed to providing a diverse environment.#URL_77edd0eb5baaf205d0de93d32fc2471370b249e83cdfaacc8c0a5f00c51b8e15#","Ready to let your star shine? Discover the career youve been dreaming about at AvaStars where…The Star Inside is YOU! At AvaStars, were dedicated to making dreams come true and creating entertainment retail that is highly personalized and aspirational. Were currently looking for “A” players to capitalize on this once in a lifetime opportunity. Our senior leadership team is known for the success of Mattel, Pokemon, Build-A-Bear Workshop, LeapFrog and Waterbabies. Join us by getting in on the “ground floor” in the development and implementation of the “next big thing” destined to change the face of retail and the way children play.Were currently looking for a Full-Time Superstar (Store Manager) whos inspirational, entrepreneurial, and dynamic to lead our team at the Saint Louis Galleria.Our Superstars enjoy …Bringing the vision of the company to life.Creating engaging and memorable guest experiences for children and families, not just selling products.Using their entrepreneurial spirit and thinking like a successful business owner.Being flexible self-starters that can make great things happen with little guidance.Using technology.Creating a fun work environment for themselves and their team. A day in the life of a Superstar includes … Keeping the AvaStarShip humming, which includes daily operations, opening and closing procedures, the customer experience, and directing the activities of the team.Hiring, developing, and retaining star talent.Creating and delivering stellar guest experiences.Inspiring team members to unleash their full potential.Leading the team to reach for the stars in terms of business goals and performance.Managing expense control including payroll, timekeeping and supplies.Maintaining a unique team culture where the management team provides inspiration, feedback, coaching, development and recognition.","Our Superstars possess … 3-5 years management experience in an interactive retail environment.College degree (preferred).Professional sales development and strong interpersonal skills.Proven leadership qualities, including the ability to effectively communicate with team members and guests.Ability to analyze business and selling reports, identify trends, and change course as needed.Comfortable with making decisions and keeping the peace within a team environment.Intermediate computer skills and a high comfort level with technology.","The perks of being a Superstar:We care about the health and well-being of our team and offer a benefits package that includes medical, dental, and vision coverage, life insurance, short-term and long-term disability, paid time off, company paid holidays and an amazing product discount.",0,1,1,Full-time,Mid-Senior level,,Retail,Management,0
6127,Marketing Executive,"GB, SRY, Surbiton",,,"Southern Cloud help you monetize your content through ad insertions and incremental subscription model without the cost and complexity of in-house development. Our solution enables your subscribers to watch your TV channels on any of their Internet connected devices. The solution combines the robust Southern Cloud platform with valued added components and services to provide everything needed to operate rich, branded, and valuable TV everywhere experience. We are able to create Apps for your channel in Samsung SmartTV, Apple iOS, Android, Microsoft Xbox, LG, Google TV, Roku, Sony Playstation, Yahoo Connected TV, Western Digital, Roku, Netgear NeoTV and other platforms.","OTT/IPTV solutions provider is looking for a Marketing Executive with expertise in B2B marketing, Digital Marketing and PR. The person needs to have worked as a Marketing Executive in a Broadcast Technology Industry before.Responsible for Web, SEO, PPC, Social, Focused Advertising  both strategic and hands-on in style:-     To develop and implement a SEO strategy to increase organic search traffic.-     The Marketing Executive in London will perform ongoing PPC/SEO audits of the existing site including measuring performance metrics, researching key words and analysing competitors amongst other activities-     To manage and optimise the PPC campaigns-     To implement tools and processes for performance reporting and analysis including Google Analytics-     The Marketing Executive will keep up to date with social media networks/blogs and maintaining strong connections with Google and SEO","Need to have PR &amp; Creative skills:-     Entrepreneurial thinking and able to come with new strategies.-     To manage and review all digital and brand collateral including content, display banners, email templates, widgets, landing pages etc.-     To develop and implement a SEO strategy to increase organic search traffic.-     To improve the website content and user experience to increase engagement-     To seek new digital media and social channels to generate leadsMust have the commercial mind-set to articulate and deliver a sales journey &amp; performance from digital presence.  Requirements:Educated to at least Bachelor Degree levelMin. 3 years proven digital marketing experience, media and telecom industry will be an advantageExperience in Google Adwords and Google AnalyticsGood experience of online including developing and executing campaigns, increasing traffic and improving page rankingsEvidence of generating ROI from PPC spendExperience of algorithms to improve search results and ROIDemonstrate sound understanding of the latest advancements in digital media and ability to effectively utilize these to generate leadsExperience creating HTML emailsExcellent copy writing and ability to demonstrate experience writing for digital audience, including searchEnglish speaker, both written and verbalThe ability to work on multiple projects and track progressThe candidate will drive to achieve marketing excellence in a fast-paced environment",Competitive SalaryLunch provided at the office,0,1,1,Full-time,,,Broadcast Media,Marketing,0
10766,Customer Service Associate ,"US, NH, Dover",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Dover, NH. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Data entryPerform various Reception and Hospitality functionsPerform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Address and label lettersComplete multiple projects at one timeLift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from SupervisorMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assignedOperating mailing, copy or fax equipmentShipping &amp; ReceivingLift large bundles of mail, overnight packages and shipments of paperHandle time-sensitive material like confidential, urgent packagesProvide courier servicesPick-up and deliver mail, parcels, and copy jobsMaintain copier equipmentPerform duties and special requests as assigned by managementPerform other tasks as assigned","Requirements:High school diploma or equivalent (GED) requiredMinimum of 1 year customer service related experience requiredAbility to communicate both verbally and written with customers and company personnel requiredComputer proficiency in email environments, MS Word/Excel or similar programs preferredAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills preferredAbility to sit or stand for long periods (possibly entire shift)Ability to adhere to employee attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Insurance,Customer Service,0
13306,Software Engineer - Android,"US, CA, San Francisco",,,"Happy Typing, on any smart device.Fleksy is officially the fastest keyboard in the world, and is so powerful that you can even type without looking.","Fleksy, is the next generation smart keyboard that lets you type on a touch-screen, without even looking at the screen. Fat fingers everywhere rejoice - we've solved this problem!Holders of the Guinness World Record title for the fastest keyboard in the world (officially!), Fleksy is also the first company to ship a keyboard on a smartwatch, to enable typing in 3-D (with Leap Motion), and to enable truly blind typing on a smartphone. We are venture-backed by leading VC funds including Kleiner Perkins and Highland Capital Partners.Since launching in December, Fleksy has already become the fastest growing keyboard company with millions of downloads. We are also one of the most anticipated products to launch with iOS 8. Apple is, for the first time, allowing third party keyboards on iOS, which makes this a fantastic time for our company.We are now preparing to take our technology to the next level, and are looking for motivated engineers to join our Android team.You will be working as part of the team that develops the Android Application side of our app. Our Android app has already been downloaded over 2 million times - and we are currently working with a number of partners on projects to bring our user base to the tens of millions of users in the coming months. Up for the challenge?","The successful candidate will have:A degree in Computer Science / Software Engineering.Very good command of Java. Use of Android NDK and/or C++ experience will be an advantage.A passion for the product. An eye for what truly constitutes a great typing experience, not an incremental improvement over current systems.The ability to deliver rock-solid work which will stand the test of users. Thats billions of words typed every day.The ability to learn and grow as the company grows.","We are the most innovative keyboard company in the world, and are currently experiencing explosive growth. Put simply, you will be joining a very hot startup at a very exciting stage.Up for the challenge? Here's what we offer:A dynamic work environment alongside talented people.Competitive salaryEmployee equity incentives15 day paid vacationFree lunchGold Health, dental and vision insuranceCareer development and the opportunity to grow with a fast-growing companyExcited much? Apply now!No recruiter referrals are accepted for this position. If you are a recruiter, please dont ignore this notice  we wont work with you if you do.",0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Information Technology,0
9131,Social Media Manager ,,,,"We're Food52, and we've created a groundbreaking and award-winning cooking site. We support, connect, and celebrate home cooks, and give them everything they need in one place.We have a top editorial, business, and engineering team. We're focused on using technology to find new and better ways to connect people around their specific food interests, and to offer them superb, highly curated information about food and cooking. We attract the most talented home cooks and contributors in the country; we also publish well-known professionals like Mario Batali, Gwyneth Paltrow, and Danny Meyer. And we have partnerships with Whole Foods Market and Random House.Food52 has been named the best food website by the James Beard Foundation and IACP, and has been featured in the New York Times, NPR, Pando Daily, TechCrunch, and on the Today Show.We're located in Chelsea, in New York City.","Food52, the James Beard Award-winning online food community, recipe hub, and home and kitchen shop is seeking a Social Media Manager with strong communication skills, a metrics-driven approach, a love of food, and a deep well of ideas to help build and grow our social media presence.Work on something you care about: We're a mission-driven company with a goal of inspiring and helping people become confident home cooks. Youd be the front line of communication with our over-500k social followers, helping to build and enrich our community, spread our message, and grow our social presence.RESPONSIBILITIES:Manage and create content for all of our current social media channels daily, and work closely with other teams to coordinate editorial, advertising, and marketing initiatives.Brainstorm and execute new social campaigns for editorial content and our Provisions shop.Analyze and report on social media and related metrics, and use findings to inform future social strategies.Own the Food52 voice; engage with our community and followers in a funny, witty, warm, communicative, and knowledgeable way. Above all, the Food52 brand is a group of people who are united by their passion of food, and this should come through in your social posts.Work on finding the sweet-spot of balancing our content and commerce throughout social platforms to ensure maximum community engagement.Research and experiment with new social media platforms, strategies, partnerships, and content.Actively engage with our Provisions partners to share our social posts and promote product launches and editorial on their own social channels to expand Food52 following via like-minded audiences.Collaborate with our customer service team to proactively respond to brand comments and questions within a best-practices framework.","1 to 2 years experience managing other brands social media pages, and an in-depth knowledge of all social media channels -- especially Facebook, Twitter, Pinterest, and Instagram.An insatiable hunger to be on the cutting edge of social platforms best practices, and to be in touch with the latest news in the food world.You sweat the details. (All of them.) And youre organized.You Instagram like mad. You love sharing things with the world.Excellent communication skills. You should enjoy talking with anyone (virtually or otherwise) about anything -- be they a community member, a Food52 team member, or a celebrity chef.You work (and even cook) well with others and you have a willingness to go above and beyond.You should feel comfortable giving, receiving, and managing feedback and constructive criticism.Youre cheerful under pressure, and you have a good sense of humor (i.e. think we're funny).Youre NYC-based.",,0,1,0,,,,,,0
14121,Web Content Manager German native speaker,"GB, LND, ",,,,"ABOUT USEdit-place (#URL_315ac11344eddfe5ed23a1856006708fd94b2dfb25781b08ed235d759531299f#-#URL_984b338a3a4ec4b80bb9fcbf5d9ed5499b04bec6331466dd67d3ffe94602ddb3#) is an online multilingual content platform looking for extremely well talented people like you. We create SEO optimized web content in 26 different languages for attend the content and webmarketing needs of different customer across the globe, including Yahoo!, LOréal, Expedia, Groupon, Condé Nast, Clarins or Pixmania, amongst others. Editorial management, SEO optimized content and team leading are at the heart of our daily work.THE TEAMAn ambitious team of approachable and talented people who are French, English, Spanish, Italian and even Polish native speakers. It is ok if you only speak German (which must be your mother tongue) and English. French is a big plus (well were a French company after all). WHAT WILL I DO? You will help us manage the launch and follow-up of writing from scratch jobs, and also translation tasks in German. We create content for different websites who deal with travel content, fashion, beauty or e-commerce. If you have a passion for writing, you will be able to write in German and contribute on a more creative level to the ongoing German projects.You will manage a team of writers, translators and proofreaders from all around the world through our Edit-place platform, and you will spoil your favourite ones so they are always there for you when you need them.You will develop very clear Editorial Guidelines so we can produce perfect articles, so we dont have to spend hours proofreading. It other words, youll get it right from the start.You will be in touch with our customers, so you must be nice to them just as your mamma taught you to.YOU WILL NOT DO THE FOLLOWINGSay bad things about web content.Hate the webmarketing.Be boring and dislike Mario Kart (we have an XBOX and a coffee machine with unlimited coffee).Be allergic to cats (there is one in the office).If both your ideas and work are excellent and the serious side of you is very serious, your potential might lead to a promising career at Edit-place. The opposite applies too. ","PROFILEIf you studied (minimum have a BA) Communication, Journalism, Media Studies, PR, Languages or ecommerce, we will definitely like you!Are you a Master in German and you know how to write properly the word “Geschwindigkeitsbegrenzun”?Do the words SEO or SEM ring a bell?Are you a big fan of the Internet?Are you in love with Microsoft Office and do you know how to create art on Excel?If besides that you think you are a team-player and result-oriented, you are definitely a good candidate. ","Start: ASAP (June 2014)Length: 6 months.Contract: full-time internship.Wage: 500£Benefits: 50% of the Oyster card monthly costPlace: Shoreditch (London), in an amazing office. ",0,0,0,,,,,,0
7947,UX Designer with a passion simple design,"DK, 84, copenhagen",,25000-25000,"Easy, flexible &amp; scalable tool for asking questions","We are looking for a tallented UX designer who has a zen like aproach to graphical design.You will be working with our graphical designline, which is a continous journey toward betterusability and readability","You should know css, html and javascript. You will be working along with Java developers",for the right guy or girl we will offer equity,1,0,0,Contract,Mid-Senior level,,Information Technology and Services,Data Analyst,0
7096,Technical Support Engineer,"GR, , Athens",Operations,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","As a Technical Support Engineer you will be responsible for monitoring the entire Upstream infrastructure (hardware, software, applications, etc) that is utilized for the execution of the companys revenue generating campaigns and ensuring that it is operating without any problems. You will also be responsible for quickly detecting, resolving and/or escalating any issues that come up and affect the normal operation of the campaign. Finally, you will provide support to internal users, by executing operational tasks, producing reports and troubleshooting, as well as external users, by handling customer complaints and requests. Key Accountabilities  Continuously monitor Upstreams infrastructure (hardware, software, applications, etc) following standard procedures and utilizing all available tools.Identify and investigate possible errors or problems with swift and accurate response on any errors reported by our systems.Follow standard procedures for proper escalation of all incidents to the relevant second level support teams.Ensure proper recording and closure of all incidents.Prepare accurate and timely standard reports and ensure the quality of the outcome.Complete all operational tasks and ad-hoc requests in a timely manner, ensuring the quality of the results.Provide customer support by properly handling and resolving customer/end-user complaints and requests through phone or email.Review and provide feedback on documentation and procedures. Formulate new ideas to improve procedures.    ","Knowledge, Skills and ExperienceUniversity degree in Engineering / Computer Science or similar disciplineVery good communication skillsVery good knowledge in oral and written EnglishBasic knowledge of Unix/Linux systems, utilities and scriptingBasic SQL knowledgeExperience in helpdesk support is a plus Personal CharacteristicsSelf-driven with ability to take ownership of issues and follow through to resolutionTroubleshooting and problem solving skillsDependable, reliable, with attention to detailStructured and methodical work style"," We offer a competitive salary and additional benefits. By joining the technical team, you will also be exposed to an international environment in a very dynamic and progressive group.",0,1,0,Full-time,Entry level,Bachelor's Degree,Telecommunications,Information Technology,0
11627,Technical Support Associate,"US, PA, Philadelphia ",Technical Support,25000-30000,"AboutPPD Partners provides English and Spanish contact center outsourcing to companies that want more from their customer relationships.We position our clients for success by delivering inbound contact center services that allow them to focus on their core business while maximizing profit. We deliver the brand promise of our clients by working with them to understand and apply the knowledge, behaviors, and values at the core of their success.MISSIONTo support our Clients competitive goals and brand recognition through meaningful interactions with their customers by providing quality driven contact center solutions.","We are looking to hire motivated people to add to our team of Technical Support Associates. We provide Support services for thousands of business Nationwide.Job Summary: Troubleshoot via chat, email and phone customer problems with computer hardware and software issues as well as client specific equipment and applications by performing the following duties.Essential Duties and Responsibilities include the following. Other duties may be assigned.- Answers incoming inquiries, responds to customer questions and solves problems according to standardized procedures while maintaining a courteous manner. Asks effective questions and gathers information to determine source of problems and customer needs. Logs on/off customer care system and accesses account files. Enters alpha and numeric data via computer keyboard into an automated system accurately. Accurately captures all customer and issue related information in the proper database. Leads customers through documented process of fixing their software, hardware, or network problems. Uses product information, multiple client tools, client specific reference materials, scripting, advanced technical knowledge, and customer service skills and problem solving skills to diagnose and solve customer problems. Stays current with latest technology changes.","Our entry-level Technical Support Reps answer inbound calls from customers and respond to questions about phone, internet and cable TV issues. Successful Technical Support agents have the following qualifications:- Ability to troubleshoot and resolve customer issues Ability to utilize on-line resources to resolve issues Ability to engage with customers while solving problems Ability to accurately type 25 wpm Ability to talk and enter customer data at the same time","We offer a comprehensive benefits package, 401(k), paid training, paid time off, promotional opportunities and more!!",0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Other,0
16598,Human Resources Recruiter (45K-60K),"US, TX, Carrollton",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","LEI Home Enhancements  is a growing and we are seeking a motivated and talented Recruiter to join our Human Resources team.  The ideal candidate will possess 2-3 years of  recruiting and staffing experience.This position is responsible for staffing a variety of positions, with the primary focus being  Customer Service and marketing.  You will be setting up interviews over the phone and holding face to face interviews",QUALIFICATIONS/REQUIREMENTS2-3 years of recruitment and face to face interviewing experienceStrong interviewing and assessment skills;Must have call center or phone experienceAbility to handle multiple priorities;Excellent written and verbal communication skills;Strong attention to detail; andGoal oriented,"Benefits:$12 an hour to start with commissions built in over a 90 period (approx 60k a year, after 90 days)BenefitsPaid Training****MUST BE AVAILABLE TO START ON MARCH 31,  AND FLY TO THE CORPORATE OFFICE IN CINCINNATI, OH FOR A WEEK OF TRAINING****We will start holding interviews this week!!",0,1,0,Full-time,,,Human Resources,,0
398,UI Engineer ,"US, IL, Chicago",,75000-110000,"Kin is a hosted HR management app used by small companies to manage employee data and files, time-off, and new hire onboarding. Our mission is to make HR managers more efficient, and to delight employees with a fresh, simple interface to their workplace.","Kin is seeking a UI engineer to join our small, distributed team. The position begins immediately and you're welcome to work remotely so long as you're in the US and eligible to work here.  As a UI Engineer, you're responsible for the entire technical lifecycle of Kin's UI. That means working with our designer up front on interactions and best practices, then working closely with our back-end engineers to get your work wired into the application. About KinKin is an employee management tool for small companies. It manages employee data and files, time-off and team calendars, and new hire onboarding for more than 100 companies worldwide. We launched in July of 2013, are completely bootstrapped, and we're passionate about supporting small, innovative companies as they grow, learn, and thrive. Why work at Kin? We're a small, scrappy team. We're constantly building, releasing, and improving the app, so you'll see your work ship regularly. Though your primary role will be development, you will have influence on the direction of the product and plenty of transparency into how we run our business. ","Who were looking forYou are a front-end native - You speak clean, semantic, standards-compliant front-end code fluently. You have experience with dynamic CSS preprocessors such as SASS and LESS, and responsive UI isn't a mountain you walk around.    You are absolutely solid with our technology set - Kin is built on Backbone,js, and you'll be spending at least 50% of your time working directly in it. We use Handlebars for templating and Rivets for data binding. Our backend is built on C#/.NET. Though you don't need to be fluent in C#, some experience with it is certainly a plus, as is experience with Wordpress.You don't want that job at Google - To succeed at Kin, you have to be interested in the product, interaction design, sales, and every other facet of the company. You like to work in a fast-paced environment where your work _truly_ matters.  If you're looking for detailed specs and crisp corners, or are looking to be a cog in a big engine, this isn't the gig for you.You can succeed with a remote team - Our team isn't huddled in a single location, so you need to be present, aware, and responsive with our distributed team even if you work out of our Chicago office.  We use tools like Github, Basecamp, HipChat, Google Hangouts, and DoneDone to keep both code and team synced. Constant communication and teamwork make the company tick.","In addition to a competitive base salary, we offer: an employee equity plan, employer-matched retirement plan, BCBS PPO medical/vision/dental, up to 25 days paid vacation, paid transportation or parking (for Chicago team members), and a flexible remote work policy. ",1,1,1,Full-time,Mid-Senior level,,Information Technology and Services,Engineering,0
5811,"Quality Improvement/Risk Management Executive (Near Santa Monica, CA)","US, , ",,,,Plans &amp; implements the performance improvement program to meet the needs of the hospital.Salary:Based on Qualifications and Experience,Qualifications:Requires: Current CA RN license (required) Bachelors Degree in Nursing (preferred) CPHQ certification (preferred). Min 3 or more yrs of previous clinical exp in an acute setting (required) Min 3 or more yrs of exp in Quality Management (preferred),Salary:Based on Qualifications and Experience,0,0,0,Full-time,Executive,,Hospital & Health Care,Quality Assurance,0
247,English Teacher Abroad ,"US, CA, Isla Vista",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
4107,English Teacher Abroad (Conversational),"US, FL, Tampa",,,We help teachers get safe &amp; secure jobs abroad :),"Vacancies in Asia$1500 + monthly ($200 Cost of living)Housing providedAirfare reimbursedExcellent for student loans/credit cardsWhen applying, kindly send us your resume and professional picture (optional)Gabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#(+1) (314) 394-8741 #URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#Skype:gs-gabriel","University degree, TEFL / TESOL / CELTA, and/or teaching experience preferred. Positive attitude required.US Passport holders only",See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
11601,Performance Marketing Manager - Paid Social,"GB, LND, London",Marketing,,Want to build a 21st century financial service?We're convinced that that there is a need for innovation in financial services and that current banks will not be the ones providing this. Instead this innovation will come from companies like TransferWise and we're on a hunt for great minds who think like we do.,"Co-founded by Skypes first employee and backed by some of planet's most experienced innovators, including Sir Richard Branson, PayPal founder &amp; Facebook's first investor Peter Thiel, we're disrupting the world of currency &amp; international money transfer. That means flipping a gazillion dollar industry on its head and taking power away from banks and the establishmentBased at Old Street, the hub of Londons start up scene, were growing at an extraordinary rate and looking for exceptional talent to jump on board.Were currently just over 100 people strong and handling many millions of pounds daily.If youre looking for a stuffy financial company, the back button beckons, if you want to be part of something innovative and truly exciting, read on.So, whats the role?Reporting to the Digital Marketing Manager, you'll work across our biddable media mix  specifically focusing on Paid Social and Display - on an international scaleOptimise and grow existing facebook campaigns carefully balancing various KPIsCreate new acquisition campaigns, test new creatives and landing pages - you'll have a lot of freedom to execute your own ideasDrive display acquisition utilising RTB, ad networks and media buysDrive massive scaling of those channels internationallyDrive budget pacing and bidding optimisation to meet monthly/quarterly budget targetsConstant and thorough data analysis to identify areas for improvement","What skills do I need?Proven track record in running successful online acquisition campaigns within paid social or/and display for a fast-growing business or within an agencyExcellent quantitative and analytical skillsUsed to aggressive acquisition targets within the right performance KPIsTrack record of effectively delivering multiple projects at one time in very fast-moving environmentProven scalable campaign management experience on paid social/displayAn optimisation guru. You live and breathe campaign performance data and know exactly what levers to pull when optimising a campaign against specific KPIsDeep hands-on experience in major digital marketing environments. You'll be logged-in and making things happen from Day 1Previous experience with a PMD is a big plusPrevious experience with multinational localised campaignsVery personable and good at building constructive relationships with colleagues, partners, media owners and agenciesProactive with can-do attitude, generally full of great ideas and able to get stuff done without close supervision","Apart from all-expenses-paid company days twice a year with the whole team, stock options in one of Europes most hotly tipped startups, a sexy laptop of your choice and team lunches every Friday, you wont get much in the way of extras.However, we will give you 25 days holiday a year (plus public holidays), a fun, friendly atmosphere, plenty of opportunities to grow and the chance to be part of our little revolution. Oh, and coffee, theres plenty of coffee.",0,1,1,Full-time,,,,Marketing,0
4148,PASSIONATE EXPERT PCP's NEEDED TODAY! COMPENSATION & BENEFITS MAXIMUM HOURS FOR MAX PAY!,"US, CO, Denver ",,,"MISSION:GoldLeaf HomeCare is revolutionizing home care by making our CarePartners top priority. GoldLeaf fully loves,honors and serves its CarePartners so they will offer the same to every Client. Placing People before profit is our guiding commitment that allows GoldLeaf to foster greater individual independences and the optimal quality of life for our Clients.This is our mission at GoldLeaf HomeCare. We are a very different medical and non-medical home health company - we pray together, we care for each other both professionally &amp; personally. Don't take our word for it, ask our team of CarePartners! GoldLeaf is a family of people who love others &amp; honor God (you don't have to be Christian or even religious!). We need experienced &amp; energetic Caregivers and CNAs to Join our Care Partner Team! ","About the Company &amp; the Opportunity:""We take great care of our CarePartners so they will do the same for our Clients.""This is our mission at GOLDLEAF HomeCare. We are a very different medical and non-medical home health company - we care for each other both professionally &amp; personally. GoldLeaf is a family of people who love others. We need experienced &amp; energetic Caregivers ASAP! We provide:We start our PCP's at a competitive rate &amp; this can increase within first three months for 12-hour and 24-hour shifts, plus performance bonuses and fun!We pay gas expenses on some shiftsWe offer full PTO (sick &amp; vacation time) benefits to our full time Care TeamWe pay Overtime even though we don't have to!We offer a medical savings program for you &amp; your familiesWe offer monthly training &amp; voluntary team fellowship offering personal care unseen in this industryIn your cover letter, include your cell phone number and currently available days/hours (specify Overnights, 24's...). If you are selected, WE WILL INTERVIEW IMMEDIATELY ","Required Qualification:2 years+ of proven PCP experience with 3+ testimonials/references2 years+ experience with children or special needs childrenAvailable for PRN shifts   ""Squeeky"" Clean criminal and driving record, have full-time access to RELIABLE auto transportationMust be willing &amp; comfortable driving anywhere days &amp; nights in any weatherMust speak/read clear and fluent English (speaking Spanish or second language is a plus!)Most importantly, you should have a caring heart. We judge more from your spirit than your resume!!",We provide:* Competitive rates for PCP's per hour &amp; this can increase within first three months* We pay gas expenses on some shifts* We offer full PTO (sick &amp; vacation time) benefits to our full time Care Team* We pay Overtime even though we don't have to!* We offer a medical savings program for you &amp; your families* We offer monthly training &amp; voluntary team fellowship offering personal care unseen in this industry WE WILL INTERVIEW IMMEDIATELY .Thank you &amp; we look forward to meeting you soon!,0,1,1,,,,,,0
15225,Growth Engineer,"GR, I, Athens",,,,"GrowthRocks is looking for a rockstar Growth Engineer!We wont hire your skills, only. We want also your attitude, your guts, your endless thirst for creativity and your desire to be part of one, of the fastest growing Growth Hacking Marketing Agencies.If you are just looking for a typical job, then this isnt going to work either for you or us.Considering all things said above, if you still think that youve got what it takes, you are more than welcome to apply for the job.Job Description:In order to make it out alive you have to be a super mutant, meaning you have to equip both your business and geeky skills.Your Business duties will be:Consulting CustomersAttending business meetings and propose growth actionsCommunication and reportingYour Technical duties will be:Make data driven decisions and apply them on the productCoding, Coding and CodingRun Tests and define what works and whats notRun Tests againAnd againBe creative an inventiveBring great ideas on the table (and generally every idea you come up with), and execute them in a strong mannerPossibilities are endless and not even the sky is a limit! ","Basic prerequisites: Positive, can-do attitude Fast, focused, results based thinking A strong desire for learning and evolving in a fast paced environmentNecessary Skills:SEO Knowledge and understandingExperience on Google AdWords (Keyword research, Negative keywords and Keyword groups).Deep understanding of Marketing (both modern and traditional)Basic Knowledge of Google Analytics operations.Good understanding of web technologies (HTML5, CSS3, jQuery/JavaScript, responsive design).Previous work experience delivering web sites and web appsVery good command of the English language (for training and customer communication)Very good command of the English language (for training and customer communication)Desirable Skillset:Full understanding of LAMP, WAMP, and MAMP or other web servers and/or databases (e.g. nginx, or PostgreSQL)Full understanding of LAMP, WAMP, and MAMP or other web servers and/or databases (e.g. nginx, or PostgreSQL)Excellent PHP skills (5.4+)Some exposure to a JavaScript framework like AngularJS will be considered an asset.Proven experience in database design and MySQL or PostgreSQL for a production quality web application Experience in website development for using some PHP MVC framework like Symfony or LaravelOther languages and frameworks used for web development e.g. Python, Java, Django, Ruby on Rails, Grails, etc.Some extract knowledge that will be considered as hyper cool:Funnel OptimizationA/B TestingsReferralsViral Loops",We offer:A non-corporate culture. We are a company that does Growth Marketing through technology.A full-time position with a strong team and Stock Options for the right personInteresting work that combines technology with creativityImmersion into the latest web technologies and techniquesWide spectrum of clients and project varietyTraining and experimentation. We live on the cutting edge of Growth. You should do the same too.,0,0,0,Full-time,Entry level,,Marketing and Advertising,Engineering,0
2221,Picker/Packers $10.50 Plus Overtime,"US, GA, Braselton",30517,,,"This is a second shift position 6-2:30 AM, Sunday-Thursday. The job is located in Braselton GA, please only apply if you are able to work in this area.Responsibilities for this Warehouse job include:* Pick the required products, verifying items using UPC codes * Operate RF gun during order picking process * Move throughout the Fulfillment Center for the majority of the shift * Ensure that the cart is scanned into computer system at the end of the picking process * Maintain excellent safety, quality, cleanliness, and productivity standards within the distribution warehouseApply Now if you are interested in this Pick and Pack - Warehouse job in Braselton GA.Job RequirementsQualifications:* High School Diploma or GED is NOT required * Warehouse, distribution, production, or manufacturing experience helpful - Entry level candidates are welcome to apply if you meet all other requirements * Lift 10-20 lbs repeatedly and up to 50 lbs occasionally * Ability to meet physical demands involving extended periods of standing, walking, bending, kneeling, and reaching * Perform verbal and/or written instructions","Job RequirementsQualifications:* High School Diploma or GED is NOT required * Warehouse, distribution, production, or manufacturing experience helpful - Entry level candidates are welcome to apply if you meet all other requirements * Lift 10-20 lbs repeatedly and up to 50 lbs occasionally * Ability to meet physical demands involving extended periods of standing, walking, bending, kneeling, and reaching * Perform verbal and/or written instructions",,0,0,0,Full-time,Entry level,Unspecified,Warehousing,Manufacturing,0
295,Technical Lead / Architect,"GB, LND, London",,,"nakedhearts is an ambitious and well-funded start up. We are building a global online social network to connect users with the causes they care about, and integrate social good into our daily lives. Because when we get together and make it easy to give (time and money), we will leave the world better than we find it.We are launching in the UK and US in a few months, and we are looking to bring our creative, design and UX team in-house, so now is an exciting time to join our rapidly growing team.Our first product is a mobile app for users to donate time and attention to charities while on-the-go and connect with the beneficiary world. Only 2 minutes to spare? No problem. Have a browse on your smartphone - you can help a blind person cross the street on the other side of the world. If you have ten minutes, you can make an enormous difference - did you know that you can help beat cancer by playing a game?We are excited by the support we have received so far. We are working with brands and media partners ahead of our launch in a few months. We are creating a talented, experienced, capable engineering team from scratch to build a world-class platform for interacting with charities and social causes. We believe in best-of-breed technology and have high standards for delivery. We are looking for people who, along with the aforementioned experience and talent, are passionate about what we are trying to achieve, and keen to innovate (while having fun!).Please take a look at our open roles below to find out more. If you would like more information about nakedhearts (especially from a developer perspective), check out our Stack Careers site for the geeky stuff.To speak to a real person, call +44 7719 080631 or e-mail #EMAIL_b7983b85872294ba2e4a77ba948c5ea02d2b59e521bd926f155209494d9bc591#. Thanks!","nakedhearts is looking for an experienced, talented technical lead / architect to lead a small team of highly skilled engineers in building out, from scratch, a new global platform for people and brands to interact with charities and their campaigns in new and interesting ways.The Tech Lead will:support the CTO building out the development team,be motivated to continue in development whilst leading and inspiring others,responsible for architecture, technical design, code quality, development efficiency, maintenance and quality of the applications built,nurture a ""small team"" mentality, where every developer has an end-to-end understanding of product development; he/she will drive the team to innovate,believe in what we do, excel in what you do and above all you will be a passionate engineer.If youre the one were looking for, you will probably have a couple of hobby projects that you want to show us anyway. We strongly believe in CI, CD, automated testing and an agile environment, we hope that you do too.This is a challenging but rewarding role designing, building and maintaining a platform that will scale to millions of users - you must be excellent under pressure.","2:1 Bachelors degree in Computer Science or similarAt least 2+ years in team lead capacityAt least 5 years commercial experience of complex backend developmentMust be an expert in JavaExperience with frameworks e.g. SpringExpertise with the Python programming languageMust be language-agnostic and use the best tool for the jobYou must understand API-driven design and developmentYou have a great understanding of performance and tuningIn depth experience with relational database enginesexpert unix/linux skills from a system administration perspective, including shell scriptsexperience of ""Big Data"" technologies and best practicesfamiliarity with NoSQL-based platforms such as Redis, MongoDB, elasticsearchExperienced with agile development best practicesSolid experience of object-oriented design and modern programming techniquesFamiliarity with Continuous Integration (e.g. Jenkins) and automated testingIn-depth knowledge of web security issuesData structures and algorithms - knowledge and ability to applyAn understanding of the open source ethos and practicesExperience with cloud infrastructure (Amazon Web Services most desirable)Experience with setting up and maintaining development environmentsa desire to mentor less experienced engineers and drive forward initiatives such as code reviewsFluent English (written and spoken) is a mustDesirable:experience with data analytics and machine learning technologies and techniques","A chance to be a key part of a challenging, global, green-fields project using latest, best-of-breed technologiesA challenging and fun work environmentA small but talented teamA great office location in Covent Garden, in the heart of London's West EndPlease apply through workable or send us an email on #EMAIL_b7983b85872294ba2e4a77ba948c5ea02d2b59e521bd926f155209494d9bc591# You can speak to us in person on +44 7719 080631",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Philanthropy,Engineering,0
6900,Automotive Crash Analyst,"US, OH, Marysville",,70000-85000,"Cresttek is a subsidiary of American Hydrostatics, a 25 year old company providing Manufraturing and MRO services to Automotive Industry, Cresttek focusses on providing Engineering Services to the Automotive and Industrial Manufacturing companies. At Cresttek, we are group a professionals with experience over 20 years of experience in doing business in US, Canada, UK, Germany, Italy, India, Korea, Japan and Singapore. People make Products. We believe and practice a culture of People-First which intuitively is also our Customer Satisfaction Strategy.","Responsible for the creation and documentation of modeling plans to engineering team and initiation of new processes and tools. Work involves high amount of creativity and strong oral and written communication skills. Exercises high amount of independent judgment with little guidance from supervisor.Major Job Duties and Responsibilities - Lead the creation of full vehicle Finite Element Analysis (FEA) models for safety/crash structures, - Present developed math plan and give input related to math plan to performance  integration teams, vehicle and program reviews - Develop standard modeling procedures to enhance model build quality and timing - Assist others with the development of modeling strategies and any additional enhancements to the software -Coordinate and initiate enhancements to the modeling process and development of Tools","Required Skills and Abilities: - Minimum of 3 years of relevant OEM experience Expert user ANSA, LS-DYNA, NASTRAN, ABAQUS, and - Knowledge of CATIA will be helpful- Knowledge of Microsoft Excel, Word and PowerPoint NO AGENCY SOLICITATION CALLS. Cresttek LLC is not responsible for any fees related to unsolicited resumes.Cresttek LLC is an Equal Opportunity Employer - applicants are evaluated without regard to their age, race, color religion, sex, disability, national origin, sexual orientation, or veteran status.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Automotive,Engineering,0
16023,"CNC Machinist - Asheboro, NC","US, NC, Asheboro",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",A CNC Machinistis required having 3 to 6 years experience as a CNC Machinist with programming and operation experience,"Job Title: CNC Machinist - CAD CAM GIBBS - Asheboro, NCJob Location: Job is in Asheboro, NCA CNC Machinistis required having 3 to 6 years experience as a CNC Machinist with programming and operation experienceJob Requirements &amp; Qualifications Required:Ability to plan out operations, sequences, tools, fixtures, speeds and feedsAbility to use measuring devicesMetal cutting experience - mills and lathesAbility to work with the team to solve/troubleshoot machining/part problemTraining and experience: 2 to 4 years of trade school, vocational education, 4 to 6 years work experience, or apprenticeshipAbility to lift up to 50 poundsA parts contract manufacturing supplier is seeking a CNC Machinist to work in their expanding operation. This dynamic company is currently supplying parts to the aerospace, automotive and industrial markets.The successful candidate will get the opportunity to work with the latest and greatest in CNC machines and CAD/CAM software.Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,0,0,0,Full-time,Mid-Senior level,Bachelor's Degree,Electrical/Electronic Manufacturing,Engineering,0
2137,Electrical Technician III,"US, TX, Houston",,,"Aker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.","Corporate overviewAker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.We are looking for individuals who are prepared to take a position. Not only a position within Aker Solutions, but also a position on the exciting challenges the global oil and gas industry faces now and in the future.The jobholder will support the Electronic / Electrical engineering group achieve their objectives within a quality critical and high reliability engineering/production environment. The jobholder will be responsible for first level analysis to resolve system issues, failures and non-conformances within production and provide initial engineering support to rectify or escalate any issue in a timely manner. The jobholder will aid the Electronic / Electrical engineering group drive continuous improvement and perform root cause analysis of electronic printed circuit boards, systems, sub-assemblies and assemblies to component level. The jobholder will be responsible for ensuring technical conformance to specifications while ensuring all work is documented and performed in accordance with company procedures &amp; documentation. To carry out any other requirements needed to allow the company to operate efficiently.Responsibilities and tasks• To work in a safe manner at all times, to comply with all current safety legislation and Company safety policy.• To work at all times to the Companys Quality Management System.• Evaluate and investigate electronic /electrical equipment &amp; systems, debug, repair to component level.• Action HALT, HASS and ESS testing to ensure reliability of electronic / electrical equipment used in harsh, extreme and safety critical environments • Assist the Engineers with enhanced/non-standard system test, engineering changes, process development, improvement of procedural documentation and NPI (New Product Introduction). • Support Operators/Technicians to improve quality awareness and aid standardization of processes while supporting the Electronic /Electrical group enhance overall system reliability and reduce system defects.• Demonstrate team working and ensure any work carried out by self is performed within schedule • Ensure that documentation is robust, concise, relevant and that quality systems are adhered to.• Ensure all calibrated equipment used within the build, test process is within calibration date and fully maintained for the work activities.• Ensure work area is maintained in a safe and tidy manner.• Play a pro-active role in housekeeping and continuous improvement initiatives.As necessary, perform other duties, requiring essentially the same level of skill &amp; responsibility, when required.",Qualifications &amp; personal attributesHNC in Electronic or Electrical Engineering.City &amp; Guilds or equivalent qualifications in electrical or electronic disciplineHave completed a recognised apprenticeship in an appropriate discipline,We offer• Friendly colleagues in an industry with a bright future.• An environment where you are encouraged to develop your skills and share your knowledge with your colleagues.• Competitive benefits and strong focus on work-life balance.,0,1,0,Full-time,,Master's Degree,Oil & Energy,Engineering,1
4329,Teacher Education Portfolio Coordinator,"US, , ",Education,,"Located in the heart of the Green Mountains, our welcoming, mountaintop campus is home to more than 1,900 students. We offer 25 undergraduate majors, two associate-degree programs and M.A. degrees in education, counseling and studio arts.Johnson State first made its name in preparing public school teachers. In the mid-1800s, our students came from local farms and nearby villages to earn their college degrees. The same adventurous spirit distinguishes our students today, though they come here to study not only education but also a variety of other liberal arts subjects.Our faculty and staff are dedicated to educating the next generation of leaders. ","Arrange and manage formal partnership agreements with schools; build new partnerships; design and oversee Memorandums of Understanding.Arrange placements for Inquiry Projects, Practicum I, Practicum II, and Student Teaching, including applications, orientations, and communication with students and faculty.Collaborate with program directors to establish and uphold criteria for placements and supervisors.Schedule college supervisors and site supervisors; maintain communication between department chair, program directors, supervisors, and school personnel; facilitate problem solving with placements including removal of students if necessary and unexpected changes in placements.Collaborate with the Department faculty and Administrative Assistant to manage records &amp; files.Manage communication including stipend paperwork and background checks information, etc.Develop &amp; maintain communication materials including handbook, website, application materials, etc.; maintain records and databases.Occasionally participate in Teacher Education Workshops, departmental meetings, and professional development workshops as necessary; schedule and travel to schools for site visits.Establish communications with Placement Coordinators from other IHEs.Seek diverse placement options (i.e. schools-in-need, urban, international) and funding sources;Manage problems, waiver requests, late applicants, etc.; maintain the Departments learning objectives, policies, and standard of quality for academic rigor, professional performance, and ethical disposition.","Masters degree in education or related field, plus two to three years of relevant professional experience; OR a combination of comparable education and experience.Working knowledge of the operations, values, norms, and systems of public schools including experience as a professional in or with public schools.Excellent organizational and electronic record keeping skills; familiarity with Word, Access, andExcel as well as cloud-based data management systems; and produce accurate reports.Strong communication skills; independent problem-solving skills; ability to deescalate &amp; resolve anxious-student dilemmas.Ability to travel for school visits or meetings, arrange digital meetings and conference calls.An educational philosophy that overlaps JSCs approach to educator preparation",,0,1,1,Part-time,,Master's Degree,Higher Education,Education,0
12871,Sr. Software Solution Architect,"US, CA, La Jolla",,,"Our MissionOur Mission is to create the ultimate and most privileged shopping experience for people who have served in the Armed Forces, as a First Responder or government employee; its a place for these individuals to exercise a privilege not extended to others in the marketplace, just those who have served.What We DoGovX is a privileged e-commerce Web site where qualified members of the U.S. Armed Forces and those in related government agencies can shop for premium off-duty apparel, equipment and other products as well as onduty tactical products. Prospective Members simply submit their registration to qualify as a Member and once approved, you can begin shopping, saving and interacting on the #URL_43b26e2a31c060785b122eb9921ca0d376a0992d92e6eb499f04d10917ef0c91# site.  We wrap our superb customer service around a largely direct-from-manufacture purchasing system using a sophisticated, inter-connected, e-commerce platform connecting us with our shippers, manufacturers and our entire customer support team and manufacturer support team, all to deliver you prompt and select products with exclusive pricing. We are the Internets leading destination for verified members of the Armed Forces, First Responders and employees of various government agnecies to shop for premium tactical and off-duty products.Who We AreWe are a group of talented, energetic and collaborative people working towards a single goal: serving those that serve with deep discoutns on premium, in-line product, unmatched customer service and a shopping experience defined by conveniece and ease of use.  To do this we empower our people to think like owners and solve problems right the first time.","Fast-growing E-commerce company has a fantastic opportunity for a talented software developer.GovX owns and operates #URL_43b26e2a31c060785b122eb9921ca0d376a0992d92e6eb499f04d10917ef0c91#, the Internet's leading e-commerce site for military, police, fire, rescue and related government agencies. #URL_43b26e2a31c060785b122eb9921ca0d376a0992d92e6eb499f04d10917ef0c91# serves major league sports with verification technologies and also offers premium products for both off-duty and tactical use at exclusive prices. We have patent pending software and are expanding quickly. We previously founded and operated other successful Internet businesses.We are located in La Jolla in a modern office building operating in a very casual, team-oriented, atmosphere. Each person has the authority to manage their own areas of responsibility as well as think broadly and strategically about their projects. We use a quasi Agile approach to software development allowing you to work closely with our customers and our cross-functional teams to make iterative, market-driven, software changes.The Sr. Software Solution Architect provides hands-on development and testing to complete projects. The position is located in our office in La Jolla, CA.Must be authorized to work in the United States on a full-time basis for any employer.  We do not utilize placement firms. Veterans are encouraged to apply.Mail resume to: Govx, Inc., 7817 Ivanhoe Avenue, Suite 200, La Jolla, CA 92037.","Analysis, discovery, design and implementation to develop Server/Application consolidations and virtualization architecture. Utilizing Optical character recognition (OCR), Microsoft Azure Services (Cloud computing) and application integration skills, .NET, VC#, JavaScript, C/C++, SQL, XML, UML, AJAX, Oracle 9i, COM, DCOM.",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
8169,Production Editor,"US, VA, Reston",,,"Founded in 1852, the American Society of Civil Engineers (ASCE) represents more than 145,000 members of the civil engineering profession worldwide and is America's oldest national engineering society. ASCE's Mission Provide essential value to our members and partners, advance civil engineering, and serve the public good. In carrying out that mission, ASCE: • Advances technology • Encourages lifelong learning • Promotes professionalism and the profession • Develops civil engineer leaders • Advocates infrastructure and environmental stewardship For more, visit #URL_41bda734f569a5032e8027a87cb4d3a8a34a0d79789b9beb4281d686db1aaf78# ","Production EditorAre you excited about helping to contribute to a professional body of knowledge?  Do you like working with others who are passionate about their work? If so, come join the American Society of Civil Engineers!  We are currently seeking a production editor with excellent technical editing skills to help produce our 32 scholarly journals. Responsibilities include:Electronic and paper-based editing and proofingAdministration of production tracking systemPerforming quality-control checks of manuscriptsEnforcing standards of quality control in all phases of productionASCE is a nonprofit professional membership association dedicated to the advancement of civil engineering in order to serve the public good.  For consideration, submissions of interest must contain a resume and cover letter with salary history and requirements.  EOE M/F/D/V  ","The ideal candidate will have:A four-year degree and two years of relevant editorial experienceKnowledge of the Chicago Manual of StyleExperience with ProduXion Manager (preferred) or other online production softwareAbility to communicate effectively with vendors, authors, editors, and staffDemonstrated success working in a deadline-driven environmentJournals production and MathType experience helpfulGraphic experience a plus",,0,0,1,Full-time,Associate,Bachelor's Degree,Nonprofit Organization Management,Production,0
4379,Healthcare Assistant,"GB, LND, Lewisham",Health and Social,,,"Health Care Assistants required for the Lewisham and Sydenham areas. Must be 18+. The post involves supporting individuals to live as independently as possible in their own homes. While experience is preferred; enthusiasm and a real interest in caring for people is essential. Duties include assisting with personal care, domestic work, shopping and assisting in any other tasks in daily living. Applicants must be able to work a range of shifts which should include some weekends and evenings. Part time shifts are also available. Initial and on going training is provided. Successful applicants are required to supply an enhanced disclosure, expense to be met by applicant. Car driver preferred due to the nature of the work but not essential",Driver preferred,,0,0,0,,,,,,0
7805,Account Manager - Sales,"US, PA, Canonsburg",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ "," We are looking for a sharp and energetic Sales Account Manager who's excited to join a rapidly growing water transfer company in one of the most booming industries in the country. Summary:Responsible for all sales activities, from lead generation through close.Develop and implement plans, which will meet both personal and company goals regarding expanding customer base. Work hard to to achieve customer satisfaction, revenue generation, and long-term account goals in line with the companys vision and values.   Duties and responsibilities include, but are not limited to, the following:Understand and communicate the company products and services to potential and existing customers.Sell consultatively and recommend to prospects and clients various solutions for accounts receivable issues.Perform customer reporting and coordinate required analysis.Maintain contact with all clients to ensure high levels of satisfaction. Receive and resolve customer complaints and problems in a timely manner.Gain access to key decision makers at appropriate levels.Provide prospects and customers with price quotes, and ensure they fully understand the parameters.Develop a database of qualified leads through referrals, telephone canvassing, direct mail, email, and networking.Help implement marketing plans.Research trends and developments in primary industry by using related publications, the Internet, and training sessions to maintain and grow current knowledge base.Understand and support sales policies and procedures to provide proper and effective treatment to all customers. Maintain accurate records of all sales and prospecting activities, including sales calls, presentations, closed sales, and follow-up activities.Participate and contribute to the development of educational programs offered to clients and prospects.Proactively establish and maintain effective team relationships with all support departments.Adhere to all company policies, procedures and business ethics codes.Perform other related duties as assigned.","Prior experience in water transfer sales is required.Associates degree or a minimum of two-years related experience.Dynamic presentation skills. Detail and deadline oriented.Proactive follow-up skills.Self-motivated, ability to work independently and with the team, and ability to multi-task. Must have computer skills and be proficient in Microsoft Office.Meet metrics and activity quotas.",,0,1,1,,,,Oil & Energy,Sales,0
2291,Machine Learning Scientist,"GB, , ",,,"We combine advanced machine learning and state-of-the-art software solutions, and apply them largest volumes of biomedical-informatics data; the result is saving people's lives and improving the quality of health.","ConnectomeX is a startup, which combines leading-edge machine learning, biomedical informatics expertise, and linkage of big data islands for improving the quality of care by helping healthcare professionals make accurate data-informed decisions.We are looking for machine-learning scientists to develop new scalable data processing and insight generation modules for our algorithmic server/infrastructure. The person will be part of the core machine learning, big data and biomedical informatics team responsible for transforming data to medical insights.","Deep understanding of major machine-learning techniques (e.g., classification and risk models, hierarchical regression, Bayesian learning, non-parametric inference, graph theory and network modelling, Gaussian processes, etc.)Strong data-analysis skills (statistical modelling in R, OOP and data analysis/modelling in Python and C++, familiarity with SQL and NoSQL technologies)Solid experience in building and maintaining high-performance-computing data-analysis applications in business (or in a business-like academic research)Ability to face clients and communicate complex scientific findings in an easy-to-understand wayAbility to thrive in a changing environment while working on multiple assignments with multiple deadlinesKnowledge of biomedical informatics is a plusKnowledge of BI technologies (e.g., QlikView) and visualization techniques (e.g., D3) is a plus  ","At ConnectomeX, you will be inspired by how data and machine learning can save lives and proudly contribute to something that will disrupt the current healthcare and define its future. Detailed benefits will be discussed if successfull ...",0,1,1,,,,,,0
16151,Field Sales Representative,"US, PA, Pittsburgh",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Our client, located in Pittsburgh, PA, is actively seeking a professional Field Sales Representative to develop and grow the company's market share. The ideal candidate will market and develop new business, as well as maintain existing customer accounts. The Sales Representative will work closely with the companys management team to aggressively seek new growth opportunities in an assigned area. Responsibilities:Implement effective strategies to retain and build upon existing customer relationships.Communicate with sales managers to ensure customer service requirements are completed in a safe, timely, and efficient manner.Lead new business development by identifying markets for the companys services.Make office calls and sales and technical presentations to key decision makers.Gather data required for all jobs.Implement effective strategies to build new customer relationships.Work with operations and the sales management team to establish pricing for services that suit market conditions and maintains financial objectives.Liaise with competitors and other service-company representatives to maintain intelligence about industry activity as it relates to company business.Promote the company in the community through active participation in industry social events.","Knowledge of coil tubing operations to provide solutions to customer requirements.Possess solid oil and gas contacts, and knowledge of the market preferred.Possess operational knowledge, sales skills, and commitment to success.Capable of making decisions and developing ideas.Maintain strong customer focus and ability to relate well to others, internally and externally.Possess excellent Microsoft Office Suite skills (Excel, Word, PowerPoint).Exhibit excellent organizational skills.Possess excellent written and verbal communication skills.Ability to interact with employees, managers, and vendors in a timely and professional manner.Ability to work efficiently with minimal supervision.Knowledge of bottom hole assemblies.Knowledge of frac operations.Physical requirements include lifting, climbing, long hours, visual requirements, bending, operating vehicles, and air travel, etc.Ability to occasionally lift up to 25 pounds.Qualifications:High school diploma or GED.Prefer 2-3 years' experience selling coiled tubing services.General oil field experience preferred.Experience in field or corporate sales.No more than two accident violations in the last three years.No more than three moving violations such as speeding in the last three years.No DWI/DUI in the last five years.Company Overview:Our client is an independent provider of elite hydraulic fracturing, wireline, coiled tubing, pressure pumping, and other oil field services.",,0,1,0,,,,,,0
10443,I want to work at Vend in BERLIN,"DE, BE, Berlin",All,,"Vend is looking for some awesome new talent to come join us. You'll be working in an awesome team doing awesome things, and generally being awesome.Learn about us on our blog, or meet the team on Twitter, Facebook, LinkedInAwesome SpaceOur brand new Auckland office space is located on Nuffield Street in Newmarket, surrounded by our customers and the industry we love. It is huge, open, and shiny new with great meeting room spaces, casual working environments and plenty of space to host awesome events. We don't do cubicles, just plenty of space, whiteboards and meetings rooms. We also have a great cafe/retail space with our very own Front of House Manager taking care of our visitors and fellow Venders. Like Auckland our Melbourne office is surrounded by retail. It's a great wee space equipped with tiny houses and some awesome cardboard cutout animals.Our Toronto office is located right in the heart of downtown retail district. Like Melbourne and Auckland there's a great vibe with great coffee, boutique stores and some of the greatest restaurants in the city. Our SoMa office in San Francisco is located in the middle of the SF tech scene, just a (Biz) stone's throw from Twitter.The EnvironmentWe want you to be at the top of your game. You can wear whatever clothing you like, start work late in the morning, take breaks whenever you want and generally work the way you want to work. Who we're looking forVend is looking for people to push the boundaries. We are a hard working professional team with a wicked sense of humour, and we are looking for people who thrive in a collaborative open environment. We want passionate, hard working, talented individuals that want to lead in their field.","No suitable jobs right now on our jobs page?  Don't worry.....we love hearing from people who share our passion for making retailers' lives easier.At Vend we take hiring really seriously. While we do have really specific requirements for all of our roles and they're not just from a technical perspective but also a cultural one. Our company culture is something we're very protective of and we work hard to make sure every new person we hire is a perfect fit. If you want to join us in our quest to make the world's best Point of Sale software and at the same time work for one of NZ's Best Small-Medium Sized Workplace's, then introduce yourself :-)You'll receive an email from us letting you know that we've received your application and we promise to let you know when any suitable jobs come up.Thanks for your interest in Vend. We really appreciate it.",Are you enthusiastic about the retail industry?Does making customers happy make you happy?Do you think you have a pretty awesome personality?Do you like dogs?Do you want to be part of making Vend the best retail platform in the world?,"When you work at Vend you can expect the following benefits.A competitive salary  The chance to work with a group of amazing peopleHaving the opportunity to be part of the next big kiwi software success storyAn open culture where we openly share our results and where your input is valuedFun at work!  However you might like to have fun at work, you can pretty much do that at VendWork-life balance.  We know you have a life outside of work.  At Vend you can have a life inside work tooUtilise all your talents.  Not just the ones written in your job descriptionWe welcome all of you at work.  We dont want you to leave your personality at the door",0,1,1,,,,,,0
6715,Senior iOS Developer,"ES, , Chamberi | Madrid",,,"Entertainment everywhere.  Operating under the StarzPlay brand, Playco Ltd., delivers a premium video-on-demand service.  We believe that the home entertainment experience should be available for everyone everywhere. We bridge our cutting-edge technology with in-demand TV series, top quality movies, and a large range of children's programming to reinvent, redefine &amp; shape the viewing experience. Our site allows subscribing users to access premium entertainment content from across the globe. Gone are the days of waiting an entire season to watch your favorite series, as viewers will have access to in-demand, exclusive day-and-date premieres, along with a large selection of movies and box office releases.Playco is currently present in 2 continents with plans to become increasingly global. We encourage and support our employees who wish to relocate to other Playco offices, as we believe that international mobility strengthens and shapes the international culture of Playco.Learn more about us on LinkedIn Do you have what it takes to work with us?Self-startersCreative thinkersProblem-solvers (not problem-identifiers)Affinity for working in teams Sense of humor A MUST!A fine appreciation of TV and film (we might ask for a detailed list)",We are building the next generation of video-on-demand (VoD) and are currently building an all-star team who will help turn our company into a leader in its field. Do you want to be at the crossroads of new technologies and media? Are you excited by the thought of your work being seen and touched by audiences worldwide? The RoleAs Senior iOS Developer you will be at the cutting edge of how media and technology interact. Your primary role will be to deliver a superb user experience by executing a product roadmap and maintaining highly engaging and interactive iOS apps.Success in this position will require designing and implementing key parts of the iOS application and collaborating closely with the UX design and product management teams to define innovative UI and functionalities optimized for mobile platforms. Within the first 30 days and beyond you will be expected to:Define requirements and develop technical specifications alongside our internal business and product teams;Identify and resolve compatibility issues between the wide range of iOS versions and devices;Work with partners to continue improving the quality of experience on the largest number of devices;  ,"Your ProfileYour 3+ years of experience in developing iOS or Mac-based applications and/or products in small to medium sized teams, along with your intimate familiarity with iOS design patterns and coding conventions make you an expert in iOS common software design patterns (including the ones needed to develop connected applications with high-performing UI). Additionally, you possess the following:Degree in Computer Science, Computer Engineering, or equivalent;Expert understanding of the internals of the iOS frameworks, Objective-C, Core Services, Media and Cocoa Touch frameworks, developer tools (Xcode 4 and 5), and workflows (both manual memory management and ARC, debugging, profiling, unit testing, continuous integration, provisioning, distributing and submission to the App Store);Sound object-oriented programming skills with solid HTML, CSS and JavaScript development skills a plus;Familiarity with Apples Human Interface Guidelines and App Store Review Guidelines;Expert understanding of networking, local data caching and multitasking on iOS;Up to speed with iOS 8 changes, new features and requirementsExperience with task planning and estimating effort;Talent is critical, but personality is also a big deciding factor for our candidates. Your excellent interpersonal skills, amazing work ethic, and willingness to work on a broad variety of matters and issues make you an integral member of our team. Bonus           Familiarity with UI Web View.Experience with building apps that utilize REST APIs and cloud services.Development experience with other mobile platforms (e.g. Android), a plusSecurity/DRM experience.Professional proficiency in Arabic and/or Spanish.Appreciation of TV and film. ","Why Join Us? Growing international team with colleagues from all around the world. Combined, we speak 7 native languages (English, Swedish, Russian, Spanish, French, Portuguese, and Romanian).Open-space office structure.Mac Book.Located in the heart of sunny Madrid.Compensation philosophy: You pay for what you get and we want the best!",0,1,0,Full-time,Mid-Senior level,Unspecified,,,0
9667,English Teacher Abroad ,"US, TX, Fort Worth",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today :-)",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
13728,Django Developer,"US, MA, Cambridge",,78000-115000,,Walle is hiring!  We seek a well-rounded engineer with a good foundation in software product development and engineering as well as the ability to think through the complex problems involved in the development of mobile technology to handle the next generation of photo sharing products. ,"Essential skills and qualifications: 2+ years of experience as a Django programmer with all the relevant skills including an expert knowledge of Python and MYSQLExperience with building large, high-volume, 7/24 apps, with at least one full product life-cycleExcellent web server knowledge as well as a good understanding of MYSQL databaseIndependent, yet receptive to team participationStrong attention to detailAbility to work in a fast-paced, deadline-driven environmentGood understanding of design principles and toolsExcellent interpersonal, communication and organizational skills with the ability to interact effectively with employees at all levels within the organization Bonus skills and qualitifications:1+ years experience developing Android Applications1+ years experience developing iOS Applications1+ OpenGL  Education:BS or MS in Computer Science, Engineering or a related area is preferred. ",Full Benefits Package,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Engineering,0
8236,PeopleSoft Quality Assurance Analyst,"IN, KA, Domlur, Bangalore",,0-0,"VARITE is a global IT company providing software consulting and staffing services to Fortune 1000 Companies in USA, CANADA and INDIA. VARITE is currently a primary and direct vendors to the leading corporations in the areas of Networking, Infrastructure Application Software, Semiconductor, EAI, Portal technologies, ERP, Wireless Service Providers, Lending - Mortgage and Financial Services.SpecialtiesNetworking, Infrastructure Application Software, Semiconductor, Cloud, Web Applications, ERP, Wi-Fi, Lending - Mortgage and Financial Services., XaaS, Mobile, Telecommunication, Business AnalyticWebsite: #URL_1cf6cf4bfa17436933cde952193e8118161cbbe0cbbfde729f08b5b9e0d808f0# Industry : Information Technology and ServicesHeadquarters: 12 South First Street Suite 404                        San Jose,CA 95113                         United StatesCompany Size: 201-500 employeesFounded: 2000","Just wanted to touch base with you regarding one of very fast moving requirement of Quality Assurance Analyst (PeopleSoft QA) in Bangalore. Please send me your word copy of resume ASAP, and let me know when we can discuss this position further. Appreciate your time.. If you have any references for this position and those who are looking for a job change, Please forward those resumes also to me. Job Title: HRSP Quality Assurance Analyst Location: Bangalore, Karnataka Duration: 1+ year Max notice period: 30 Days Budget: 9-10 LPA Experience Level: 5+ of QA Testing using HP QC &amp; ALM. 2+ years of PeopleSoft Testing experience in HR Modules. Job Purpose: ""To be automated, global system of record for all human resources data that is reliable, accurate, secure and timely in its processing, reporting and delivery of data and information required to run the business and maximize employee and manager productivity"" Job Summary The HRSP QA Analyst will report to India HRSP Manager. The selected person for this role will be responsible for all Testing/QA efforts related to client's HR applications across different regions (APAC, EMEA, INDIA, US).  Besides enhancements to the existing applications, HRSP also has a roadmap to introduce new systems/applications based on business need. These applications could be home grown OR procured (licensed 3rd party systems).  The successful candidate will have a demonstrated understanding of key QA/Testing procedures. A key success factor for the individual in this role will be to work collaboratively with key stakeholders within HRSP team, across the regions (APAC, EMEA, INDIA, US). ","Essential Functions * Prepare and execute test plans, test cases and test scripts for company wide deployment * Work with Business Analysts and Business Owners to understand business need and expected results * Suggest testing approaches and demonstrate mastery of software testing methods and tools * Plan and perform detailed Integration &amp; Regression testing * Develop test cases to validate each software component and demonstrate the business process under test, the expected result, and the pass/fail criteria * Develop, document and maintain test deliverables (test strategy, test plans, test case to requirements traceability, test cases, defect logs and test status and generate metrics) * Participate in Test Automation efforts and look for opportunities to automate test cases, at least for regression testing Requirements * Excellent verbal and written communication * Experience in working with any of the enterprise wide HR systems, preferably PeopleSoft * Experience in tools such as ALM, SharePoint would be a plus * Solid understanding of HR business processes within a high tech firm * Experience in working with virtual teams spread across geographies * Ability to work with all levels within organization * Ability to prioritize multiple critical tasks and meet agreed timelines * Self-directed, ability to work with limited information and ambiguity * Flexibility to participate in meetings/conference calls beyond India time zone Education and Experience * A Bachelor of Science Degree in Engineering or Computer Science or equivalent is required * 5-8 years of work experience as a Software Tester/QA Analyst in a HR environment Thanks And Regards Abhishek Sharma  #PHONE_70c99d7d95d7334a9c384d729174cc4e51cf8daaf328d0c2d7b4cc1f578fc795##EMAIL_9ecf6d413b9c4bd47e1d69e8cf36eca225eead712b0ff5d279e80e6cec7ec1fb#",,0,1,0,Contract,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
15495,"Full-Time Caregiver for a Fun-Loving, Sassy Woman","US, OR, Milwaukie",,,"United Cerebral Palsy of Oregon &amp; SW Washington (UCP) supports adults with all kinds of developmental disabilities (not just cerebral palsy), so that they can live the life of their dreams.We're growing, so you will see lots of exciting job openings in the next few months!WHY WORK FOR UCP?As an employee of UCP, you'll be proud of the work you do, every day! Our employees assist the people we support to live independently in their own homes and apartments, find and keep their dream jobs, make community connections, serve as their own advocates, and make their own choices. You'll get the chance to work for one of the 100 Best Non-Profit Employers in Oregon. We're fun and flexible, and there's plenty of room for advancement and growth.","United Cerebral Palsy is growing! Were currently seeking a full-time Personal Assistant who will support an adult woman who experiences dementia and balance issuesShe lives in her own apartment in Milwaukie, and is a talkative, fun-loving person with a sassy sense of humor and lots of interests.She does many of the tasks of daily living independently, and minimal personal care and lifting is required (other than moving her wheelchair and walker into and out of your car). Please note that female applicants will be strongly preferred.DUTIES:Develop a natural-feeling, but professional relationship to help her feel comfortable with having caregivers in her home.Help her to pursue her interests, such as going out to eat, TV/movies, ceramics, swimming, meeting new people, Native American memorabilia, and exploring Portland.Keep her house tidy and prepare meals. Ensure she is doing her share of the chores.Monitor her health and safety by administering medications, tracking on-going health conditions, and assisting her to stay safe due to her challenges with balance and dementia.HOURS:38 hours per week: 9am on Sunday to 8am on Monday; 5pm on Thursday to 8am on Friday. Any holidays that fall during your regularly scheduled shifts.TO APPLY:To Apply for Position A, send a resume and cover letter via this webpage.No calls, please.UCP is an equal opportunity employer, and actively pursues applicants from diverse backgrounds.ABOUT UCP:United Cerebral Palsy of Oregon &amp; SW Washington supports adults with all kinds of developmental disabilities, so that they can live, work and play independently.We were recently ranked in the 100 Best Non-Profit Employers of Oregon.To find out more about UCP, visit: #URL_2b35257099321dacb52af707d4a6bdf5dd187d81d6bfd24398f0e5b68a6727a7#.","Experience in mental health or developmental disability field preferred but not required.High school diploma or GED.At least 18 years old.Must pass a physical with a lifting test of 75lbs, drug screen, reference check, and criminal history background check.","$9.47/hour, 5% increase to $9.95/hour after successful 90-day Trial Service Period.Great medical, alternative, and vision benefits for employee, spouse/domestic partner, and children.Generous paid time off.Holiday pay (double pay for the first 10 hours of any holiday shift).401k plan with up to 3% company match.Paid mileage.On-going, and fully paid training.",0,1,1,Full-time,Entry level,High School or equivalent,Civic & Social Organization,Health Care Provider,0
7880,Customer Support,"US, IN, Bloomington",,,"We run #URL_34e817f2a402b90fa03423a629596c1d7d48cd15c859b303842003275d56829c#, a SaaS form management solution that helps businesses and professionals create online forms, and collect data without the need for technical skills, or IT.We're bootstrapped, profitable, growing rapidly, and hiring employee #10 and beyond!We embrace remote work. Our team is spread across 3 different countries. You're welcome of course to move to Bloomington, Indiana, where the majority of the team is located. It's been named one of the best cities for doing business and perhaps more importantly, is the #7 in the US for best places to bike!We run a lean operation with an impressive roster of customers, so your work will not go unnoticed. Everything you'll work on will make our customers happier and give us a better competitive edge.As so many customers depend on our service, and as our team grows, we also value process-oriented people who can help us make our product even more reliable and easier to maintain.","Were looking for tech-savvy and friendly people to join our support team and help our customers get the most out of our service.You will answer questions by email and occasionally jump on a call or a web meeting to resolve an issue. Youll have many opportunities to collaborate with the rest of the team, to share customer feedback and help improve our product.Position is full-time, local or remote.","Familiar with HTML, CSS &amp; Javascript.A passion to help others succeed.",Health CareVacation TimePaid Holidays,0,1,0,Full-time,Entry level,Unspecified,Information Technology and Services,Information Technology,0
260,Product Strategist,"US, CO, Denver",,,,"Skookum is growing in Charlotte, NC and in Denver, CO! As a Product Strategist you will lead a sharp and aggressive cross-functional team in developing web and mobile applications for Skookum Digital Works clients. You must possess a unique blend of business and technical savvy, a big-picture vision, and the drive to make that vision a reality.A Product Strategists key role is… strategic. You will break down complex business problems into executable pieces and align technical and non-technical stakeholders around common objectives.At SDW, Product Strategists are faced with hundreds of decisions on what could be done. What will allow us to succeed is not building something that does a mediocre job for everyone—but instead—we must focus our products on doing a few things extremely well.Responsibilities:Work closely with SDW clients to both learn and convey strategic business objectives and translate those objectives into an executable backlog of user stories.Evaluate what end-users value through interviews and/or on-site observation to identify new features, fix and/or enhancement opportunities.Work hands on with design and development teams to prioritize, plan, and deliver software that meets business requirements and delights end-users.Lead multiple projects from start to finish, managing internal and external stakeholders across functions as well as timeline and budget.Help educate clients on our iterative and lean approach to software development.","3+ years of product management, business analysis, or relevant software experiencePrior programming experience strongly preferredStrong business acumenExcellent communication skills  verbal and written  with the capability to communicate with technical, non-technical, and senior level stakeholdersStrong consensus and relationship building skillsWell versed in AGILE/SCRUM methodologiesExperience writing functional requirements/user storiesUnderstanding and experience with user interface best practicesAbility to quickly grasp concepts and solve complex problemsGood planning and organizational skills with the ability to prioritize and negotiateQuick in learning and adapting to new projects with minimal supervision",,0,0,1,Full-time,Mid-Senior level,Unspecified,Information Technology and Services,Product Management,0
4430,Client Relationship Managers,"RU, MOW, Moskva",,,,"Are you looking to start your career in the financial world or are working within an existing financial services company?Fund Advisers have opportunities for talented sales people to act as sales support to our Wealth Managers.You may have the aspiration of becoming a Wealth Manager in the future or you may prefer an admin role. Either way, your training and development programme will be tailored accordingly. ",,,0,0,0,,,,,,0
8118,JavaScript Frontend Developer,"GB, LND, London",,,"Conversion Factory works with a growing list of European and US clients, boosting their conversion rates and turning more website visitors into customers.At Conversion Factory, you'll get to work across a range of websites spanning multiple industries, and you'll get to make cutting-edge changes based on the latest analytical, UX, and behavioural research as well as our own insights into each client's needs.Check out our current job openings below, or if you have a specific question, get in touch with us at #EMAIL_198cb05690b6d25d0941d1508fab42b4115d99cd19940ed989526fc9aea1dc0f#.","No agencies, please!Join our development team and build user-focused websites and cutting-edge internal tools.We specialise in conversion optimisation  the science/art of improving our clients websites to boost revenue and ensure better user experiences. We split-test creative ideas and prove  beyond a doubt  what our clients should do to keep their visitors happy.Youll work with major brands across industries like social media, education, finance, ecommerce and more, meaning no two projects will ever be the same. Youll be challenged to find innovative solutions and witness your works impact on millions of users. We also develop tools in-house and are at the forefront of the conversion optimisation industry.Were a small team of eleven highly motivated staff making a big impact in the industry and expanding fast. We keep the organisation flat so that everyone gets a say in how we do things. Make your mark with a big project idea or just suggest our next night out.","You should be great at the following:Pure JavaScript (no frameworks)  We code light and clean, so pure JavaScript is often best.jQuery  Fast and reliable.HTML/CSS  Combined with the above, these will be your main tools.Photoshop/Illustrator  Take our designs and make them functional.Cross-browser/device testing  IE still exists. Yes it does.Experience with this is nice too:Optimizely  Our A/B testing software of choice, but experience with VWO, Adobe Test or others is also an assetA/B testing  Our bread and butter","Youll love working with us:Windows or Mac  you decide  Youll also get a second monitor and any other software/hardware you want.Medical and Dental  A healthy employee is a happy employee.Fully stocked kitchen  Weekly food deliveries mean you can order whatever youd like each week.Fully stocked beer fridge  Cider too.Fancy coffee machine  No instant coffee here. Try your hand at latte art with our espresso machine.Staff events  We want to stay close. Company lunches and nights out are a given.Great location  Were in Farringdon, close to great food and all the major tube lines.Salary  Very competitive and based on your experience.Holidays  23 for every employee.",0,1,0,Full-time,Entry level,,Marketing and Advertising,,0
931,Office Assistant,"US, TX, Austin",Operations,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","This position will act as the primary receptionist for the Austin office and will be responsible for providing office staff with basic administrative support, maintaining a welcoming and creative space, and ensuring that office staff have the supplies necessary to effectively perform their responsibilities.  Some specific responsibilities include: Maintaining building security by managing the access cards, office keys and ensuring that public doors are accessible during regular business hours;Overseeing office custodial services, including upkeep of the CSD Café and ensuring that the Café is cleaned and stocked; Maintaining office supplies by checking stock to determine inventory levels, anticipating requirements, placing and expediting orders, verifying receipts, stocking items, and delivering supplies to work stations;Maintaining equipment by completing basic preventive maintenance, troubleshooting failures, calling for repairs, and monitoring equipment operation;Serving customers by answering questions, forwarding messages, confirming customer orders, keeping customers informed of order status, and assisting with office tours;Coordinating and preparing for meetings and special events by assisting with registrations and confirmations, reserving rooms, and arranging for refreshments and necessary equipment;Providing staff support by preparing bulk mailings, sending and receiving faxes and e-mails, coordinating and confirming conference room reservations, and distributes/sorts mail;Other office duties as assigned by supervisor.",High school diploma or equivalent;Minimum of 2 years of professional experience providing administrative support in an office environment;Proficient signed communication skills.,"CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career's page. Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace.",0,1,1,Full-time,Entry level,High School or equivalent,Nonprofit Organization Management,Administrative,0
10980,English Teacher Abroad ,"US, PA, California",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
15996,2015 Undergrad- Research Associate,"US, CA, Newport Beach",,,"Green Street Advisors is the industry leader in real estate and real estate investment trust (REITs) research for over 25 years. Our dedicated research professionals generally cover far fewer companies per researcher than is typical for a securities firm. This specialization, coupled with our independence, allows for exceptionally detailed and timely analytical work while avoiding the conflicts of interest.  Our firm employs over 30 research professionals covering over 100 publicly-traded REITs and all major real estate sectors in North America and Europe. Our mission is to provide unparalleled insight, advice, and service to knowledgeable investors looking to execute the best possible public and private real estate capital allocation decisions. The success of our firm is evident in our proven track-record. View our track record here: #URL_8e9a08c6476d6ea9f4de917acd0dca365e4c56d3a200a78a61d15d19e538f251#  Green Street's North American headquarters is in Newport Beach, California, and our trading desk is in Dallas, Texas. Green Street's European headquarters and trading desk are located in London.","Open to graduating Seniors of the Class of 2015 only.Founded in 1985, Green Street Advisors is the preeminent independent research, trading, and consulting firm concentrating on Real Estate Investment Trusts (REITs), other publicly traded real estate securities, and the private commercial real estate markets in North America and Europe. Green Street Advisors seeks highly motivated candidates with relevant internship experience, a passion for finance and real estate, and superior academic credentials to join its research team. Green Street offers the unique opportunity to advance a career in equity research as part of well-respected, experienced and acclaimed research team. The Undergraduate Research Associate will be responsible for the following key job functions: Collecting and organizing real estate information on markets and submarkets throughout the United States.Preparing and maintaining complex financial models/valuation, projections, and databases.REIT valuation using a combination of quantitative and qualitative analyses.Applying sound understanding and use of finance and valuation techniques.Understanding the implications and impact of news events and economic forces on specific companies, sectors, and assets.Supporting Senior Analysts in preparing and publishing a wide range of research reports.Responsible for writing and crafting first draft research reports and updates in addition to generating ideas, creating layouts, and authoring reports.Establish an unsurpassed understanding of each company in your coverage universe.Demonstrating strong industry knowledge and business judgment.Demonstrating resourcefulness by seeking out new and unusual sources of information.Closely following and understanding real estate equity market activity; demonstrating insight into equity market activity.Interacting with the firms Senior Analysts, REIT management teams, and institutional investors to share perspective on industry and covered companies. ","A Bachelors degree with high distinction in finance, accounting, real estate, economics, or a related field from a top-tier school.Interest in pursuing or progress towards Chartered Financial Analyst (CFA) designation preferred.0-3 years of experience or strong interest in financial services, securities analysis, general securities, capital markets, or conducting financial/economic research.Strong work ethic and motivation to learn, meticulous attention to detail, exceptional research and communication (both written and verbal), high level of organization, creativity and resourcefulness.The ability to multi-task effectively while maintaining accuracy.Strong working knowledge of financial statements and SEC filings.Expert knowledge of Microsoft Excel.Ability to obtain Series 65 licensing required.","Green Street Advisors offers a competitive salary and benefits package that includes health, dental, life insurance, long term disability, paid vacation, holidays, and a 401(k) match.  We also offer voluntary benefits such as flexible spending and vision.Green Street Advisors, Inc. is an Equal Opportunity Employer",0,1,1,Full-time,Entry level,Bachelor's Degree,Financial Services,Research,0
10560,Cargo Representative / Loss Control (Petroleum),"US, TX, Houston",,,"PetroCargo LLC, is a specialist in Fuels Asset Management Services.  Our core business is the management and coordination of oil and gas loading, blending and discharging operations between liquid tanker vessels, terminals and barges.Our specialized experience in complex product blending on-board vessels during loading, loss control and expediting operations has earned us a solid industry reputation, and the loyalty of our clients.We are dedicated to providing a premium service, and are searching for like-minded individuals that are self-motivated with high personal expectations, and a desire to contribute to, and grow with, our company.","PetroCargo LLC, a specialist in Fuels Asset Management Services, has immediate openings for land based Cargo Representatives for bulk liquid petroleum cargo loading, blending and discharging to and from maritime vessels.The Cargo Representative represents our client's interests, from the field and on-board vessels, by coordinating with all parties to insure accuracy, quality and efficient operations, in the transfer and blending of petroleum cargo.  You will utilize your leadership, superior coordination and problem solving skills to provide the highest level of performance, professionalism and proactive customer service. This is a shore-based position located in Houston, TX.","SAMPLE RESPONSIBILITIES:Establish yourself as the primary contact with relevant parties for each assigned vessel, track vessel movement and manage your schedule to attend and participate in Key Meeting and loading operationsPrepare detailed Cargo Loading/Discharge Plans to achieve clients objectives, compliant with terminals requirements.  Communicate with all parties to insure that the plan is understood, agreed upon, then adhered toPrepare Cargo Distribution Plans to achieve clients objectives, compliant with vessels requirements.  Insure Chief Officer understands the plan and his responsibilities during its executionIndependently calculate shore tank, barge &amp; vessel stops.  Coordinate with vessel Chief Officer, tanker men, terminal staff and inspectors to insure consensus and a coordinated effortVerify line displacements, and gauging of vessel, shore and barge tanksProactively monitor loading operations to insure accuracy of quantity, quality and efficient operationsTrack and record actual loading operations, then as needed, revise future plans and communicate to all partiesPresent a detailed explanation of circumstances, then implement / propose well thought out solutions in the event of unexpected resultsExercise sound, independent judgment as circumstances in the field dictateMaintain regular communication with the Office and Clients regarding job status, problems, concerns, etc.Accurately complete all required reports, forms and updates in a timely mannerREQUIRED QUALIFICATIONS:Currently authorized for extended work in the United States (Citizen, Permanent Resident, Etc.)  C-1/D Visa does not qualifyPrior Experience As:  Captain or Chief Officer of an Oil / Chemical Tanker Vessel, or Petroleum Loss Control Representative, or Petroleum Inspector/Surveyor, or Terminal OperatorBachelors Degree or Maritime Academy DegreeHigh tolerance for last-minute schedule changes &amp; extended, night, weekend &amp; holiday hours. Some travel reqdStrong written &amp; verbal communication. Fluent English. Able to effectively communicate with non-native English speaking vessel crews. Skilled at authoring professional, informative and helpful, yet concise emailsExcellent analysis &amp; problem solving skills to assess complex variables, identify source causes and offer solutionsExceptional attention to detail, accuracy and timelinessProven ability to manage your time, tasks and organization to continuously be operating from a proactive stanceComputer Proficiency: email, internet, file operations, Excel, Word, smart phones, tablets, etc. Apple OS X a plusAble to repeatedly climb shore tank ladders/stairs &amp; vessel gangways. Able to effectively See and Maneuver Under / Over / Through or Around obstacles &amp; hazards present on vessels and in terminals, including steep stairwells, deck/wall/ceiling protrusions, low clearance areas, liquids, etc., frequently in low light &amp; low traction environmentsMaintain Active TWIC, valid Drivers License &amp; Reliable Transportation DESIRED / BONUS QUALIFICATIONS:Candidates also fluent in Hindi, Tagalog or Russian are preferredOnly candidates meeting the above qualifications will be considered.","Base Salary + Participation in our Vessel Performance Incentive PlanUp to $500 monthly reimbursement toward the cost of qualifying health insurance for you and your family$100 monthly cell phone allowance$40 daily meal benefit for days worked$15 daily transportation benefit for days worked in the Greater Houston AreaTravel reimbursements, when required to travel outside the Greater Houston AreaPaid Time OffWorkers Compensation Insurance",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Oil & Energy,Quality Assurance,0
12410,Blipp Developer / Mobile Augmented Reality Developer,"TR, 34, Istanbul",Creative Services,,"As augmented reality jobs go, one at Blippar is hard to beat. We are a rapidly expanding creative startup at the forefront of a brand new industry, so working here offers real opportunities to shape an innovative technology and the way in which people use it.Because of this, we like working with imaginative people who think outside the box, and we love people who throw the whole box away and build something completely new. Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm.Aside from being part of an exciting, driven, super-friendly team that will nurture your talents and help you grow, at Blippar youll find plenty more tangible benefits, including weekly free lunches, evening drinks, and Olive, the office dog.Youll also be working intimately, from the start, with some of the worlds biggest brands - from Coca Cola and Nestle to Conde Nast, P&amp;G and Jaguar.As a young, growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative control youll be afforded, whether youre part of the design, tech, commercial or marketing teams. After all, we think our people are amazing, so we want them to stick around.","Were looking for phenomenal blipp developers to help us build a wide range of awesome augmented reality experiences for our industry-leading brands, agencies and publishers from inside our London office.Youll be working alongside our small, dynamic, bespoke blipp development team to ensure our app delivers high-quality and innovative customised experiences for all our super-hot clients, to then be seen by our six million users. Were experiencing an exciting stage of growth here at Blippar, and as our apps audience continues to expand across the world we need passionate, innovative, dedicated production artists/3d modelers/coders who are hungry to push the boundaries of augmented reality advertising.This role is thrilling and varied; your primary task will be to build and develop unique, bespoke campaigns for our big name custom blipp clients, who include Pepsi, Coca Cola, Nestle, Warner Brothers, Jaguar and Conde Nast.At Blippar we have our own programming language and frameworks, enabling you to easily develop a range of functionality into apps on each operating system.With a number of international offices, a superb client base and millions of app users, this role offers huge opportunities for growth and career advancement. You will have the chance to work across all our outstanding teams at the cutting-edge of augmented reality and wearable technology.","We need bright and engaging individuals who get as excited as we do about the potential Blippar has to genuinely change the world. This role is perfect for a recent graduate with a couple of years of commercial exposure, who is now wishing for further challenges and the chance to take a real step forward. You will be passionate about mobile and the opportunities it offers, excited and imaginative about where you see augmented reality, image-recognition and wearables heading, and confident working with a range of technologies.To build blipps you will need to be skilled in hands-on coding, 3D-modelling and scripting. We have high expectations and you will certainly be challenged; blipps are built quickly, using clean and effective code.Ideally you will have:An understanding of augmented reality (Modeling, Coding and Crative Process)Web-development skills (HTML, HTML5, PHP, Javascript, jQuery, MySQL, etc)Exposure to mobile and gaming projectsTwo years of commercial experience (ideally agency-side)Portfolio of work, including mobile/reactive design and build projectsA passion for new challenges","Our bright, open, centrally located offices are energetic environments bursting with collaborative ideas and boundless enthusiasm. As a growing company spearheading the exciting augmented reality industry, the biggest perk of all is the creative freedom and control youll be afforded; this is a genuine opportunity to shape a brand new medium and the way in which people all over the world use it.You will be working closely, and from the start, with some of the worlds leading brands, media agencies, publishers and educators - including Pepsi, Warner Brothers, Procter &amp; Gamble, Conde Nast, Disney and Coca Cola - developing creative augmented reality solutions for global powerhouses across the full spectrum of industries. What we are doing has never been done before, so an active imagination is something we value particularly highly.Blippars senior management team are dedicated, hands-on, approachable people who will nurture your talent and encourage the development of your own ideas; we believe these are key to the continued success story of our platform.Being part of Blippars close-knit, passionate, forward-thinking team affords plenty of potential for learning about and getting involved in all sections of the business. As a creative tech company offering spectacular marketing solutions to clients, there are few areas we dont touch upon. Life at Blippar is a steep learning curve, ideal for ambitious candidates who wish to push themselves into completely uncharted territories.We also offer:Competitive packagesGreat benefits and shares schemeEmployee incentive schemeFlexible working environmentWeekly beers and free lunchesOur office dog (London)International travel opportunitiesChance to develop groundbreaking techWork with state-of-the-art hardware and softwareAccess to new wearables including Google GlassA challenge to change the worldChance to shape a new industryCreative freedom and controlExperience with all mobile operating systemsCollaborative opportunities with huge brandsPlease apply now for more information about this role, or check our careers page for details of other opportunities to work with us.",0,1,0,Full-time,Associate,Unspecified,Internet,Production,0
15053,Graphic Designer,"US, NY, New York",Brand ,,"About the CompanyAviary makes the worlds best photo editor. Its used in more than 6,000 apps, including our own, which is a Top 100 app on both iOS and Android. Each month, more than 65 million people actively use our products.The Aviary team is on a mission to democratize creativity. The company was founded by the creators of Worth1000, who have always been obsessed with the idea of turning everyone in the world into artists. We are 25 people based in New York and financially backed by leading investors including Spark Capital, Amazon CEO Jeff Bezos and LinkedIn founder Reid Hoffman. Aviary is well positioned to lead the next generation of creative companies.Aviary has a highly team-driven company culture. Everyone in the company is great to work with and contributes meaningfully to the overall company direction. As one might expect, we are a creative group inside of work and out: whether its painting, improv comedy, bagpipes, or karaoke, our team members have diverse and interesting passions. We keep our culture startup-friendly to the core (ping-pong tables, free lunches and party games abound) and plan to keep it that way forever, whether we are 25 people or thousands.Why work at Aviary?We build beautiful photo editing software that can be used in any application. We're a small, creative team and we're passionate about powering the world's creativity. If you're an awesome person who wants to help us achieve that goal, we'd love to hear from you!Working with usYou'll work in a fast-paced startup environment, full of challenges and new opportunities. We can promise with complete confidence that you will never be bored.Share a workspace with a variety of creative, interesting people with a huge range of weird hobbies.Great location for commuters: our windows literally overlook Madison Square Garden. We may or may not have tried projecting our website onto MSG. (We totally did. It totally worked.)Our conference table doubles as a pool table. Plus, we have heated matches of ping pong, foosball, and Fifa to help get the creative juices flowing!PerksWe want a happy, healthy, and creative team. We know you can't have that without real work/life balance and plenty of perks. To that end, we offer:Competitive salaries, full medical/dental insurance, tons of paid vacation, and an ample budget to customize a top-notch workstation to your hearts content.All the free coffee and snacks you can consume. Fully stocked fridge. Catered team lunches four days a week.Constant learning. Coding workshops, company-sponsored educational courses, and genius coworkers who show each other new things every day.","Aviary, creator of the worlds best mobile photo editor, is seeking a Graphic Designer to join us in our New York City office and own all of our graphic design efforts. As part of Aviary's creative team, you'll be helping us imagine, design and launch engaging photo editing experiences for over 70 million users around the world. From fancy word art to imaginative photo frames, you'll be our go-to guy or gal for inventing fresh ways for our community to make their photos and photo-based art more beautiful, expressive, shareable and just more fun. You'll also be working with some of the world's biggest brands to translate marketing objectives into creative native advertising campaigns, connecting brands to a global audience through the medium of app-based mobile photography.You'll be working on a variety of projects with us - from presentation decks, to illustrations, to art directing small projects with freelancers - but some specific details are below.ResponsibilitiesConcepting and executing digital stickers, frames and other creative tools for making mobile photos more awesomeTranslating the creative concepts of others, including global brands, into fun and engaging photo editing experiencesDesigning beautiful marketing assets that show off our photography tools and creative contentSupporting our brand sales team with pixel-perfect campaign mockups and other sales materials"," 3+ years of professional design experienceFluidity in major design programsA passion for photography, and ideally a knack for itA go-getter attitude and self-motivated work ethic that operates awesomely under a low-ish level of managementA killer portfolio bursting with examples of your impeccable eye, admirable relationship with white space and grid systems, and ability to creatively express both your personal aesthetic and a client's aestheticAn ability to work well with a team, under pressure and with a sense of humor",,0,1,1,Full-time,,,,Design,0
480,Customer Service Associate ,"US, WI, Milwaukee",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Milwaukee, WI. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Perform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 1 year customer service related experience requiredHigh school diploma or equivalent (GED) requiredKeyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Administrative,0
5316,Scrum Master / Agile Coach,"DE, BE, Berlin",Engineering,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","Scrum Master / Agile Coach (m/f)We are looking for a Scrum Master / Agile Coach (m/f, full-time) to start immediately in our office in Berlin-Kreuzberg, Germany.#URL_8e4e9897aecb1980ec96e296864343a4402263bc440bec39377381446da44dc8# is growing fast while we are shaping the future of learning. Our products are available to all mobile and internet users worldwide. We are constantly improving the way that we build products and engineer software to speed up innovation for our millions of users.As Scrum Master / Agile coach, you will help our product and engineering teams raise their game by providing coaching, implementing agile best practices and facilitating artefacts and training.Responsibilities:Assume Scrum Master role for 2 Scrum teams in daily workHelp the teams remove impediments fast and ensure an optimal working mode across teamsEmpower teams to make process visible, more predictable and continiously optimizedEnsure visibility of status of projects and initiativesSupport product owners to elaborate minimal viable products, backlogs, user stories and release plansWork closely together with present Scrum coach and management on elaborating existing and implementing new lean and agile practices in the product/engineering teams as well as the companyTeach and coach by example: demonstrate agile practices before stepping back in a coaching roleHead agile initiatives and drive them successfully through executionFacilitate sprint reviews, retrospective and planning and setup bigger retrospectives with other Scrum teamsPlease note: We do not accept applications from recruiting agencies.About Babbel#URL_8e4e9897aecb1980ec96e296864343a4402263bc440bec39377381446da44dc8# enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire. Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers. Reed Elsevier Ventures, Nokia Growth Partners, Kizoo Technology Ventures as well as VC Fonds Berlin and VC Fonds Technologie Berlin, which are managed by IBB Beteiligungsgesellschaft, have had a stake in Lesson Nine since March 2013. More information can be found at: www.#URL_8e4e9897aecb1980ec96e296864343a4402263bc440bec39377381446da44dc8#","Requirements:Proven track record in coaching agile software teams - ideally in web, mobile, backend2+ years experience as a Scrum masterHands-on attitude and pragmatic approachGreat communication and influencing skills to get teams to collaborate, try and adopt new practices and share knowledge in the organizationPractical knowledge on agile principles, lean, kanban, Scrum etc and following closely the agile community to constantly inject best practices into our daily workExperience in implementingagile and lean practices (e.g. retrospectives, managing WIP and flow, estimation, release planning, visibility and information radiators)Experience in coaching, teaching and guiding teams and individuals in various roles within a fast-paced organizationFamiliarity with agile engineering practices (TDD, CI, CD etc.)Optional: Technical background as a software developer in either fieldOptional: agile portfolio management","We offer you:Responsibility from day oneProfessional and personal growthA lively startup atmosphere with friendly working hoursLong-term prospectsA vibrant international team from over 20 different nationalitiesExcellent working conditions with a location in the heart of the Berlin sceneCheck out our jobs page, our blog, and our techblog to get an impression of how we work at Babbel ! ",0,1,1,Full-time,Mid-Senior level,Certification,E-Learning,Engineering,0
12163,PHP Web Developer - Royal Oak Metro Detroit,"US, MI, royal Oak",,,,"**Applicants MUST BE LOCAL and willing to work at our Royal Oak office in Michigan.**We want to add an experienced Developer to our Royal Oak based web design team.We want to add an experienced developer to our Detroit-based creative group. We build websites and web applications that are not only good-looking, but also sophisticated and supremely functional. That and, were always looking for new solutions and technologies to help our clients achieve their goals faster. The person we want to hire should have an intimate knowledge of the full web development stack from how OOP works to building front-ends in HTML/CSS. ","We want someone who is:Is not only smart and creative but organizedIs a terrific communicator both to their teammates and to our clientsHas a painstaking attention to detailIs always paying attention the latest and greatest technologies available on the webDoesnt just want a place to work, but a environment to learn and be challengedEnjoyable to be around and work withSpecific Requirements:Good knowledge of front-end responsive website design/developmentPHP knowledge with experience, especially with platforms like Wordpress (bonus custom build platforms like CakePHP)Understands object-oriented programming principles and techniquesLoves Javascript and JQueryStrong CSS skillsKnowledge in Adobe Creative Suite apps (Photoshop, Illustrator)Believes strongly in good design, usability and efficiencyExceptional communication skills are keyHas a painstaking attention to detailDoesnt just want a place to work, but an environment to learn and be challenged","Open Office Space; Laid-Back, Collaborative EnvironmentCompetitive compensationFlexible schedulingFancy chairsDaily Snacks &amp; CoffeeInteresting, Varied ProjectsPaid Vacation &amp; HolidaysCool place to workAwesome PeopleCasual work enviornmentIf you can create engaging websites/web applications, communicate well with others and meet the criteria of what was just said above - then we're definitely interested in meeting you!Please send examples of / links to your work!!**Applicants MUST BE LOCAL and willing to work at our Royal Oak office in Michigan.**We apologize in advance if we cant respond to all applications individually, but well try! We will notify people of interview times and dates via e-mail should your application be successful.Good luck!",0,0,0,Full-time,Associate,Bachelor's Degree,Marketing and Advertising,Other,0
11486,BD10 9TQ Recruitment Apprenticeship Available Under NAS 16-18 year olds only!,"GB, , Bradford",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",This is fantastic opportunity for someone wanting to start their career in Recruitment. During the first 12 months you will work towards a Level 2 Recruitment NVQ and then be kept on in a permanent position. You will be working for a Recruitment company and the role will involve: -Developing and building new business-Face to face meetings-Fulfilling retained executive search campaigns-Placing candidates into a job Ideal candidates will be computer literate and have excellent communication skills. If you are honest and hard working send your CV to #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee# ,Government funding is available for 16-18 year olds,Future Prospects,0,1,0,,Not Applicable,High School or equivalent,,Sales,0
3958,Team Lead Support Engineer,"US, , ",,,"Graphs are everywhere. From websites adding social capabilities to Telcos providing personalized customer services to innovative bioinformatics research, organizations are adopting graph databases as the best way to model and query connected data. Neo Technology researchers have pioneered graph databases since 2000 and have been instrumental in bringing the power of the graph to numerous organizations worldwide, including 25 Global 2000 customers, such as Cisco, HP, Accenture, Deutsche Telekom, and Telenor. Serving customers in production for over a decade, Neo4j is the worlds leading graph database with the largest ecosystem of partners and tens of thousands of successful deployments.Neo Technology is a privately held company funded by Fidelity Growth Partners Europe, Sunstone Capital and Conor Venture Partners, and is headquartered in San Mateo, CA, with offices in Sweden, UK, Germany, and Malaysia. For more information, please visit #URL_037405d1fc6ccc5d2e9a34b60a242f1fa611220c23b9ddd495a526bd2aa0814a#","At Neo Technology we are passionate about helping the world understand their data and we believe that (graphs) -[:ARE] -&gt; (everywhere).The use of our Neo4j (#URL_c3aa18fef00f0d0e4ab8b25414c09fbf9d1be1ec9ea4f3a88f467836008aab71#) graph database is growing significantly in industries all around the world.  We need people that are passionate about customer success, tired of old-RDBMS ways, love to get into code, and want to be a part of a real data movement.The Team Lead Support Engineering role at Neo Technology will be both a hands-on and leadership position.  You will work with our customers day-to-day providing technical support for their implementation of Neo4j.  This isn't just a database administration support position though; you'll get directly into code and have the opportunity to guide our customer's Engineering and Operations teams through development and operational configuration.  You will work directly with our Engineering and Product Management teams, collaborating with them to provide technical support and to advocate for our customers requirements.  Strategically, this role will also help define and shape the delivery of technical support to Neo4j customers, including processes for managing support cases, means of providing proactive support, and best practices around queue and case management.","5 years or more experience in Technical Support role with a majority of the time supporting Enterprise customersDatabase system experience with preference towards experience in NoSQL solutions and Neo4j desirable, but not required.Significant experience with Java, which includes the ability to understand, write, and debug Java code; an ability to analyze and troubleshoot complex server-side Java applicationsExperience authoring and producing knowledge-base articles, FAQs, and other outbound support documentationCapable of working with remote Engineering teams in multiple different time zones to bring cases to closureWe look forward to (you) -[:WORKING_AT]-&gt;(Neo Technology)",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Engineering,0
5787,UI / UX Designer,"US, CA, San Francisco",,,"Aclima is an early-stage company based in San Francisco that designs and deploys distributed, large-scale sensor networks to empower people with actionable environmental quality data. Our end-to-end solutions collect, process and analyze real-time streaming data from thousands of sensors, enabling a level of environmental awareness that has never been possible before. We believe our technologies can redefine the way we imagine and manage our buildings, communities, and cities, helping us improve our collective well-being.","Aclima is an early-stage company based in San Francisco that designs and deploys distributed, large-scale sensor networks to empower people with actionable environmental quality data. Our end-to-end solutions collect, process and analyze real-time streaming data from thousands of sensors, enabling a level of environmental awareness that has never been possible before. We believe our technologies can redefine the way we imagine and manage our buildings, communities, and cities, helping us improve our collective well-being.As a UI Designer, youll be joining a growing team of mechanical engineers, electrical engineers, data scientists, and firmware developers, database developers, and web application developers. Were looking for a UI designer with at least  2-3 years of experience who has heavy UX chops and expertise in CSS-based design, peppered with JavaScript-based interaction design/development. The ideal candidate is someone who is excited and passionate about data visualization, mobile design, and responsive design and has strong opinions about all three. The role will largely drive visual and experience design while working closely with our Design Director and Senior Product Designer to help implement Aclimas Branding &amp; Design Guidelines into a cohesive web- and mobile- based experience.Our design and engineering culture values rapid iteration, continuous improvement, and as much automation as is sensible.  We work in a relaxed, purpose-driven atmosphere with flexible hours and competitive perks.  ","Proficiency w/ vector and bitmap design applications2-3 years of experience designing user interfaces; proven track recordUX experienceSelf-motivated, with strong communication skills",,0,1,0,,,,,,0
15937,Data Architect,"US, DC, Washington",,,"Mutatio delivers Business Intelligence and Customer Relationship Management solutions for government. Everyone here is relentlessly customer focused and results driven.  Mutatio employees apply their experience, proven best practices and leading edge technology skills  to deliver meaningful benefits.   At Mutatio, we help our clients improve performance through actionable insight, empowering government to do more with less.  If you are passionate about applying your experience, dedication and technical chops to make a positive impact, lets talk.At Mutatio, we see the exponential growth in data variety, velocity and volume as the foundation for true Business Intelligence.  We are always looking for data architects, engineers, analysts and scientists who can empower government to drive results from the ever increasing data available to agencies. their partners and their constituents.Mutatio designs and delivers government Customer Relationship Management solutions, providing agencies the enterprise view they need to promptly address inquires and solve problems with the highest levels of quality and security.  We see agency constituents and partners as customers.  Mutatio CRM consultants have years of hands on experience with proven technologies and government process improvement.","The Data Architect is responsible for the overall ETL architecture for an Enterprise Data Integration solution. Works across project teams to ensure ETL design and development is performed in a consistent manner following agreed upon design and development principles and standards. Works with customer Enterprise and Data Architects to define, update and maintain data architecture principles and standards. Performs ETL development work when needed to ensure projects are kept on schedule.","Responsible for the overall ETL architecture and to ensure that all ETL components of that solution adhere to the defined architectureWorks directly with the Data Management team to create, update and maintain all ETL related architecture documentsResponsible for determining when deviations from architecture guidelines are acceptable and documenting those exceptionsResponsible for leading any enterprise wide ETL initiativesProvide leadership within the ETL teamParticipates in business requirements, data model, ETL and database design reviewsCoordinates with other Enterprise and Data Architects to ensure a cohesive solutionFulfills responsibilities associated with the ETL Specialist roles as needed on projectsAnalyzes, designs, develops, implements Data Warehouse ETL and Business Intelligence solutionsWorks collaboratively with users to understand requirements, align priorities and interactively develop solutionsDevelops and automates ETL processes that follow standards and best practices and are highly optimizedInterfaces with business users and evolves ETL processes to meet business requirementsIdentifies, communicates and resolves data quality, data reconciliation and data integrity issuesDevelops test plans and conducts testing according to the planQUALIFICATIONS:Demonstrates a detailed knowledge and understanding of all aspects of Enterprise Data Management including Data Integration, Data Quality, Data Governance, Master Data Management, Data Federation etc.Ability to resolve complex ETL problems by proposing and implementing long-term solutionsETL Development experience (5+ years)ETL Architecture experience (3+ years)Expert in Data Integration, Data Quality and Master Data Management toolsProficient in all aspects of Data profilingProficient in all aspects of Data Quality AnalysisProficient in the Systems Development Life Cycle (SDLC) process (3+ years)Proficient in writing Oracle PL/SQL packages, procedures, triggers, and functions.Strong documentation skillsStrong coordination skillsB.S. or higher in Computer Science or other technical field is requiredAbility to obtain US Government Public Trust clearance is required","Mutatio wants to provide our customers with industry leaders. We want to always provide our customers the talent required to deliver results beyond what other firms (our competitors) can even imagine. In order to attract, hire and retain such people we offer generous benefits combined with an exciting, informal and empowering culture.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Consulting,0
12946,Project Manager,"US, NC, Charlotte",,,,"This project is to help staff up the Ash Basin Strategic Team Implementation. This is a new project being developed to proactively prevent the incident like Dan River Ash spill. The project plans are in place and we are looking to build a team of project managers to support this implementation. Team of 4 based in Charlotte that will cover Carolinas West. Travel will be 60% based on the plants located in Western Carolinas. Home base is Charlotte but Project Managers will be on site during project roll out. Project Manager will function as the overall lead to ensure project activities are performed safely, on schedule and within the project budget. PM will be responsible for the oversight of the final, complete cost estimate packages for formal approval of total project funding. Will aid in the establishment of contracts with key suppliers including developing bid package, receiving bids and selecting vendors. PM will provide oversight of all internal and external project work tasks. Ensure project activities occur as scheduled and ensure resolution of issues identified during design, implementation and/or testing. Aid in the development of contingency plans based on Risk Matrix. Provide project implementation cost reporting to ensure costs are with the project plan, budget and forecasts. Responsible for the development of presentation to Senior Management The PM will perform all duties to ensure that the A/E meets design requirements. Will identify and implement a plan to ensure that the implementation meet site/departments requirements for schedules, including training, procedures, equipment procurements, budgets and schedules, etc. PM will be responsible person for budget, schedule and scope. Desired: Associates degree+. All project managers need to have an experience in civil engineering and or field project manager experience. They must have experience creating project plans from scratch. They must have excellent presentation skills as they will be reporting and presenting project plans to Executives in Charlotte and Raleigh. They are looking for a Project Manager with experience in extreme oversight and high value projects. ",Examples of experience they want: -Heavy Civil Engineering -Mining -Petroleum -Hydro Electric -Chemical -extreme grading What will not work is retail/commercial construction or Roadway builds or large residential builds. The project values is in the billions and so they want someone who has managed in the million dollar range of projects and higher. Also projects that have Extreme Safety measures or Extreme safety processes in place.,,0,1,0,,,,,,0
8063,Demand Generation Marketing Manager ,"US, , Burbank",Connectivity,,"The Boston Consulting Group (#URL_45423e1e16703aa4d8ee444d3ad69bb51525f1dad0b3115713cf80dc496299e2#) has launched a new digital business, BCG Digital Ventures (#URL_4968ff917b2cf554a3e92b004e0c92d03e4c10730070a0df7e84f0d3db806e53#) - a digital innovation, product development, and commercialization firm.  This first-of-its-kind firm will bring unique capabilities to create and grow disruptive digital products and platforms.  BCG Digital Ventures goes beyond providing consulting, design, and technology services to form strategic venture teams with its clients in order to rapidly develop, launch and grow transformational digital products, platforms and businesses.The Boston Consulting Group (BCG) is a global management consulting firm and the world's leading advisor on business strategy.  BCG partners with clients from the private, public and not-for-profit sectors in all regions to identify their highest-value opportunities, address their most critical challenges, and transform their enterprises.BCG was founded in 1963 and is a privately owned firm with more than 7,000 employees across 81 offices in 45 countries.  Integrity, respect for the individual, delivering value, and making an impact on society are just some of BCG's core values. BCG's commitment to both their clients' success and their own standards is what sets BCG apart as a world-class professional services organization.","The Demand Generation Marketing Manager is responsible for the demand and lead generation campaigns with a focus on crafting and executing online and offline programs, then tracking and reporting on the results of the programs. The main objectives of this role are to generate an incremental pipeline for existing and new products and services. This person will leverage tactical messaging to influence the marketplace, capture customers and prospects, and generate, nurture and convert the pipeline. Specifically... Engage as part of a cross-functional marketing operations team responsible for technology integration and marketing process in order to measure and drive enterprise growthResearch, develop, produce and present a comprehensive Demand Generation Plan for short and long-term profitable growth; and develop the campaigns, execution steps, content requirements, reporting templates, and supporting systems managementHave a strong analytical and creative approach to problem solving Create and develop demand and lead generation activities, conversion programs, and marketing campaigns that provide measurable improvement for top-line and bottom-line growth and meet goalsExhibit comprehensive understanding of marketing practices such as: email, webinars, events, social media, and search engine marketingCreate and develop integrated programs and campaigns in alignment with new product launches, co-marketing campaigns, existing product upsell and cross-sales, and new market developmentEnsure Sales has all needed tools and training to drive pipeline to closeCommunicate and collaborate with other channel marketers, product marketers, sales, and other stakeholdersSupport overall company branding and awareness as part of the overall marketing initiatives and lead generation programsAggressively review and stay abreast of market trends through all available resources, including trade publications, Third Party Market Reports, direct customer contact, participation in industry conferences/expos/trade shows, etc.","Education: Bachelors degree requiredExperience: 3-5 years of experience in demand generation, marketing communications, product marketing, demand generation or channel marketing SaaS experience highly preferredCreative thinking capabilities; Interest/desire to learn and adopt new marketing strategies and tacticsBusiness analysis experienceGo-to-market development plan capabilities including successful launch planning, positioning, and executionStrong ability to write informative and compelling copyDemonstrated results in improving sales pipeline/funnel close ratiosUnderstanding of marketing automation systems and softwaresStrong interpersonal skills; ability to effectively coordinate between multiple teams and departmentsExcellent written and oral communications skillsStrong organizational skills and ability to meet deadlines in a fast-paced environment","Competitive salary + very generous benefits + equity/upside.You will join a very well-funded, profitable startup that is building industry leading customer insightand intelligence technology.Because we are a fun, fast-paced, entrepreneurial company with that environment that empowers youto drive results.Brand new 14,000 sq. ft. office in downtown Burbank.Enjoy catered lunches, daily! ",0,1,0,,,,,,0
6540,Business Development Executive,"US, MN, Chanhassen",,,,"Aggressive outbound prospecting conducted primarily by phone and email Submit Fitness On Demand placement on all procurement and bidders list for fitness equipment Self generate sales leads Complete discovery research to learn more about a prospects business, strategy, and unique needs Cultivate, develop, and manage B2B leads throughout the Fitness On Demand sales process Actively manage and update the Fitness On Demand CRM Work in conjunction with the Fitness On Demand team on sales and marketing projects*other duties as assigned","2 years of B2B sales experience 4 total years of sales experience Self-starter, self-driven with an entrepreneurial style Track record of strong sales success performance Experience with health and wellness equipment and/or in the health and wellness industry (preferred) Ability to understand health and wellness concepts, value propositions and product solutions",,0,0,0,Full-time,,,"Health, Wellness and Fitness",Sales,0
8300,Executive Assistant / Office Manager ,"US, NY, New York  ",,,"Digital Brand Architects is a transformative boutique agency that expertly navigates the digital landscape through a two-pronged business model: one that tackles digital strategy and social media innovation for some of the worlds most venerable brands and companies, and the other that specializes in the talent management of a world-class portfolio of over 90 top online influencers and publishers. DBA is best heralded as the first and only agency to have a dedicated management division for online talent and for pioneering a monetization model that leverages a digital publishers influence online and builds them into prominent brands offline.",Digital Brand Architects Executive Assistant Office ManagerDigital Brand Architects New York office is seeking an Executive Assistant / Office Manager to provide administrative support to the Executive Management Team. This position will also support the Finance Department and manage various office related activities.  ,"SPECIFIC RESPONSIBILITIESMaintain calendar, schedule and travel arrangement of Executive ManagementRegularly organize meeting, appointments, conferences, and calls with both internal and external business partnersDirect incoming calls and act as an information resource and host to clients and guests. Coordinate and execute special company events  Responsible for office operations and facilities, including acting as liaison with service vendors, ordering supplies, overseeing replacement of all office equipment as necessary and addressing phone and facilities issues.Complete special projects and miscellaneous tasks as needed. ADDITIONAL REQUIRMENTS2 Year experience as an Executive AssistantAdvanced MS Outlook skillsExceptional calendar management and scheduling skills Superior communication, writing and verbal skills with an ability to counsel top executive managementStrong interpersonal skills, ability to work in a team environmentProactive, detail-oriented, and extremely organizedAdherent to deadlines and follow-through ABOUTDigital Brand Architects is a transformative boutique agency that expertly navigates the digital landscape through a two-pronged business model: one that tackles digital strategy and social media innovation for some of the worlds most venerable brands and companies, and the other that specializes in the talent management of a world-class portfolio of over 90 top online influencers and publishers. DBA is best heralded as the first and only agency to have a dedicated management division for online talent and for pioneering a monetization model that leverages a digital publishers influence online and builds them into prominent brands offline.Visit #URL_371604961093bbbf5988cef4717537ae3b2424fe2a9206e0a6640ff46dfccc34# for more information.",,0,1,1,Full-time,Entry level,,Marketing and Advertising,Advertising,0
9768,Chief Financial Officer - Leading Packaging Company ,"US, TX, Houston",,,"ABOUT VERTO Verto Partners is a premier corporate performance acceleration firm that works with middle market companies - between $10M to $1B in revenue - across 28 industry sectors. Verto works with or on behalf of management, Boards of Directors, or investors to effect transformative changes in companies facing challenges such as high growth, stagnant performance, or decline. Verto's services include strategy, operations transformation, interim management, deal support, business development, capitalization, and human capital.   #URL_c9847e5a3b1d35edc0cb45391a3165ba47e17069e405f17eb811877ac37227a7#","Verto Partners has been retained by one of our clients, a $300M, family-owned packaging company, to assist in finding a highly capable, dynamic Chief Financial Officer (CFO).  The CFO will oversee all aspects of the clients accounting functions, while designing and coordinating a wide range of financial reporting.  The CFO role is additionally accountable for strategic planning disciplines and active management of the clients aggressive growth as a member of the Executive Management Team.  The CFO is a direct report to the President.  The ideal candidate is currently a technical CFO or a very strong Controller of a larger organization in the packaging industry.Our client is an American success story, built from scratch over several decades into one of the sector-leading manufacturers of many of the products it produces.","Responsibilities of the Role  The CFO will be responsible for overseeing all aspects of the Companys Accounting function, including general accounting, financials, treasury management, and cost control, to include monitoring ongoing expense reporting and budgeting by department.  In addition, the CFO will supervise the A/R, A/P, and Payroll functions of the Company.  The CFO will also, in a timely manner, develop, prepare, validate, and deliver accurate and comprehensive financial and statistical reports.  The CFO will work closely with Sales &amp; Marketing to ensure adequate profit margins and will work closely with Production in the control of costs and inventory, taking an aggressive and authoritative posture in these domains as necessary to ensure financial responsibility of selling activities.  Additionally, the CFO will ensure that the Company maintains tax compliance and takes advantage of tax credits.  Furthermore, the CFO will aggressively manage cash flow while coordinating financing activities with banks and other external agents.  Finally, the CFO will actively work to maximize the return on all Company assets and the Companys investment portfolio.Requisite Capabilities, Credentials, and Characteristics  First and foremost, the CFO must have in-depth expertise in Cost Analysis/Management and manufacturing, followed closely by experience in the packaging industry.  Although not essential, ideally the CFO will also be conversant in/familiar with the Radius ERP system.  In addition, the CFO must be extremely analytical.  S/he must have held IT management reporting responsibility and must possess extensive reporting experience in a corporate finance setting  preferably at least once in a leading packaging company  with the proven ability to develop and adapt meaningful metrics to capture all performance aspects of the business.  The CFO will have experience developing forecasting models and will have experience-tested aptitude for strategic planning.  The CFO must be a strong advocate for process improvement and will be able to cite specific examples of achievements validating this capability.  Finally, while possessing a MBA and/or CPA is preferred, the CFO must have at least a bachelors degree with at least 15 years of experience in corporate finance.Special consideration will be afforded those candidates who, in addition to the essential capabilities noted above, also have exposure to commodity hedging practices, advanced treasury cash management strategies, and tax minimization strategies and that have demonstrably employed these practices with success.The CFO must carry her/himself with a collegial and collaborative style of working with other Executive Team members, must be able to be firm where appropriate, and must be comfortable reporting to and working with the President in Owner/President-structured companies.Finally, this is a family-owned business that takes pride in its winning culture and dedicated team.  The CFO would be a key leader and cross-functional team builder in this environment.  As such, it is important to embrace the management principles on which the Company was founded.  This has been an important part of the Companys competitive advantage.","Compensation  The position will provide a base salary in the range of $200,000.  Additionally, the position will pay a bonus, subject to performance against annual incentive plan criteria to be developed in conjunction with the President.  A strong package of standard benefits will be provided, including health care coverage.  Location  The position is based in the Houston metropolitan area.  While preference will be given to qualified local candidates, well-qualified candidates possessing strong credentials will be considered from across the continental United States.  Relocation assistance will be offered to a successful candidate who is presently located outside the local area, and such a candidate will be expected to relocate upon starting in the role.",0,1,1,Full-time,Executive,Bachelor's Degree,Packaging and Containers,Finance,0
9416,MOBILE / ANDROID DEVELOPER ,"DE, BE, Berlin",,,"LOOP is an award winning interactive agency based in Salzburg with satellite offices in Copenhagen, Berlin, Sydney and New York City. We work on remarkable online campaigns in web, social and mobile specializations. Over the last few years our brilliant team has done outstanding work for internationally recognised brands such as Puma, Audi, Clearasil, Durex and many more. OUR SERVICES.We create digital experiences for brands and companies by using creativity and technology. From design to development, from mobile to social, from content production to monitoring and analytics. LOOP offers a broad range of services to help businesses and brands thrive in the digital age. LOOP works together with brands to craft strategies along with a unique and innovative digital approach. We thrive on collaborating with clients who are looking to push the limits and think outside the box.ENGAGING THE #URL_1cc142d21262b78a43aae9217a11ae2d5ebb9f2289a01a905afe6ffe361c4565#'S WHAT WE'RE HERE FOR.The common things expected from a digital agency are nice looking websites, branded social media profiles, some mobile apps and possibly a few microsites. At LOOP we aim for more. It kicks off with a brilliant idea and leads to a unique digital approach to draw in your target group. Contemporary advertising should be something that people really want to be part of. Engaging your audience, that is what we're here for.","As a Mobile Developer you are responsible for developing apps that make people feel engaged. Create state-of-the-art mobile applications for our international clients. You will be involved in projects from the ideation stage until final delivery and enjoy sharing your knowledge and experience with your international, interdisciplinary team.With advanced experience in Android development, a passion for innovative UX solutions and design understanding, you'll have a great time at LOOP! REQUIREMENTS AND RESPONSIBILITIESCreative problem solving skills and a thirst for knowldedgeEnjoyment of interesting challenges and problem-solvingExperience with  mobile development, familiar with Subversion or Git, basic knowledge of backend technologiesA thirst for knowldedge to learn new  technologiesLove to work with international clients and within an international teamAdvanced experience with Android/JAVA development and EclipseStrong team player and willingness to work closely with the agencies organizational and design teamGood English language skillSignificant responsibility for the technical conception of mobile projects to achieve exceptional, innovative resultsRespponsibility for technical quality assurance for each projectAbility to keep track of own projects, timings and needed resources in all situationsEnsure a perfect project workflow and work as a part of teamAbility to flourish in a hands-on-enivronment on culture and know when your team needs support  We have a flat hierarchy and an exceptional team culture, and we encourage, recognise and reward our staff. We believe that there is a wide range of talent in our team, so we won´t restrict passionate people from learning skills in new areas for professional growth. We promote continual advancement in our staff by giving opportunities to attend tech conferences, meetups, english classes, workshops and further training.So if you are motivaated to join the LOOP Team, we are happy to  offer you a full--time position as a Mobile / Android / Android Developer in Salzburg. Your entry level and starting salary will be based on your experience.To be considered for this role, send your application / coverling letter/covering letter, CV and earliest possible start date to  #EMAIL_e22981b7c26806a48425463c1f633f861c59f967d33ae5e11cab0ce1ad73c889#. ",,,0,1,0,,,,,,0
13664,Atlassian JIRA Consultant (AJC),"GR, I, Athens",,,"Cententia, founded in 1998, is the market leader in Greece in the area of Debt Collections. Its flagship product AroTRON™ Collections &amp; Recoveries, is a comprehensive solution offering streamlined, customer-centric Collections and Recoveries management. Developed over a period of 16 years based on constant customer feedback, AroTRON™ covers all stages of the arrears lifecycle and boasts a rich variety of features and functionalities.Combining scientific efficiency with experience stemming from numerous success stories in blue-chip corporations, the company provides clients with both out-of-the-box and highly configurable solutions, allowing them to become customer-centric and offer consistent high-value customer experiences.Cententia, an Atlassian Expert PartnerCententia has been an Atlassian Expert Partner since 2007, offering high quality Consulting &amp; Development services to successfully deploy and maintain the Atlassian Suite of Collaboration and Development Tools in every organization. We have numerous successful implementations in blue-chip organizations in various industry sectors  Telecom, IT, Financial, Services  who trust our experience in delivering projects on-target and on-time.","Cententia is looking for an applications consultant who, as member of the projects implementation team will be responsible for the implementation of business solutions based on the Atlassian JIRA platform. You should be experienced in problem-solving, enjoy interacting with a variety of customers (ranging from large corporate sites in the banking sector to relatively small, fast-paced software development companies), and have excellent communication skills.Responsibilities:Definition (user requirements, specifications development), planning and oversight of project delivery in Greece and abroadSolution customisation and parametrizationPost-implementation support and communication with customer and development teams","Required Skills:University Degree preferably in IT or Engineering3-5 years of professional experience in the implementation and management of IT projects, preferably CRM, BPM or ERP SolutionsExperience of requirements analysis, business analysis and/or process improvementExcellent written and oral communication skills in Greek and English. As a primary contact with customers you need to be able to convey ideas clearly and effectively.Excellent time management and organisation skillsA proven ability to decompose and solve problems, along with the ability to coordinate and manage teamsUnderstanding and hands-on experience of issue tracking, project management tools and wikis.Preferred Skills:Experience with Atlassian products, primarily JIRA and ConfluenceUnderstanding and hands-on experience of issue tracking, project management tools and wikis. Knowledge in Agile project management methodologies, Scrum and Kanban will be appreciatedKnowledge of ITIL or IT service desk practices",,0,1,0,Full-time,Mid-Senior level,,Information Technology and Services,Consulting,0
4909,English Teacher Abroad (Conversational),"US, RI, Warwick",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare providedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#We are looking for friendly people. If you do not plan to take part in a 3-5 minute interview, kindly do not waste your time applying :-)","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferredCanada/US passport holders only",See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,Education,0
6515,UX & Interface Designer ,"US, CA, San Diego",,,"StayClassy is a fast-growing San Diego-based startup that develops an online fundraising product used by thousands of Nonprofit organizations around the world. The company was founded in 2006 by a couple of friends who were looking to fundraise for charity, but didn't know how to get started. They envisioned a platform that made it easy for Nonprofits to turn their supporters into fundraisers; and so, they set off to build one. After years of testing their concept with dozens of Nonprofits, their initial idea has evolved into what is now an industry-leading online fundraising solution for Charities, Foundations, Churches, Schools, Clubs and more. Recently, the founders were selected by Bloomberg Businessweek as one of the top 5 most promising social entrepreneurs in America. The company is also the host of the Classy Awards, the largest philanthropic awards show in the Country.","StayClassy is a fast-paced startup that develops web-based fundraising &amp; engagement software that is used by thousands of Social Impact organizations, including Nonprofits and Social Enterprises. The company is also the host of the Classy Awards, the largest Social Impact awards show in the Country. We care passionately about delivering the best possible product to each organization that we work with and helping the Social Sector grow its overall impact. Our culture is young, vibrant, fast-paced and fun. Recently, the founders were selected by Bloomberg BusinessWeek as one of the top 5 most promising social entrepreneurs in America. Were looking for a talented designer with a passion for combining thoughtful interaction design and beautiful visual design to deliver engaging product experiences to our customers. Youll be responsible for concept ideation and design, prototyping, and presenting ideas to the team. You will work on features across the platform and partner closely with engineering to come up with solutions.","Responsibilities:Design the user model and user interface for all StayClassy products and featuresDevelop high level and/or detailed mockups, user flows, and prototypesDevelop pixel perfect Photoshop compsConcept interactions across mediums: web, mobile and emailWork alongside developers to maintain design consistency and quality throughout implementationRequirements:Experience designing web applications and a capacity for simplifying complexity and ability to create successful UIs and workflowsA diverse portfolio that exhibits excellent use of typography, color, imagery, and graphic elementsLo-fi &amp; hi-fi prototyping skillsFull knowledge of Adobe CS, and proficient in Photoshop and IllustratorStrong, clean visual design senseBonus:Knowledge of front end markup: HTML/CSS/JQueryBFA/MFA or equivalent industry experienceVersion control with GitA/B testingMVC frameworks such as ZendExperience designing for mobile devicesIcon design","We would be remiss not to mention our awesome perks!2 weeks of paid-time off1 week of paid sick/emergency paid-time offFourteen (14) paid holidaysHalf-Day Fridays the last Friday of each month (except in December)Eligible for participation in the companys profit-sharing programMonthly parking pass or Car2Go AllowanceSupplemental Health/Dental Insurance401(k) planEmployee Stock OptionsYogaMonthly happy hours at the local watering holeLove the active life? We have company and team outings and participate in team sports. Compensation will be competitive and commensurate with experience, including equity in an early stage startup backed by top-tier VCs.",0,1,0,Full-time,Mid-Senior level,,Computer Software,Design,0
12480,Digital Manager,"US, NY, New York",Marketing,,"We're Food52, and we've created a groundbreaking and award-winning cooking site. We support, connect, and celebrate home cooks, and give them everything they need in one place.We have a top editorial, business, and engineering team. We're focused on using technology to find new and better ways to connect people around their specific food interests, and to offer them superb, highly curated information about food and cooking. We attract the most talented home cooks and contributors in the country; we also publish well-known professionals like Mario Batali, Gwyneth Paltrow, and Danny Meyer. And we have partnerships with Whole Foods Market and Random House.Food52 has been named the best food website by the James Beard Foundation and IACP, and has been featured in the New York Times, NPR, Pando Daily, TechCrunch, and on the Today Show.We're located in Chelsea, in New York City.","Food52Food52, the James Beard Award-winning online food community, recipe hub, and home and kitchen shop is seeking a Digital Manager to join the marketing team.Work on something you care about: We're a mission-driven company with a goal of inspiring and supporting home cooks. Youd be helping to build the best collaborative and comprehensive cooking experience online.Digital ManagerFood52 is seeking a talented and ambitious Digital Manager to join our team. This is a great opportunity to influence the growth and direction of Food52.The Digital Manager will develop strategy and execute against that strategy across multiple platforms with the ultimate goal of driving revenue. We have a large, active audience, but we know there are millions more people out there who love cooking; we just need to find them and introduce them to Food52. This consumer-centric strategy should include activities directly related to commerce growth as well as subscriber acquisition. The candidate will have a minimum of 2 years experience in SEM/SEO, display, social media, and new platforms, be motivated by data and able to create and share the reports around these channels. Experience with monetizing video content, creative paid channels, and a background in working cross-functionally are pluses.In addition, this person will have the technical chops to build sophisticated reports on key performance metrics and to make all of the data we have on our users and customers organized and accessible throughout our company.This position is based in NYC and reports to the VP of Marketing and Business Development, and will be the sixth person on the marketing team. You must love media, thrive on creative solutions, and be a team-player. Were building a high quality brand. Youll need to be nimble, creative, persistent, and a hard worker.Responsibilities:Plan and execute a digital marketing strategy, working closely with our VP of Marketing to make recommendations, execute AB tests and update on new upgrades and technologies. This includes:SEM: Oversee Food52 Adwords account, top to bottom. Evaluate retargeting campaigns, PLAs, keyword strategies, and constantly test and evaluate ROI.Social: Manage paid opportunities across Twitter, Pinterest, Facebook, and additional platforms relevant to Food52.SEO: Solid understanding of SEO and its ongoing evolution, as well as how to contribute to a long-term SEO strategy, including content, links, on-site optimization, and analysis.Create and manage tracking tools:Partner with the tech team to instrument tracking of conversions and behavior throughout the site with all of the metrics tools we use.Partner with the social media and editorial team to advise on best practices on making social media posts and editorial content trackable.Understand most important metrics and how to collect data, and then present it not just in numbers but with key takeaways as well.Analyze and provide regular performance reports to the team.ABL: Always be learning. Be ahead of the curve in monitoring the competitive space and spotting new trends in the digital world. We encourage creative thinking. Were open-minded, so you should be willing to share new ideas.Besides brand marketing, support additional campaigns and digital needs across the company.Partner with other departments (editorial, product and business) to ensure all needs are being met, and within assigned budget.Develop strategic direction for the brand in the digital space, as well as understand how the digital strategy connects to our offline activations and presence.Make all of the data we have on our users and customers organized and accessible throughout our company.","Must have a minimum of 2 years of direct experience managing paid digital channels (SEM, Social, etc...)Must be resourceful, motivated, detail-oriented, and have a born desire to multi-taskExperience in ecommerce and/or startup environmentMust be personable, outgoing, and a team playerMust have a strong writing voice and ability to self-editMust love numbers, data, and improving every metric possibleMust have previous knowledge of Adwords and Google Analytics and internal database systemsBackground in media, lifestyle, luxury businesses a plusMust be able to work independently to create recommendations and own your area of expertise, but also work with a close-knit teamStrong understanding of the mechanics of how digital tracking works - url parameters, cookies, conversion pixels and a good sense of how to organize and analyze conversions at a high level.Comfort with SQL and ability to pull data directly from our mysql database to report on key measures of success and to make data accessible to other teams in a format they can take and run withExperience with a scripting language such as Perl, Python or Ruby to help glue and analyze data from different sources a strong plusBachelors Degree required",,0,1,0,,,,,Marketing,0
14531,Office Administrator,"GB, , London",,,,"Our company is a preferred adviser and recruiter for many iconic global businesses, from our offices in the UK, New York, Hong Kong and Singapore.We are looking for someone to provide secretarial/administrative support the business through the provision of a high quality and efficient service combined with a flexible approach to the requirements of the role.The roleThe role will include but is not limited to:Action all incoming telephone calls, e-mail and general enquiries ensuring all messages are relayed and/or appropriate action is taken.Meet and greet candidates and clients and provide refreshmentsPrepare meeting rooms for client and candidate visitsProvide administrative support to include printing, binding, photocopying, scanning, filing and faxing as and when requiredEfficient maintenance of files, ensuring both electronic and hard copies are kept up to dateInform the Main reception of all visitors on a daily basisDistributing incoming and outgoing postBooking couriers for international mailMonitoring and ordering stock of stationery, milk and other office suppliesRun and send activity reports","You will have 6  12 months work experience preferably gained in a fast paced, target driven environment Key skills:Proactive, can-do approachArticulate and professionalAbility to work independentlyGood time management skillsExcellent IT skills and highly competent in Word, Excel, PowerPoint, Outlook and InternetExperience of using a database management systemConfident telephone mannerEnthusiastic, motivated and results drivenCommitted to quality and excellenceExcellent organisational skills with meticulous attention to detailAbility to work under pressure and manage multiple deadlines",,0,1,0,Full-time,,,,,0
13595,Business Development Manager - US East ,"US, NY, New York ",Sales ,,"With offices across UK, mainland Europe, Australia, New Zealand, and the United States, Squiz helps clients across the globe to engage their audiences online. We don't just build websites; we build the technology that powers them.But brilliant technology is only half the story. To build great websites, you need to truly understand your clients (and their customers too). Which is why 'engaging people' is not just our tagline  it's our promise.","Are you wondering if there's something more to sales than an overbearing Sales Director - who doesn't let you think for yourself or be creative?Do you want autonomy, and an environment that allows you to think, breathe and to directly effect results and culture?Squiz is a rapidly growing web technology and services company. We work with enterprise clients to design and deliver sophisticated web solutions, utilizing Squiz technologies. Our internal agency (Squiz Digital) also provides UX and Design services, so we have a creative flair. We dream big and aim high at Squiz - and we have a portfolio of awesome products to prove it.Squiz Business Development Managers are the lifeblood of the Squiz Sales Team. Working as part of this team, youll be instrumental in developing/nurturing new business leads - and ultimately generating revenue for Squiz. Job DescriptionBuild and nurture a strong sales pipeline by qualifying, scoping and closing opportunities in line with the Squiz sales process. Utilize a question-driven, consultative sales approach to identify client needs.Meet or exceed both KPI targets and revenue targets on a weekly, monthly, quarterly and annual basis.Actively participate in sales meetings, and ensure reports are consistent, timely and within the agreed framework. Coordinate sales presentations, working with the Squiz team to develop a clear, cohesive pitch that reflects the prospects needs - and matches this with Squiz solutions.Build rapport and sustain long-term relationships, based on trust and consistently meeting expectations.Anticipate and respond to client needs, while balancing the needs of all stakeholders.Leverage your experience to map an optimal user journey, and then articulate how this journey will be realized as a solution.","Desired Skills and ExperienceA consistent track record of success within a fast-paced corporate sales environment - preferably in technology or professional services.Exceptional interpersonal skillsExperience with a structured sales process, and an openness to process improvementProven ability to successful lead large pitches, with multiple client stakeholders at varying levels of seniority.Experience managing a pipeline within a CRMAbility to coordinate, lead, and motivate teams to ensure successful delivery of pitches, RFPs etc.Excellent organisational skills and a high level of attention to detailStrong written and verbal communication skillsA strong entrepreneurial drive, and the tenacity to remain engaged with prospects through long sales cyclesAbility to multitask","Squiz offers a competitive base salary, commission, excellent health benefits and a 401K plan to qualified candidates.",0,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Sales,0
17244,Growth Lead,"GB, , London",Moni technologies,,Playfair Capital is an early stage technology investment fund based in London. ,"Fintech Startup currently has an opening for a Growth Lead. If you dream about the beauty of numbers, this is an opportunity to help take a start-up to the next level. Data will represent the critical difference. We need a data-driven hacker at the intersection of marketing and product with interest in psychology, design and a strong believer of customer development to predict product performance and drive growth in new channels and new markets. This is just the start of what youll be doing. What you do next will be up to you. While were not a big company, we think big, and we know the person in this role will impact our future in a big way.Responsibilities:Manage user acquisition Paid acquisition: Mobile AdWords, Adsense/Display, Facebook AdsOrganic acquisition: SEO, in-app, viral, email, sms campaignsAnalytics: attribution modeling, knowledge of AppsFlyer/Mixpanel/Google AnalyticsFirst time user experience, user onboarding, cohort analysisAnalyse the effectiveness of existing marketing channels and campaigns ROIAnalysis key metrics for each primary channel: CAC, LTV, payback and retention of customers by channel/corridorCreate and implement complex analytical and data-driven projects, e.g. segmentation, pricing strategies, A/B tests, impact of promotions etcExternal benchmarking and scenario analysis to guide sound business decisions","Strong academic background with a 2:1 degree (or equivalent)Minimum 3+years related experience specifically in an analytical role.Strong numerical and analytical skills with progressive experience in product marketing, Excellent proficiency in SQL/Excel.  Ability to handle multiple priorities in a time sensitive and dynamic environment.",Salary: Basic + Bonus + EquityRole: Full TimeLocation: Central London,0,1,0,,,,,,0
1514,Compliance Coordinator,"US, MI, Battle Creek",,,"Who are We?Aya Healthcare is a top healthcare staffing organization because of our passionate, creative and talented employees. We have fun while we work and achieve results. We have grown 77% per year for the last 3 years and we are looking for more phenomenal talent to join our team of A-players. What Sets Us Apart?We are obsessive about creating great experiences for our clients and employees which makes us one of the best.  We will go the extra mile to make both our clients and employees happy.  We value our employees, recognize, and reward hard work.  Youre not just another number to us, youre an important part of our team and we want to invest in you. Who are You?You are self-motivated and strive for resultsYou are just as obsessed as we are about creating great experiences for our clientsYou want to be a part of an organization that values your talentYou think outside the box","Who are We?Aya Healthcare is a top healthcare staffing organization because of our passionate, creative and talented employees. We have fun while we work and achieve results. We have grown 77% per year for the last 3 years and we are looking for more phenomenal talent to join our team of A-players. What Sets Us Apart?We are obsessive about creating great experiences for our clients and employees which makes us one of the best.  We will go the extra mile to make both our clients and employees happy.  We value our employees, recognize, and reward hard work.  Youre not just another number to us, youre an important part of our team and we want to invest in you. Who are You?You are self-motivated and strive for resultsYou are just as obsessed as we are about creating great experiences for our clientsYou want to be a part of an organization that values your talentYou think outside the box What are we hiring for?Compliance CoordinatorWe are currently seeking a qualified individual for our Compliance Coordinator opening.  Our compliance department retrieves documentation needed from our healthcare professionals to work with our facility clients.  Our ideal candidate is detail-oriented, independent, and able to work in a fast-paced environment. A Compliance Coordinator is responsible for:• Identifying hospital credential requirements• Working with our client facilities to set credentialing expectations• Provide Excellent Customer Service to our client facilities• Building and maintaining candidate relationships• Using a high degree of detail orientation to identify and audit contractor documentation• Problem solving and conflict resolution   E.O.E. Hiring Organization: Aya Healthcare","The successful candidate will possess:• A high level of detail orientation, customer service oriented• A skilled multi-tasker","*This is a remote position in Michigan.  Our corporate headquarters is in San Diego, CA.Unlimited PTO, 401K matchFree premium medical, dental, life and vision insurance",0,1,0,,,,,,0
9567,Technical Solutions Architect,"GB, LND, London",Project Management & Solutions,,"Acknowledgement helps brands and organisations achieve their ambition through digital innovation and digital transformation.We combine business insight and analysis, original creative thinking, first class user centric design and technology implementation, marketing services and 24/7/365 technical operational capability to create robust, innovative and cost effective solutions that deliver significant business results for our clients.","** NO RECRUITMENT AGENCIES PLEASE **Acknowledgement helps brands and organisations achieve their ambition through digital innovation and digital transformation.We combine business insight and analysis, original creative thinking, first class user centric design and technology implementation, marketing services and 24/7/365 technical operational capability to create robust, innovative and cost effective solutions that deliver significant business results for our clients.You can find out more about us on our website #URL_6bb49540c0d952b3e13c0ea5b9983d2b1a0cf2b4f44f910898a2be9826235eb4# Were on the lookout for an experienced Technical Solutions Architect to join our passionate team in the heart of London's digital hub.","As a Technical Solutions Architect youll be responsible for interpreting clients business requirements and planning and proposing the associated solution.  Youll then work with our technical developers and other members of the broader team to research, document, prototype, design, develop, test and implement that solution.If youre both technical and business savvy, love working closely with clients, are a great communicator, a true people person and genuinely care about creating innovative and market leading user experiences, this role will be a perfect opportunity for you.You would have previouslyworked on a large number of user centric projectsmanaged the communication between the client, agency account management and agency technical team, capturing and shaped the technical requirement and authoring and presenting approach documents.used technology to change the way users interact with a brand.Key ResponsibilitiesWork with clients to identify, shape and solution their requirementsImmerse yourself in all things related to this client: their industry, their internal plans, their competitorsCombine your business insight and knowledge of all things digital in a logical, user centric, innovative and robust wayWork with our in-house technical, design and project management teams to deliver the solutionConfidently present your vision to clients in meetings and on callsOwn the end-to-end architecture for the client across all projectsProduce excellent technical project documentation delighting the client with succinctness, imagination and attention to detailTake a lead role in industry, technology and product research, proactively striving to develop thought-leadership for the company.Required Skills and ExperienceExperience in planning and delivering of bespoke, large scale, high traffic, user centric, content heavy platforms - including content managementStrong understanding of infrastructure aspects of solution architecture including hardware, operating systems and databasesIn-depth understanding of the technical digital production process, i.e. how websites and large web and mobile platforms are architected and builtFirst class document writing skills including the ability to wireframeDemonstrable up-to-date knowledge of what is happening in digital at the momentStrong problem solving skills with a common sense and practical solutions orientationConfident presenter with excellent communication, negotiation and influencing skillsRelationship building and stakeholder management skills with all levels of senioritySelf-motivated, fast learner and analytical thinkerGreat team player who is also autonomous and can work independentlyExcellent time management and prioritisation skills to meet assigned deadlines and fulfill tasks to an extremely high quality standardDegree level education, preferably in Computer Science and/or BusinessExperience of working in a digital agency, software company, start up or similar type of fast paced tech/marketing/product crossover environmentFluent in spoken and written EnglishDesirable Skills and ExperiencePrevious coding or development experience, ideally in a digital agency environment, ideally in a LAMP environment",,0,1,0,Full-time,Mid-Senior level,,Computer Software,,0
6227,Computer Support Specialist,"US, GA, Atlanta",,33000-36000,"Ripple is the Humans First® IT company. Companies partner with us to take care of thier IT so people can get more work done. We are focused on making people's lives better - both customers lives and Ripplers lives.Ripple is a Results-Only Work Environment (ROWE), and we offer an amazingly free workplace where every person is an ass-kicker.","Ripple is looking for a Human Support Specialist (otherwise known as a Level II Support Engineer). That means you probably have 2-3 years of IT experience, and you graduated from college. You are definitely enthusiastic about both people and IT. Heres the mission of the job, and what you can expect. The salary range is between $33-36k.Mission for Ripple Support Engineer: To make Ripple clients feel human, especially when they are having an IT problem. To solve problems - and prevent future ones - using smart thinking. To become a better person in the process, and help us become better people for knowing you.The values we live by:Freedom to kick ass.We value freedom whenever and wherever possible. Freedom to work where you get your best work done, freedom to challenge your peers and clients, freedom to become the best person you can be.Articulate communications.We communicate clearly, candidly and use language that clarifies. We do so with warmth, humor, and kindness.Caring comes first.Teddy Roosevelt said it best: “No one cares how much you know, until they know how much you care”Elegant solutions.Every problem has 100 answers, and probably 10 solutions. Only 2 will be elegant solutions. We strive for the elegant ones because they are the ones that are worthy.Style in all things.We can do things with a little flair and design, or we can be like everyone else. We choose the former.","The kind of stuff youll do:Help people having problems with their Mac, PC, or smartphone - on the phone, by email, and in person. Everything from Outlook to PhotoshopSetup new Macs, PCs, and smartphones. Perform software installs and upgradesWork on projects like server deployments, network setups, and VoIP migrationsHelp people sort out issues with email and web hostingHow you will know if you are successful:Ripple customers will sing your praises often. They will say things like: “Pat is so friendly.” “Pat is so helpful.” “Pat helped me understand something technical without sounding technical.” “Pat never speaks down to me.”Your fellow Ripplers will sing your praises often. They will say things like: “I know I can always go to Pat for help.” “Pat is a real self-starter.” “Pat listens to me.” “Pat is fun.” “Pat just gets the job done.”What you need to bring:Ability to communicate empathetically, logically, and clearly in a boatload of different situations. Calm under pressure, graceful under fire, and able to bring the funk at all timesNatural troubleshooting skillsAbility to find answersUnquenchable desire to grow - personally, and professionallyA “roll up your sleeves and lets get to it” work ethicBachelor's DegreeBoth Mac and PC skillsOrganized enough to read - and create - documentationLinux and web hosting support experience a plus","What well bring:A workplace of unrivaled flexibilityChallenging workA team that cares about you and your goalsGood payVery good benefits (full health, 401k, etc.)Amazing clients and coworkers",0,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
1446,Client Operations - CRM Program Analyst,"US, CA, Palo Alto",Client Operations,,"Declara is focused on bringing data to life. Our technology platform uses machine learning, search, algorithms and recommendations to develop learning paths for individuals, making learning a constant discovery.Learning isn't just our product, it's what we do everyday. Work with a small focused team. You'll push and be pushed. Tackle the toughest technical challenges as you create products that can transform organizations across the globe. Do something meaningful. We're changing how the world nurtures and develops talent. We are located in Palo Alto, Boise and Mexico.","The primary responsibility for this person is to provide customized analysis for the Client Operations team which will help with improving internal processes and influencing how we shape the Declara platform.  This person will also be responsible for updating, managing data, and improving the CRM. To be successful in this role you need to be highly analytical, have a passion for improving reporting, databases query, and creation of new reports based on trends and changes to our business.  You must be able to handle multiple tasks, across the different groups in Client Operations and can work in a fast paced environment. Analyst - Analyst is expected to become expert in the Declara platform, CRM, and reporting systems. Be able to use several data sources to extract the relevant data for the various audiences.Must understand basic statistical concepts and whether the findings are statistically compelling.Must feel comfortable with writing scripts to reanalyze data.Utilize contact center and customer service reports from CRM and Telephony software to Identify potential gaps, training opportunities, trends to improve agent efficiency.Familiarity with telephony and related metrics in a contact center environment.CRM Admin - Manage ongoing CRM requests and administrative needs from call center vendor.Maintain control of access rights, security settings and user privileges for the CRM.Perform daily system administration task of the RightNow CRM tool (profile changes/rules/surveys/etc).Continually look for ways to make improvements to the CRM tool which improves agent productivity and call center KPI's.Work with service and support staff to ensure they are utilizing the tools to their full potential.Create CRM training plans, materials, and documentation for call center staff as the CRM platform changes.Develop and/or update support readiness plans for new CRM product releases and support offerings. Work with Support Managers to identify new and creative opportunities to leverage the CRM to support additional business processes, Declara Clients, or functions.","Bachelors Degree in Finance, Economics, Business Administration, Accounting Business analysis, strong SQL, and data reporting skillsStrong computer skills (Excel, Word 2010) required, problem solving and research skillsKnowledgable of Oracle RightNow, #URL_43a68868b5b6e2a51677a4fb4c6fbbb676f678d92202751257e2f7616aeed3cb#, or any other CRM platformsDemonstrated aptitude for managing and prioritizing multiple projects and initiativesAdaptable / Flexible: Open to change in response to new information, different or unexpected circumstances, and/or to work in ambiguous situationsAccountable, dependable, and organizedStrong oral and written communications skills",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Data Analyst,0
4457,Chief Financial Officer,"NZ, , ",,,"EROAD was established to modernise New Zealands paper-based RUC regime and in 2009 launched the worlds first GPS/cellular-based road charging system. Our solution can be readily and rapidly scaled and deployed across entire jurisdictions because it requires no roadside architecture, and uses a SaaS-based web service.Were now a world leader in our field and expanding rapidly as we enter new markets.Joining EROAD is a great career move. We look at potential when were hiring, and your ability to grow with the role. We employ only the best, and ensure that our staff have the skills, training and technology to do their best work.How youll fit in at EROAD is important as well. Were really proud of our company culture and finding people with the right attitude is just as essential as a great CV.Working at EROAD means learning from people who are experts in their field. It also means working hard  we have to, to be able to grow as fast as we need to! But we encourage a healthy work/life balance and our low staff turnover tells us weve probably got the balance right.We have staff from all over the globe  25 countries at last count  and we may just have the highest rate of boat/surfboard/windsurfer ownership of any technology company, anywhere.","As an emerging global company, EROAD is looking for a Chief Financial Officer to join its senior executive team and help lead the company through its next phase of growth.The CFO will be responsible for leading, developing and managing the finance team, as well as contributing to the wider executive leadership as the company develops its global business, beginning in the U.S.You will have held a strategic finance position, within a publicly listed company. With exceptional knowledge across all facets of accounting, you will have no issue in providing sound advice to the CEO and board of directors.The role also requires an aptitude for managing and developing teams in a rapidly-expanding business in high-growth mode in a sector where EROAD is opening up and developing new markets. A proven track record within a publicly listed business is desirable along with experience of high-growth companies ideally in the high-tech, software as a service and manufacturing sectors with a strong focus on research and development.","This is a high-calibre, senior role in an award-winning, innovative business that is a global leader in its field. A full job description is available upon request. The role will include responsibilities such as:Manage EROADs Treasury functionEnsure high quality financial reporting and analysis to the CEO and BoardManage the companys taxation positionOversee activity of subsidiary and related companies, including international operationsEnsure effective policies and planning is in place to manage growthAssist the CEO with corporate development, including potential acquisitions and integrations.","A competitve salary package - including short and long term bonus incentives and share options.For further information or to make an inquiry in confidence, please contact our HR Manager, #EMAIL_78f0d7de4b0212f30a8e2e52149ce9ddffdca4993e55942ffb5d3e72b05fdcf8#",0,1,0,Full-time,Executive,,Information Technology and Services,Finance,0
1732,Software Development Intern,"US, OR, Portland",,,"Can data be a thing of beauty? We think so.At Seabourne, we build web applications that consolidate, integrate, and visualize data. Our dedicated project teams partner with our clients to achieve astounding results. Happy clients and cohesive teams are no accident—we have a delivery model that is tried and true and our established team of experts are cheered on to showcase their passion and know-how. We are excited about the work we do because we are building data solutions for clients who require brilliance, flexibility, and creativity. We solve real problems, making a real difference, one project at a time.One endeavor we were proud to be a part of was building the World Resource Institutes climate change data visualization tool, Cait 2.0. This tool gives users easy access to (literally) a world of comparative climate data. Projects like these combine our expertise with our values while serving our clients and our communities.We are builders, designers, thinkers, collaborators, and over-achievers. We believe the most passionate associates also make time for family, laughter, and serenity. Our science is delivering data solutions with flair; our art is making it look easy.Interested in joining our team? We'd love to hear from you.",This is a position made to supplement the work of staff developers with small client projects and internal projects,,,0,1,0,Full-time,Internship,Associate Degree,Computer Software,Engineering,0
5440,Recruitment Manager (Maternity cover),"GB, LND, EC4M 7AN",Organisational Development,,,"Octopus is an investment company with a difference. It was established more than ten years ago to offer something unique to investment advisers and their clients. Our aim is to delight our customers with innovative products and exceptional service. Investing with Octopus should be a simple, enjoyable experience.We currently have more than £2.7 billion in assets under management and are a leading provider of tax and investment solutions for UK investors, offering a wide range of tax-efficient products, including venture capital trusts (VCTs), enterprise investment schemes (EIS) and inheritance tax products or similar (IHT).As well as our tax-efficient offerings, we also have a broad range of core and satellite investment solutions including a discretionary fund management service, award-winning multi-manager/multi-asset funds, and single manager funds. Octopus success is based on its 200 employees adopting and applying values that make great businesses: Customer obsession is about putting the customers needs at the heart of everything we do. We achieve this by listening, by making the complex simple and by delivering on our promises. Focus on impact is about making things happen. Its about recognising and avoiding the distractions. And its about executing really, really well. Better and better is about having the humility to recognise that everything can be improved. We want a culture where everyone can put forward ideas and where people at all levels seek out, learn from and react positively to feedback. Personality matters at Octopus. We want people who are willing to roll up their sleeves, are passionate about what they do, and who retain their humility despite all they achieve.  We are honest, open and decent, always.  Octopus has won a number of awards for its products and customer service. We have twice been voted one of the best 100 SMEs to work for by the Sunday Times, and we are one of only two fund management companies to be AAA rated by financial advisers for customer service.     Position OverviewThis role will be responsible for driving, coordinating and delivering the recruitment, resourcing and on-boarding processes across Octopus Investments delivering across the whole business.  In addition to this core responsibility it will also entail ad-hoc value-add recruitment and HR projects. Essential Job FunctionsRecruitmentLiaise with senior management to provide end to end recruitment solutions across the business from establishing their recruitment needs, to recommending solutions for resourcing issues and onboarding successful candidates.Vendor Management, reviews and ongoing relationship management.Screen candidate applications to ensure cultural fit for Octopus through competency based interviews.Administer and manage psychometric/addition selection testing where appropriate On-boarding and inductionOversee coordination of new starter and induction processCoordinate and manage interview training programmes","Proven end to end recruitment lifecycle experience within an agency or in-house recruitmentteam. Competent at competency based interviews with experience of screening CVs and candidates.Exceptional eye for detail and organisational skills; ability to handle multiple tasks and priorities,  with the maturity to remain calm under pressureAbility to understand role requirements and match CVs and candidates accordinglyCalm, professional and engaging phone manner with an ability to explain a variety of roles, sell Octopus and champion our culture and values.Possess the confidence and empathy required to pass feedback to candidates (good and bad!)Degree qualified or educational equivalent (ideally with business of HR related subjects) Non-essential Job FunctionsGeneral support work for other areas of HR/Organisational Development and Facilities Management (currently consists of 5 people) ",,0,0,1,,,,,,0
10420,Senior Interaction (UI/UX) and Graphic Designer,"US, NY, New York",Design,,"Boxbee is the Storage Valet Service. We are a startup and also a real, revenue generating business. We help people manage their stuff and live happier urban lives. Half the world live in urban environments, where technology is increasingly applied to solve the problems that come with this way of life. Boxbee was the first to apply technology to the old-school industry of storage. Space in cramped urban apartments is no longer the limit on how much stuff you can keep, and have access to. Businesses use Boxbee, giving them space in their store or high-rise office, without losing access to their stuff.We already work with a select group of recruiters. If you're a recruiter, we thank you for your interest but please do not contact us about these positions. ","We are looking for an passionate designer to own the user experience and all the visual elements of our business. Interaction design:1. You would work on UX of our customer facing website &amp; mobile apps. Here we must create an experience where people are in control of their stuff and can intuitively schedule boxes to be moved around (to storage, to their homes and businesses etc.). Users pack and inventory their stuff online, so you're user flow could involve placing orders on web, physically being delivered boxes and photographing with mobile. Users share boxes with each other. This adds up to an entirely new digital experience, borrowing from e-commerce but going much further.2. You would work on the UX for our drivers and warehouse managers, creating the tools they need to do their jobs: scheduling the day's work, tracking and scanning boxes, optimizing driving routes, contacting customers etc. This is an opportunity to design a logistics company back-end from the ground up.Visual designYou would be responsible for all the web-design and visual elements of customers' experiences with Boxbee. You would create all the graphics for the outdoor ads, web ads, vans, drivers uniforms and even work on the boxes themselves.You will also be involved in copywriting and photography.About youWe are looking for somebody who can work quickly to create prototypes and who can take feedback. Somebody proactive, who will spend hours researching our customers of their own accord. Somebody who loves designing and and building things and seeing behavior change. Somebody with an opinion, but who is willing to change it.We make changes to our website daily, so your work will be immediately visible and useful. Our marketing is scrappy and fast paced - and youll provide the collateral which makes this possible.","4+ years as a senior designer in a fast growing companyExperience creating brilliant UI &amp; UX flows for consumer facing websitesExperience with interaction design for two-sided business (customers and suppliers)Experience with web, responsive-web and native mobile designRapid prototyping skills (paper, whiteboard, omnigraffle, Illustrator etc.)A master of Adobe CS Experience with data driven designAn strong opinion about your creations, but humility to change itSomebody who bores their friends by wire-framing ideas on restaurant napkins ","We'll provide you with whatever tools (displays, ergo equipment, etc.) you need to do your job creatively and joyfully.Our options package is very competitive. We also make NY living easy for you by showering you with lots of Boxbee credits!Our New York office is centrally located in Lower Manhattan, is spacious, and receives lots of light for your inspiration.We want you to be healthy and to feel great. We offer sponsored medical, dental, and vision plans.",0,1,1,Full-time,Mid-Senior level,,Logistics and Supply Chain,Design,0
32,Software Applications Specialist,"US, KS, ",,50000-65000,,"Day to Day-Install, upgrade and configure web-based applications:Gathering, evaluating, and organizing client deliverablesModifying HTML, CSS or JSP pages as necessaryPrepping technical client site environment to achieve successful implementation.   Maintenance of templates and tools usedPerforming software release upgradesProviding on-call (after hour) Data Center technical support.","Must Have's3+ years of experience with web-based applications, and a demonstrated ability for learning and applying new technologies in a fast-paced environment with tight deadlinesGood organizational, analytical mind, great sense of humor and strong communication skills Experience with network troubleshooting; and web-development tools such as SQL, JavaScript, HTML, CSS and XML with knowledge of regular expressions a plus College degree in a related technology discipline is preferred but relevant professional experience may be substituted for this requirement.","Medical, Dental, Vision, Life, Disability, Pre-Tax Section 125 plans, 401k retirement and profit sharing plan, and paid time off. Paid time off includes holidays, vacation, and floating holiday time.Other perks include casual dress, free parking, wellness incentives, and company events. ",0,1,0,Full-time,Associate,Unspecified,Computer Software,Engineering,0
15890,Quality Assurance/Tester,"GR, I, Athens",IT Applications Directorate ,,,"Designs and develops testing methodologies and procedures in order to ensure high levels of software quality and reliabilitySets up and maintains the appropriate documentation standards and specifications according to company quality processesProduces or reviews test plans, test scenarios and TCERs in order to conduct thorough test cyclesOrganizes and executes test cycles for software acceptance in coordination with IT and business users, to verify that features and functionality meet business objectivesDocuments thoroughly test results and software defects and tracks their resolutionReviews software documentation to ensure accuracy and completeness and to identify and mitigate risksCreates reports on the progress of test cycles and makes recommendations on further improvementsBuilds expertise on applications and the underlying business logic striving to become focal point of referenceMonitors and coordinates deployment, participates in end-user training, provides post launch support and assists incident managementWorks both independently as well as in collaboration with the developers or business usersCoordinates change requests to ensure compliance with the QA procedures","University Graduate (AEI/TEI) with degree in Computer Science/Engineering or relevant #URL_613d9424a2d76ae3dad3f680c5ff36f8acb80e43e3e1e519530bfe26f7ce9157# degree in Computer Science or related field is a plusMinimum 3 years of software QA experience in corporate environmentExpert knowledge of QA concepts and methodology and industry-standard testing and bug tracking toolsExperience in projects related to Lotteries, Passives, Scratch and/or Betting will be considered a plus.BA and/or hands-on coding experience is highly preferableExperience of testing both back-end systems and front-end applicationsExcellent use of Greek and English (oral and written)Certifications such as CSTE, CMST, CSQA, CMSQ etc. will be considered an assetStrong IT skills (proficient in MS Office: Word, Excel and Outlook)Strong analytical, problem-solving skills and methodical approachHigh level of accuracy, good attention to detail and reporting skillsInitiative, flexible thinking and able to adapt to changeTeam spirit and ability to cooperate at all levelsStrong organizational and planning skills to deal with a variety of projects and able to prioritize conflicting demandsAbility to work under pressure with multiple projects and deliver within strict timeframesExcellent communication (oral and written) and interpersonal skillsAbility to grasp new concepts quickly and to assimilate data from a wide range of scientific areas","The company offers excellent career opportunities and a competitive compensation package, based on the qualifications of the candidate.",0,0,1,Full-time,Mid-Senior level,Bachelor's Degree,Gambling & Casinos,Quality Assurance,0
1012,Marketing / Sales Representative ,"US, TX, Dallas",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company is seeking a full-time Marketing/Dealer Representative to contribute to our marketing/ sales team!  This position is responsible for initiating, developing and maintaining a strong business relationship, using established sales techniques with auto dealers in the assigned market.  Qualified candidates should possess a strong background in customer relations and business development, familiarity with the finance industry, automotive or other is a plus! Primary responsibilities include, but are not limited to the following: Maintaining and building automobile dealer relationships via contact through phone, e-mail, direct visits and other correspondenceFacilitate new dealer sign-up to include background investigation and provide ongoing training and coordinationSource current relationships and prospects for developing joint marketing initiativesFollow-up of pending credit applications and contractsPrepare and analyze activity reports, monitor trends, increase sales market penetration and share strategies to maintain competitive advantages This position requires the following qualifications:                           Previous finance, auto financing or auto dealer experience in the areas of sales/marketing, underwriting or customer service preferredSub-prime/Non-prime auto finance experience a plusKnowledge of local market and existing dealer base relationships in specific market areaStrong customer service skillsHigh level of accountability and ability to effectively prioritize tasksExceptional time management and organizational skillsProficient in Microsoft Office Suite a mustEffective communication skills; written, verbal and listeningThe standard hours for this position are:Monday through Friday with some adjustments required to accommodate dealer operating hoursMust be able to travel within assigned territory, monthly overnights approximately 15% of the timeOur company offers a competitive salary PLUS commissions as well as a comprehensive benefits package to our full-time employees, including:Auto allowance40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.  Please submit your resume and salary requirements to: #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117##Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.","This position requires the following qualifications:                           Previous finance, auto financing or auto dealer experience in the areas of sales/marketing, underwriting or customer service preferredSub-prime/Non-prime auto finance experience a plusKnowledge of local market and existing dealer base relationships in specific market areaStrong customer service skillsHigh level of accountability and ability to effectively prioritize tasksExceptional time management and organizational skillsProficient in Microsoft Office Suite a mustEffective communication skills; written, verbal and listening","Our company offers a competitive salary PLUS commissions as well as a comprehensive benefits package to our full-time employees, including:Auto allowance40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods. ",0,1,1,Full-time,Associate,Unspecified,Financial Services,Sales,0
9690,Software Design Engineer in Test,"GR, I, Athens",Engineering,,"Upstreams mission is to revolutionise the way companies market to consumers through cutting edge technology. This is an opportunity to collaborate with like-minded people in an environment that embraces individual differences and diversity.We offer a challenging and stimulating environment in which employees have the opportunity to work on a vast range of complex projects at the forefront of technical innovation. We are looking for creative, enthusiastic and open-minded individuals to join our innovative team to help both drive our success and uphold our existing reputation within the marketing technology world.What we believeOur values of integrity, perseverance, innovation and respect are at the heart of our company. Our actions are underpinned by these four values, which have become central to Upstream, providing all of our employees with a clear framework from which to make their decisions.1. Integrity &amp; TrustYou are widely trusted and seen as a direct and truthful individual. You present the unvarnished truth in an appropriate and helpful manner, keeping confidences and admitting mistakes without misrepresenting themselves for personal gain.2. PerseveranceYou pursue work and life with energy, drive and a need to finish; you seldom give up before finishing, especially in the face of resistance or setbacks.3. InnovationYou have good judgement about which creative ideas and suggestions will work and a sense about managing the creative process of others. You have the ability to facilitate effective brainstorming and project how potential ideas may play out in the marketplace.4. RespectYou invest time in peers and demonstrates value and reverence for others when due, encouraging co-workers to express opinions and ideas. You promote equality within the workplace, encouraging praise and recognition from employee to employee as well as from the superiors. Professional Development at UpstreamUpstream offers a variety of resources and a range of opportunities to inspire the best possible performance from our employees. Our vast range of departments and global activities provide a strong platform for career development and professional progression. We boast various methods of progression within the company; whether through an upward advancement within a chosen field, expansion across departments or relocation to another office, creating the opportunity to gain both valuable experience and further insight into the international workings of the business. At Upstream, employees have the opportunity to learn new skills, work across different disciplines and global departments and move into new challenges, all within the same company.","A Software Design Engineer in Test (SDET) at Upstream is a developer with the primary responsibility of writing frameworks and tools to test products. Ideal candidates will have strong interest and experience in coding Java, a familiarity with scripting as well as solid QA fundamentals. The successful applicant will be working with a development team to improve core functionality and assure quality of both hardware and software products. It is a very unique and challenging role and provides immense opportunity to design and develop wide-reaching tools Responsibilities: Design, develop and implement automated tools and test infrastructure for validating exchange features and interfacesParticipate in ongoing product specification and code reviews;Collaborate with product managers, developers, and quality assurance engineers to identify test requirements and design test plans.Develop, document and maintain functional test cases and other test artifacts.Estimate test activities and deliverables.Isolate, replicate, and report defects and verify defect fixes.Hold and facilitate test plan/case reviews with cross-functional team members.","Bachelors degree in Computer Science, Computer Engineering, IT, or similar technical degreeMinimum of 3 years of software testing experience.Fluency in at least one modern programming language such as C, C++, Java, C#, or PERL.Deep interest in Big Data and predictive analytics, and have demonstrable programming experienceExperience developing in a Linux environment.Experience with web services and mobile platformsExperience designing and implementing test cases, running, and reporting on quality.Ability to understand functional/technical specifications and analyze data and server logs.Ability to write simple SQL queries.Excellent oral and written communication skills ","We offer a competitive base salary and benefits, directly dependent on the candidates qualifications and skills. By joining Upstream you will be part of a young, creative and dynamic company undergoing rapid growth and transformation into a global market leader.",0,1,0,Full-time,Mid-Senior level,,Telecommunications,Engineering,0
16514,Graphic Designer - Intern,"GB, , London", Moni Technologies,,Playfair Capital is an early stage technology investment fund based in London. ,"An exciting new London­based fintech startup, Moni, is looking for an exceptionally talented graphic designer to help drive our companys consumer marketing initiatives. Youll create stunning visual solutions across digital and print media that drive member participation and ongoing engagement. Youll be working closely with Marketing, Product, Business Dev and Social Media &amp; Community Management to help executing campaigns, create usual support and tell the Moni story. ","Responsibilities●  Design creative and unique visually appealing artwork both from scratch and from existing design direction.●  Create finished print­related materials.●  Ability to work independently or collaborate with other team members.●  Gather requirements for the delivery team to help with visual design.●  Interpret feedback and manage expectations.Requirements●  A creative edge ­ and a desire to come up with innovative designs to truly represent what our brand is about.●  Excellent written/verbal English skills ­ any other languages an absolute PLUS. We are a very multicultural team and are targeting customers in many countries around the world, so youll be creating ads that need to be localised both in terms of language and suitability to local cultural sensibilities.●  Some experience designing as part of a marketing department for a tech/software company preferred.●  A portfolio of work demonstrating your design skills.●  Strong Adobe Creative Suite skills (InDesign, Photoshop, Illustrator).●  Basic understanding of UX and UI concepts.●  Detail and process oriented.●  Strong creative problem­solving skills.●  Ability to shine under pressure in a fast­paced, deadline oriented atmosphere.●  Print experience required. ",Salary: Hourly wage + travel costs Moni mobile app allows anyone with a bank account and mobile phone number to send a payment to a mobile number anywhere in the world. Its technology platform reduces the friction and costs associated with international money transfer ­ a $600 billion market size dominated by money transfer operators like Western Union. The founders were heads of Google Mobile and Yahoo! Mobile. Moni is backed by TechStars ­ the #1 startup accelerator in the world. ,0,1,1,Temporary,Internship,Unspecified,Internet,Design,0
16686,Urgent Need : Oracle HRMS Functional Consultant for Bahrain,"BH, , ",,,"VAM SYSTEMS is a Business Consulting, IT Solutions and Services company with operations in UAE, Qatar, Bahrain, USA, Australia, Singapore &amp; India. . We offers a comprehensive list of services in the field of IT infrastructure management, Cloud services, IT Consulting Services, Banking, Management Resources, Information Technology Development, Telecom, Aviation, Retail Management &amp; Government offerings.","Greetings from VAM SYSTEMS…..VAM SYSTEMS is a Business Consulting, IT Solutions and Services company with operations in UAE, Qatar, Bahrain, USA, Australia, Singapore &amp; India. We offers a comprehensive list of services in the field of IT infrastructure management, Cloud services, IT Consulting Services, Banking, Management Resources, Information Technology Development, Telecom, Aviation, Retail Management &amp; Government offerings..VAM SYSTEMS is currently looking for Oracle HRMS Functional Consultant for our Bahrain operations with the following skill set and terms and conditions:Skillset required:Strong Functional experience in Oracle HRMS. Should have good experience in Payroll Setups - API, Alert, Fast FormulaExperience Required: Minimum 4 Years.Terms and conditions: Joining time frame:   2 weeks (maximum 1 month)The selected candidates shall join VAM SYSTEMS  Bahrain and shall be deputed to one of the leading Organizations in Bahrain.    ",,,0,1,0,,,,,,0
17372,Account Manager,"NZ, N, Auckland",Customer Service,55000-65000,"HealthLink provides a computer communications system that links the information technology systems of more than 10,000 medical organisations across Australia, New Zealand, the Pacific Island nations and Canada.   Each year more than 70 million pieces of clinical information are exchanged using the HealthLink system.  HealthLink provides nearly all of the clinical communications used in New Zealand and is the largest provider of clinical messaging services in Australia.  HealthLink came into existence in 1993 as a result of the New Zealand governments health reforms and the company has been developing systems and services that facilitate exchange of clinical information since that time.  HealthLink has offices throughout Australia, New Zealand and Canada.  The companys services are offered  from data-centres in each of those countries.The companys core focus is on ensuring that electronic communication processes are put in place to give patients certainty that their information has been appropriately handled.  This is achieved by ensuring that the systems are 100% reliable and secure.  We enable the electronic delivery of pathology and radiology results and discharge summaries.  HealthLink also provides a large managed security infrastructure and is a pioneer in the development of cloud-based online forms technology that is used for ordering pathology services and for referring patients to hospitals and other secondary care services.","Do you have a flair for customer engagement?Are you interested in Health IT? Do you want to help improve the health system?We are seeking an Account Manager to join our great team in Newmarket, Auckland.With an upsurge in demand for our services the key focus for this role is to provide account management and operational support for New Zealand customers. We are looking for a team player who has experience in a customer focused role.Healthlink is a Health-system integrator focused on the Australasian market. We have established ourselves as a leader in the provision of services that integrate medical applications for the safe and secure exchange of patient information. We have a strong product base and a proven track record which has been documented in international studies.This is a great opportunity to join a dynamic IT company with a market leading position in Australasia. You will work alongside people with high expectations, a collaborative work ethic and be given challenges that will ensure a dynamic work environment.","Good computer skills: experience in Microsoft applications, CRM applicationsEmpathic phone manner and the ability to easily build rapport with customersExcellent written and oral communication skillsA pleasant personality with a positive attitude and a good sense of humour2 years previous sales/account management or sales support experience and/or a business/marketing degreeStrong analytical skills Only applicants with a right to work in NZ should apply.  We review every application submitted. Please be aware that due to the high volume of applications only those short listed will be contacted.","Stimulating environment with high performance expectationsStructured career developmentCompetitive remunerationFlexible working hoursModern head office in Newmarket, AucklandA chance to dramatically influence health outcomes",0,1,1,Full-time,Not Applicable,Bachelor's Degree,Information Technology and Services,Customer Service,0
8037,Marketing and Sales Representative- Full Time Position,"US, KY, Lexington",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","LEI Home Enhancements is now hiring for entry level sales positions - We have openings in outside Sales were we are able to meet with our customers face to face and build a relationship with our clients. New clients mean MORE opportunity for our team!  LEI Home Enhancements a premiere sales and marketing firm located in 8 cities and looking to expand into 5 new markets by the end of 2014. We now have available clients waiting for us to handle their marketing and sales nationwide. Here at LEI Home Enhancements,  we pride ourselves on providing clients with a personal, professional approach to strategic sales &amp; marketing and customer acquisition. In order for our organization to prosper, we are aggressively seeking talented, enthusiastic individuals who are searching for an opportunity to quickly work their way from ENTRY-LEVEL into a position in MANAGEMENT, overseeing campaigns for our clients and managing a staff of 65+ employees. Our company strongly believes in developing our people into the future leaders of our organization.","Responsibilities in this program include: Sales/MarketingTeam LeadershipSales TrainingHuman ResourcesSales Strategies &amp; TechniquesOversee Campaign DevelopmentManage Customer Service, Administration, and Sales People",Our Company Offers:Outstanding Growth OpportunitiesPaid TrainingWeekly CompensationTravel OpportunitiesFull Benefits Offered,0,1,1,,,,,Sales,0
8554,Project Controls Specialist,"US, NC, Charlotte",,,,"This position is responsible for initiating and completing complex aspects of project financial management and cost control. The Project Controls Specialist works with project teams and supports Project Managers and possibly Senior Project Controls Specialists on varying size projects. The position is considered a technical SME, support position and requires college level reasoning via formal education or work experience. It requires working knowledge to proficient use and understanding of project controls principles and software tools. Primary functions include generating project financial reports, conveying the information to the project managers, responding to inquiries, researching questions and identifying and resolving charging errors. In addition, this position should strive to find process improvements and efficiencies and be seen as a positive influence on the project teams. ","Key skills for this position include critical thinking, financial analysis, strong attention to detail, ability to explain cost information to project teams and effective team collaboration. Key system knowledge required includes strong MS Excel user with pivot tables, Peoplesoft financials, MS Access, and financial reporting tools, such as Business Objects.",,0,1,0,Contract,,,Information Technology and Services,,0
5246,Senior Developer,"US, CA, San Diego",,60000-120000,"Omega Systems Group, Inc. is a professional services and management consulting firm, specializing in providing IT services in the areas of; Business Management Solutions, Project Based Consulting, Talent Acquisition, Training, Middleware and Web Services.  We are experienced in working with government agencies and mid to large size corporations within various industries.  While our core business is Strategic Planning and Business Process Re-engineering, we are also considered experts in customized Software Development and Content Management Web Development.Omega Systems Group provides seasoned management consultants and IT professionals for our Clients mission critical projects. We identify the best fit for their project needs through careful assessments and analysis of IT resources in the following areas:► Project Management► Web Design &amp; Development► Information Design &amp; Architecture► Business and System Analysis► Software Development and Engineering► Database Design, Development, and Administration","-       Perform basic analysis and design for program changes-       Provide time estimates for development tasks to aid in scheduling-       Monitor progress against task estimates to provide status-       Complete more complex program changes to translate specifications and/or requirements into code-       Utilize basic business knowledge to support applications-       Recognize code inefficiencies and make suggestions for improvements-       Provide documentation to support program changes-       Plan, document and execute test plans to ensure all code changes meet requirements/specifications","VB or VB6 (Must have experience with one or the other)5 years #URL_c5591c960537d84ff7889f414633cdbc8e7d36f3fe042a096d374e4e058388d5#3-5 years C# 3.0-4.55 years+ SQL Server and Stored Procedures1-2 years Ajax or MS Ajax Toolkit3-5 yeas JavaScript3-5 years JQuery5 years+ Visual Studio v2005-20121-3 years working in an Agile or Scrum environment1-3 years WCF Services5 years HTML, HTML5, CSS 1-2Clear understanding of OOPUnit testing and Visual Studio Test SuiteClassic ASP, MVC 3-4, Java UML, Entity Framework, VB6 are all plusesBachelor's degree is preferredExperience using more than one programming language Must be able to pass client's background check - criminal and drug screen",,0,1,1,Contract,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
2845,Maintenance Engineer,"GB, KEN, ",,,"Here at Mansfield Property Maintenance we are experienced in providing reactive day to day building maintenance, planned preventative and cyclical maintenance, measured term maintenance and emergency out of hours services. Our aim is to maintain an enviable first time fix rate to minimise any disruption that may be caused and we achieve this by working closely with our clients as part of our commitment towards mutually agreed objectives.Accountability is key to our success and a full range of management reporting and audit facilities help not only us, but our clients effectively manage their maintenance requirements. Our pricing structure is fair, accountable and transparent  Mansfield is a company which has built its reputation on its honesty and integrity and it is our commitment to customer satisfaction at every level which continues this reputation.KPI statistics form a large part of our reporting procedures and we are committed to understanding our clients and developing our services to meet their needs not only in terms of maintenance services but also their administrative procedures. Every job is carefully tracked and audited to help us continually improve the levels of service to all of our clients.As a natural progression from reactive and planned maintenance we also carry out quoted minor works and refurbishments, and can also handle larger project work for our clients.The advantages of using us for this type of work as well as your maintenance is that we directly employ a wide variety of trades so that all of the work could be carried out and coordinated by one contractor and not several, and you would be employing someone you already have a rapport and trusted relationship with.Mansfield Property Maintenance have a proven track record of successfully completing refurbishment works, both within the Public and Private Sector. Many of these projects stem from repeat business from existing customers, who would be happy to attest to our capabilities.We are familiar with the need to manage a project from inception to completion whilst minimising costs and exceeding expectations.","We are currently looking for a several additional sub-contractors to work on an adhoc basis and require initially four applicants.  Each applicant must have a good level of both oral and written English and must have excellent customer skills and be able to communicate well. The successful applicant must be competent in first, second and third fix in the following areas: carpentry, doors and stud work; ceilings and partitioning; architrave; skirting and moulding; tiling; painting &amp; decorating.  A good understanding of plumbing and electrics will be an advantage but not essential. The successful applicant must have own tools and transport.The successful applicant must be able to work within a team and also at times on their own.  Applicants must be clean and tidy and comply at all times with Health and Safety requirements.  Because a majority of our work are in retail premises, honest, care and respect for clients property must be maintained at all times. .As this position is as and when required which is dependent on our work load, the following should be noted:you will not be contractually obligated to work for us all of the time because as stated the position is as and when required.you will be expected to take some of the work some of the time.work shall be distributed on a first come first serve basis.constant failure to take work will result in you being removed from our system.As a sub-contractor, you will be responsible for your own tax liability.All applicants must be able to provide at least 2 references from previous employers (names, contact details, etc).  A portfolio (photos) of previous work will be advantageous. The stating salary will be £10.00 per hour.  This will be reviewed after an initial 3 months of working with us on an adhoc basis.  After 3 months, this will be reviewed dependent on levels of skills and quality of workmanship demonstrated.ALL APPLICANTS MUST BE ABLE TO WORK IN THE UK",,,0,0,0,,,,,,0
16247,Quality Manager,"US, OH, Cincinnati",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Implementation and maintenance of quality management system throughout the organization.5. Conducting management review meeting and providing recommendations for improvement.6. To provide customer complaint addressal, resolution and application support.7. Implementation of various standards such as QS 9000, ISO/TS 16949, ISO 9000, Kaizen projects, Six sigma projects, TPM etc.8. To act as management representative for the plant / company.We have many more Global Healthcare Professionals jobs are available in our website. Please go through our website and search the relevant job and apply directly.Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,,,0
17617, CNC Programmer,"US, IA, Des Moines",,,,"2018Job Title: CNC ProgrammerRole: Project ManagerRelocation Available: YesIndustry: ManufacturingLocation: IowaTown / City: Des MoinesJob Type: Permanent full-timeJob description:We are looking for a CNC programmer who can design and program complex 3D shapes and program electrodes.   CNC Programmer:25 plus year old molding company specializing in 2-shot, insert micro, and micro molding and serving the medical, micro-electronics, micro-optics, automotive electronics, military, and aerospace markets.  They provide design for manufacturability, tooling, mold building, automation, and packaging. Looking for a CNC Programmer with 2 to 3 years of experience designing and programming complex 3D shapes and the ability to design and program electrodes.Bottom Line Requirements:1.  2+ years of CNC programming experience.2.  Experience designing and programming complex 3D shapes.3.  Ability to design and program electrodes.4.  Local or close enough for a short easy move.",Bottom Line Requirements:1.  2+ years of CNC programming experience.2.  Experience designing and programming complex 3D shapes.3.  Ability to design and program electrodes.4.  Local or close enough for a short easy move.,,0,0,0,Full-time,,Bachelor's Degree,,,1
7436,Bilingual Managerial Assistant,"US, NY, New York",Assistant,,"Super Soccer Stars is the country's most popular soccer development program for kids. For over a decade, we have provided outstanding instruction for thousands of children in 400+ locations in NY, NJ, CT, MA, CA, FL, IL, Washington, DC, and London, UK! Super Soccer Stars was founded in 2000, and since its inception, it has been providing outstanding soccer development instruction for children aged 2 and up.","Do you want to be part of a fun, dynamic environment where hard work is rewarded and a positive attitude demanded? Are you meticulously organized, proactive, and detail-oriented? Then this is the job for you!We are a rapidly-growing small business operating the East Coast's leading childrens program and are looking for a full-time, bright and friendly Managerial Assistant to work directly with the senior management team and to take ownership of many administrative details that come with enhanced business traffic.As the Managerial Assistant, your goal is to facilitate the busy schedule and many tasks of the management team. Organization, confidentiality in all matters, adaptability, and a positive attitude are essential.Responsibilities include: Organization -- filing, maintaining accurate records, following up on ongoing projectsMaking travel arrangements for the senior management teamCoordinating project work between departments and with outside vendorsResearching new areas of businessActing as the eyes and ears of the management team -- keeping their fingers on the pulse of the businessMaintaining exemplary ongoing relationships with important clientsAdditional responsibilities as neededPlease submit a cover letter that reflects your personality and an updated resume in the body of your email. Applications not including these elements will not be considered.","Must be intelligent, detail-oriented, hard-working, outgoing, proactive, and energetic with a get-it-done, can-do attitude.Organizational skills and the ability to work efficiently in a fast-paced environment are an absolute must.Bachelor's degree, proficiency in Microsoft Office Suite, strong writing skills, and experience with managing the schedule of a busy executive are required.Candidate must be bilingual. (English and Spanish)","We offer a competitive starting salary, excellent growth potential, outstanding benefits (health and dental insurance, 25 days of Paid Time Off, matched 401K plan, etc.) and an extremely friendly and energetic work environment.",0,1,0,Full-time,Entry level,Bachelor's Degree,Sports,Administrative,0
4156,Service Delivery Co-Ordinator ,"GB, LND, ",Production,,"90 Seconds, the worlds Cloud Video Production Service.90 Seconds is the worlds Cloud Video Production Service enabling brands and agencies to get high quality online video content shot and produced anywhere in the world. 90 Seconds makes video production fast, affordable, and all managed seamlessly in the cloud from purchase to publish. http://90#URL_fbe6559afac620a3cd2c22281f7b8d0eef56a73e3d9a311e2f1ca13d081dd630#90 Seconds removes the hassle, cost, risk and speed issues of working with regular video production companies by managing every aspect of video projects in a beautiful online experience. With a growing global network of over 2,000 rated video professionals in over 50 countries managed by dedicated production success teams in 5 countries, 90 Seconds provides a 100% success guarantee.90 Seconds has produced almost 4,000 videos in over 30 Countries for over 500 Global brands including some of the worlds largest including Paypal, LOreal, Sony and Barclays and has offices in Auckland, London, Sydney, Tokyo and Singapore.http://90#URL_fbe6559afac620a3cd2c22281f7b8d0eef56a73e3d9a311e2f1ca13d081dd630# | http://90#URL_e2ad0bde3f09a0913a486abdbb1e6ac373bb3310f64b1fbcf550049bcba4a17b# | http://90#URL_8c5dd1806f97ab90876d9daebeb430f682dbc87e2f01549b47e96c7bff2ea17e# ","Are you a motivated, passionate individual looking to gain entry or develop your skills in the video production industry? Our rapidly expanding business is looking for a talented Service Delivery Coordinator to manage our client relationships and assist in the production processThe position is bursting with opportunity for the right person! Could this be you?Who is 90 Seconds?90 Seconds are online video experts producing high quality, fast turnaround, affordable high quality online videos.90 Seconds works with hundreds of clients across a wide range of industries including the finance, leisure, technology and charity sectors and also works with a large variety of SMEs, NGOs, GOs and startup businesses. Clients of 90 appreciate their speed and efficiency which comes from the custom built unique cloud based production management software which has supported the production of 3,500 videos across the UK, Australasia and Europe over the last 4 years.","What we expect from you:Your key responsibility will be to communicate with the client, 90 Seconds team and freelance community throughout the video production process including, shoot planning, securing freelance talent, managing workflow and online production management system.Key attributes:• 100% client focussed with excellent communication skills and attention to detail• exceptional organisational skills with ability to multi-task• motivated self-starter with a passion for this industry• ability to deliver including meeting project deadlines and budgetYou must have your own laptop, easy access to Central London and advance computer skills.Previous experience:Attitude is more important than experience at 90 Seconds but at a minimum you must have an understanding of the UK video production industry and freelance community. Previous experience directing shoots and conducting client interviews would be an advantage but the opportunity exists to develop these skills for the right candidate.",What you will get from us:Through being part of the 90 Seconds team you will gain:• experience with an international brand• ongoing opportunity to be at the centre of the UK freelance community• opportunity to expand production knowledge and skills• access to some of the UKs biggest brands• a positive and dynamic working environment• flexible working hours (4-5 hours per day to start).,0,1,0,,,,,,0
17194,Editor United Kingdom - Amsterdam,"NL, NH, Amsterdam",Editorial,,,"FashionUnited is a fully independent international B2B fashion platform. Globally active, the platform reaches almost 1.5 million fashion professionals per month. Visitors can browse their local website in their native language with the latest fashion news, trade statistics, directory, display advertising, job board, events, eMarket and the FashionUnited Network on which fashion professionals can connect, discuss and share ideas.FashionUnited, more fun and efficiency in fashion!For our rapidly expanding editorial team we are looking for anEditor United Kingdom - Amsterdam(position based in Amsterdam)Responsibilities:As part of the FashionUnited Editorial Team you will be responsible for:&bull; write daily news&bull; edit financial press releases&bull; conduct phone interviews&bull; write background stories (research by internet and phone)&bull; keep up &lsquo;country&rsquo; news and event calendar&bull; built (email) relationship with senior press contacts at top 50 fashion companies in your country&bull; visit and report on fashion fair Moda Birmingham","Profile:You have at least one year of experience writing for a daily newspaper or online news provider in the United Kingdom . You have some knowledge of and/or feeling for the fashion industry and are interested in the business side of things. You're independent, critical and bold. You're a English native speaker.",,0,0,1,,,,,,0
163,Account Manager (Fashion),"AU, NSW, Sydney",,,"EVH is a fashion, lifestyle, design and luxury communications, digital, events and sales agency.  With integrity and intelligence, we work as an extension of our clients to build and secure their presence in the marketplace.Based in Sydney but with an international reach, EVH is known for positioning, pioneering and protecting brands through a considered, strategic approach to sales and communications process. As brand guardians, we work closely alongside our diverse range of clients to create specialised campaigns that meet their individual short and long term brand and business goals.Our deep understanding and influence in the Australian market within the context of the global industry sets us apart from other communications businesses. This intrinsic knowledge combined with years of experience has cemented us as the go-to agency for both emerging and larger global brands. Our clients are selected for their integrity and attributes in innovation, design and business.The EVH network is unrivalled and extends beyond fashion, hospitality and the retail environment into the worlds of design, culture, art and entertainment. We offer an in-house specialist and personable approach to each client with whom we engage.","Australias fastest growing Fashion, Luxury and Lifestyle agency is seeking an experienced Fashion PR Account Manager to manage key accounts.  EVH is an integrated PR, content marketing and digital agency helping premium brands reach their audiences and customer across multi-platforms. Our clients include Alex Perry, Calvin Klein, Coach, Ellery, Farfetch, Furla, Gilt, Max Mara, Shopbop, Sneakerboy and Topshop.The PR Account Manager will be highly motivated and proactive. The ideal candidate will have a proven track record with relevant case studies, sound knowledge and contacts across all media channels and an ability to multi-task to thrive in our fast paced environment. Experience with luxury brands and global online retailers will be highly regarded. The successful applicant will be expected to produce consistent results and possess account management skills including planning, strategic thinking, reporting, analysis, media relations and client management.Key ResponsibilitiesDevelop client strategy and campaigns with cut through which help clients achieve their business goalsImplement integrated PR and digital strategies and programs Client development and relationship managementEvent managementAbility to meet client KPIs/desired results whilst staying in scope","Excellent writing, communication and presentation skillsStrong knowledge of and depth of contacts across all media channelsDemonstrated ability working with relevant clientele Proven experience in managing a junior team effectivelyA minimum of 3-5 years agency PR experience",,0,1,0,Full-time,Mid-Senior level,,Public Relations and Communications,Public Relations,0
1814,Mechanical Assembly & Test Technician,"US, TX, Houston",,,"Aker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.","Corporate overviewAker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.We are looking for individuals who are prepared to take a position. Not only a position within Aker Solutions, but also a position on the exciting challenges the global oil and gas industry faces now and in the futureAker Solutions, with North America locations in Houston, Mobile, Bakersfield, Calgary and St. Johns, is looking for talented individuals who can strengthen our team and support our ambitious growth plans within the oil and gas market.Responsibilities and tasksGeneral Summary:1. Under general supervision, performs complex mechanical assembly operations, often to close tolerances, working from written and verbal instructions. 2. Uses hand tools, power tools, and measuring devices. 3. The individual shall maintain general awareness of all environmental aspects and related impacts during assigned work activities.Essential Functions:Performs any combination of the following duties in the assembly and of mechanical equipment:• Inspects parts for work orders brought from warehouse for damage and records traceability on work order. • Assembles product per specified procedures and quality requirements.• Is able to set up test equipment to facilitate required test procedures.• Is able to flush lines and perform cleanliness tests on flushed lines with minimal supervision• Is able to perform hydro, gas and FAT tests with no supervision.• Is able to troubleshoot any equipment failures.• Is able to interact with Engineering on any assembly and/or test procedure or BOM changes required.• Is able to interact with 3rd party inspectors and customers relating to their products. • Signs and is responsible for, proper documentation of each step in procedure performed.• Performs intermediate assembly tasks such as cleaning, stamping, and etching.• Marks and/or tags components so that stock inventory can be tracked and identified.• Maintains a clean and safe work area.• May be assigned to perform duties other than those listed above.","Qualifications &amp; personal attributes1. High School diploma or equivalent2. Three or more years experience involving assembly and test of Subsea equipment or similar products.3. Must be able to read engineering drawings.4. Must be able to work independantly.By joining Aker Solutions, you will work as a valued member of the team tackling challenging work and looking for opportunities to innovate. Most positions enjoy a business casual environment and flexible work schedule with competitive benefits beginning your first day.We are an Equal Opportunity Employer and do not discriminate against applicants due to race, ethnicity, gender, veteran status, or on the basis of disability or any other federal, state or local protected class.","We offer• Friendly colleagues in an industry with a bright future.• An environment where you are encouraged to develop your skills and share your knowledge with your colleagues.• Competitive benefits and strong focus on work-life balance.Aker Solutions is a leading global oil services company with more than 150 years of industrial history and successful development. Today we have over 23,000 employees in over 30 countries. We are a growing company in developing markets and emerging regions. In North America, Aker Solutions operates eight business units creating many opportunities for our employees and customers. ",0,1,0,Full-time,,,Oil & Energy,Engineering,1
17401,Sales Representative,"US, VA, Hampton",,,,"Westview Financial Services, located in Hampton, VA has a full-time Sales Representative position available immediately. Our office provides personal loans with a one-on-one approach and we are looking for an individual to join our team and assist with this process.The duties for this position include but are not limited to:• Sell loans and insurance products• Review credit applications and making recommendations regarding the applicant's credit worthiness• Close loans• Service loans• Collect delinquent accounts• Answer the phones and greet customers as well as administrative duties• Accept customer payments• Order supplies as needed• Accounts payableThe qualifications for this position are:• A minimum of two years of experience directly related in a similar industry• Strong customer service skills -- must be customer service oriented• Strong verbal and written skills • Proficiency in Excel and Microsoft Word• Strong organizational skills• Must be able to multi-task and be flexible regarding your schedule and workload• A self-starter who can work with minimal supervision • Must be a solutions-oriented individual• Ability to work well with others• A basic knowledge of accounting is helpful for this positionThe hours for this position are as follows:• Monday -- 8:30 am to 5:30 pm• Tuesday -- 10:00 am to 7:00 pm• Wednesday -- 8:30 am to 5:30 pm• Thursday -- 8:30 am to 5:30 pm• Friday -- 8:30 am to 5:30 pmWe offer a competitive salary as well as a comprehensive benefits package including: • 40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment• 6 paid holidays • Paid personal and sick leave on the first day of the month following three months of employment• Health, dental, life and disability insurance as well as AFLAC supplemental insurance• A 401K plan with a company match after 6 months of employment, however, we have quarterly enrollment periodsWestview Financial Services is an equal opportunity employer in all aspects of employment without regard to race, age, sex, marital status, religion, disability, military status or any other characteristic or status protected by law. ",The qualifications for this position are:• A minimum of two years of experience directly related in a similar industry• Strong customer service skills -- must be customer service oriented• Strong verbal and written skills • Proficiency in Excel and Microsoft Word• Strong organizational skills• Must be able to multi-task and be flexible regarding your schedule and workload• A self-starter who can work with minimal supervision • Must be a solutions-oriented individual• Ability to work well with others• A basic knowledge of accounting is helpful for this position,"We offer a competitive salary as well as a comprehensive benefits package including: • 40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment• 6 paid holidays • Paid personal and sick leave on the first day of the month following three months of employment• Health, dental, life and disability insurance as well as AFLAC supplemental insurance• A 401K plan with a company match after 6 months of employment, however, we have quarterly enrollment periods",0,0,0,Full-time,Associate,Unspecified,Financial Services,Sales,0
5305,Sales Director,"US, CA, ",Sales,,,"We are looking for a passionate Sales Director to join our team in San Francisco area. This position should manage retail accounts (both corporate and store level) in North America, especially in San Francisco, Los Angeles, Chicago and New York. This position will focus on the following: new account acquisition, account maintenance, account expansion, growth of existing volume, improvement of Click &amp; Grow brands at store level, account training, account surveying, account audits, some demonstrations, monthly sales reports and quarterly account review. We are looking for somebody to:   Help build the Click &amp; Grow brandAcquire new retail accountsEnsure flawless execution of store level sales and promotion plans with retailersBuild and maintain business relationships with customer key decision makersPartner with customers to develop and execute a detailed action plan to increase and improve sales results within their stores basedIdentify opportunities for maximizing sales, analyze relevant store, product and market data, display opportunities. Tailor selling strategies to influence customers to order additional products, to increase sales, to obtain best in class locations for Click&amp;Grow products.Provide accurate forecasts and reporting , meet or exceed monthly and quarterly targetsMaintain an accurate prospect and customer information in the company CRM","Is this you?You have the experienceYou have a proven track record in selling consumer products on to retail companies meeting or exceeding sales targets for at least 3 years. You have the knowledgeYou have excellent knowledge of retail sales challenges, you know how to sell to retail, how to get the best locations, the best prices. You own at least a Bachelor's degree. You are analyticalYou have a solid understanding of how to analyze sales and customer effectiveness, recreate successful online sales campaigns. You are able to analyze you work - what works and what not, which channels work and which not, which customer groups work and which not.Your success is your motivator and you get things doneYou are hands-on, have a positive can-do attitude and want to roll up your sleeves to get things done. You function as both a leader and a doer. Your work and results are transparent and you have the ability to handle multiple, simultaneous priorities. You have an unrelenting intellectual curiosity and take pride in awesome results.You want a fun, exiting place to work at... with development prospectsWe have an amazing international team here with a mission to grow. We encourage every person in our team to grow, we recognize everyone for their performance and accomplishments with a competitive compensation package. ",,0,0,1,,,,,,0
14496,Full-Cycle Oil & Gas Recruiter ,"US, ND, ",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","We are looking for a recruiter who will engage in aggressive, full-cycle recruiting. The ideal candidate will have superior skills in the following: client facing interactions,sourcing strategies, candidate negotiations, recruiting outreach and networking, assisting with candidate onboarding, etc.Additional responsibilities include:Develop and maintain strong working relationships with our clients and our team members to create a partnership that yields success, predictable results, and credibility.Confer with management/senior leadership and business partners to identify human capital needs, workforce planning strategies, and search assignments.Develop and maintain a network of contacts to help identify and source qualified leaders.Create and foster relationships with diverse professional organizations to attract and recruit elite candidates.Review resumes and credentials for appropriateness of skills, experience and knowledge in relation to position requirements.Understand business strategy and operational goals of organization. Tailor specific search strategies that fit within those parameters and meet those objectives.Develop thoughtful, comprehensive, position-specific search strategies that leverage best practice recruiting methodologies.Provide complete, accurate, and inspiring information to candidates about the company and position.Prescreen candidates.Interview all candidates presented for detailed interviewing by hiring managers, and includes the use of face-to-face behavioral-based interviewing methodologies.Manage the scheduling and logistics of all interviews between candidates and hiring managers.Prepares candidates for interviewing with our clients and specific hiring managers by providing detailed information on the client, their business strategy, department background, job descriptions, and expectation-setting.Perform detailed reference checking and/or reference analysis on selected candidates and reviews results with hiring managers.Maintain accurate and well-ordered documentation on all candidates, searches, hiring manager's interactions, and other recruiting activities. Update ATS appropriately for search tracking and reporting purposes for both internal and external systems.Produce weekly reports and analysis on recruiting status to leadership and clients.Conduct follow-up calls and quality-improvement studies with hiring managers and new hires.Leverage online recruiting resources and in-house ATS to identify, attract, and recruit top tier talent. Identify and deploy creative methodologies for sourcing quality candidates.Initiate and maintain excellent working relations within our organization.Develop an effective pipeline of key talent potentially available for immediate hire as succession planning needs dictate.Work independently with little to no direct supervision.","Additional requirements include:2+ years experience in recruiting in the Oil &amp; Gas industry.Excellent written and oral communication skills required.Demonstrated ability to function/recruit on a national, multi-level location.Ability to travel as required.Strong initiative and solid judgment abilities/skills.Technical competence (understand software, hardware, networks, etc).Ability to negotiate candidate packages.BA/BS degree in HR, Marketing, Communications or related field is a plus.Candidate must have legal authority to permanently work in the U.S.",,0,1,1,Full-time,Associate,,Oil & Energy,Human Resources,0
5243,Sales Leader,"US, TX, Houston",,40000-55000,"SpotSource Solutions LLC is a Global Human Capital Management Consulting firm headquartered in Miami, Florida. Founded in January 2012, SpotSource has created a fusion of innovative service offerings to meet the increasing demand of todays economy. We specialize in Talent Acquisition, Staffing, and Executive Search Services across various functions and in specific industries. Global Talent Transfusion (GTT) services utilize best in practice qualification standards to deliver talent in temporary, temporary-to-hire, and permanent basis. Health Career Transition (HCT) is a subsidiary of Global Talent Transfusion and offers placement services specifically in the growing Healthcare arena. SpotSource Executive Search (SES) Consultants are special breed talent evangelists that understand how to advise and streamline the human resources process in the direction your organization requires for long term sustainability and success. Succession Planning. Leadership Development Programs. Compensation Analysis. Recruitment Process Outsourcing. All customized to best suit the needs of your business. We understand the demand for cost-effective solutions for your organization. Are you seeking a potential career transition and interested in discussing the current hiring trends and open positions? Vital Career Consulting (VCC) offers career transitional services catered specifically for the job applicant, including Resume Construction, Social Media Optimization, and Interview Coaching.Address:4100 N Powerline Rd. Ste Z3Pompano Beach FL 33073Office: #PHONE_c90b6ca89acd18d9e31ea3590b4ad76605721bc372025598fe9e1e60cf428551#","Title: Field Sales RepresentativeLocation: San Antonio, TX &amp; Houston, TXTerritory: Greater San Antonio &amp; HoustonCompensation: Base Salary + Commission*Benefits IncludedThe ideal candidate must enjoy interacting with seniors and have a patient and professional demeanor. A qualified candidate is someone looking to build on their inside sales and account management skills in a position that requires attention to detail, strong time management, and excellent communication skills. Honesty, compassion and self-motivation are required for this opportunity. Proficiency in Microsoft Word and Excel is required, as well as the ability to multi-task in a fast paced and deadline oriented environment.Responsibilities of this role include, but are not limited to:-          Contacting and networking with Veterans and various home health care referral sources via telephone and in-person.-          Complete screening calls for all potential clients in a timely and professional manner.-          Educate prospective clients on the availability of pension benefits and our VetAssist program.-          Assist potential clients with the application for government benefits directly related to home health care and medical expenses. “Aid and Attendance” Benefit.-          Conduct in-services semiannually for all home care agencies/referral sources.-          Attending weekly, monthly, quarterly meetings/conferences to introduce the VetAssist Program and network with local professionals.-          Leveraging existing CRM (Maximizer/ProspectPro) to manage sales contacts and potential referral sources.-          Creating basic Excel reports and other administrative duties to ensure a fully “involved” application is completed. ","Looking for:-          Strong Communication and Phone Skills-          Organized, and Detail Oriented-          Interest in Sales/Marketing and Customer Service-          Industry knowledge in Healthcare is preferred, but not required.Ability to learn quickly.",,0,1,0,Full-time,Mid-Senior level,,Hospital & Health Care,Sales,0
8732,Senior UX & UI Designer,"HK, , Hong Kong",,,"Joy Aether is a leading provider of mobile business solutions, based on iPhone, Android, iPad, and other platforms. We focus in design and development of mobile and web application software for businesses. Our customer base includes multi- national corporations such as Pfizer, UBM, DBS Bank, Haemonetics, Galderma, PCCW, etc.","Joy Aether is a leading provider of mobile business solutions, based on iPhone, Android, iPad, and otherplatforms. We focus in design and development of mobile and web application software for businesses.Our customer base includes multi-­national corporations such as Pfizer, UBM, Haemonetics, Galderma,PCCW, etc.Job Responsibilities:Conceptualize emotionally-­charged designs for mobile appsTurn business requirements into design prototypes / mockups with great User Experience (UX)Design User Journeys and represent them in wireframesCreate graphic designs for marketing campaign and align with brand messageProduce game graphics according to the direction of our Game Designer","Job Requirements:Solid 3 years+ working experience in graphics design, especially for web and mobileProficient in Adobe Photoshop &amp; IllustratorCapability from layout concept to artwork production, including some researchExperience in UX Design for softwareCSS and HTML is a plusVideo editing using Affect Effects or Premiere is an asset",Benefits:Gain experience in a fast growing industry with huge potential5-­day work weekOpportunities to lead and contribute your opinions.Gym with Swimming PoolPlease apply via #EMAIL_be7db6125da67ddf5903c01b161d4f0cd3254d1e3f20782802026c14e5b00a8b# with (1) portfolio;; and (2) expected salary range.,0,1,1,,,,,,0
4339,Senior front end developer,"AU, NSW, Surry Hills",,,"#URL_a507511b34cd03ed64cc7e00a68798e37578ceb5107c9bd6ff2a6b32ed7687da# Pty Ltd is Australias fastest growing independent network of womens websites, reaching more than 5 million unique readers each month and have a social army of over 950,000, leading the industry by all measures of reader engagement.Over the past six years we have grown from a personal blog written by Mia Freedman to a thriving and dynamic digital publishing business with offices around Australia and a team of more than 50 staff.Our websites include #URL_a507511b34cd03ed64cc7e00a68798e37578ceb5107c9bd6ff2a6b32ed7687da# (what women are talking about today), #URL_a7033e12de0009618a2d1c74d098656fbd56d4aac398422c8e76f67f8d0e3fd8# (what mothers are talking about today) and #URL_d152306f9dc71c986f65897e5291e23ff19bfbdc3d613da03a207ea5218e8cff# ('health &amp; beauty'). We have an e-products division and are industry leaders in client-focussed native advertising solutions  something weve been doing successfully since 2008.","We are looking for a detail obsessive, autonomous front end developer that not only knows how to, but also why. You'll be joining our small team of like-minded developers.The perfect candidate will have a thing for writing efficient, modular, secure, and human readable code. They will have a keen interest in learning and improving their skills in emerging libraries, frameworks and languages.They will take fierce ownership of projects and take time to mentor others on the team.This is a great opportunity to work on the 'real stuff' on a set of highly trafficked websites with an excess of 11M sessions each month. So if you're looking to work on a brand recognised Australia-wide, read by over a million of men and women every month, this may just be the role for you.","Requirements (5+ years preferred)Excellent knowledge and experience with developing and debugging HTML5, CSS3 and JavascriptSolid understanding of PHP and MySQLUp-to-date knowledge of trending libraries and frameworksAn understanding of the strengths and weaknesses of WordPress when writing themes and pluginsNice to haveContributions to open source projectsBasic DevOps, from local development (Vagrant) through to production (Autoscaling AWS)","Mamamia is a hardworking and rewarding environment, committed to getting the right people onboard and investing in them.",0,1,1,Full-time,Mid-Senior level,,Online Media,Information Technology,0
1372,Magento Developer,"GR, I, Agia Paraskevi",,,"Existanze Integrated Solutions was founded in 2005 and has established itself as a company with deep technical knowledge in a broad range of IT services, providing consulting services, systems integration and bespoke software development services across a large array of platforms and programming languages.Given the quick pace and shift in technology our company has been able to adapt quickly to meet new customer demands. Our engineers don't focus on a single aspect, they are able to tap their learning resources in a plethora of interests, in essence this is what gives our company that flexibility.Our work spans from systems integrations, where our customers had 3,4 and sometimes more systems running as disparate entities and we were able to provide a fully vertically integrated system with a single entry point. To web applications providing multiple points of access (www, mobile, sms, voip) for purchasing and invoicing.We also like to boast our more creative side having designed and implemented over 50 custom cms websites over the past 2 years.Finally we are also expanding our knowledge base to include talent for providing solutions in social networking ( analytics, statistics, data mining)  and mobile applications (iOS, Android).",What we are looking forfor a skilled Magento/PHP Developer with a minimum of 3 years of Magento development experience. This developer will be focused on supporting several Magento/Magento Enterprise sites (upgrades/enhancements). Advanced knowledge of Magento Database structure and connection between Magento and third party ERP/ERM/CRM solutions is a plus.,"3+ years of professional experience working with Magento Magento Template CustomizationMagento Extension Development PHP (5+ years of PHP development experience)MySQL (Strong relational database skills - Normalized, EAV) jQuery, AJAX, HTML, CSSInterface experience (XML, SOAP, REST)Process of code versioning (SVN, GIT, etc.)Excellent communication skills Enjoys working in a team environmentSelf-driven with ability to work",,0,1,1,Full-time,Entry level,Bachelor's Degree,Internet,Other,0
14623,Sales Executive,"US, IL, Chicago",,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI &amp; Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&amp;D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall &amp; excellence in the field of Business Intelligence &amp; Analytics by driving BI adoption with our innovative analytical solutions and applications.","City / Location : Any Hub City in the USA Join one of the fastest-growing and best Business Intelligence (BI) companies in North America.  We are BI experts  passionate about BI  and are looking for seasoned, professional, ethical, and dynamic sales people. We need sales professionals that are eager to join a rapidly growing team, bring their creativity and ideas, and be handsomely rewarded for their successes. Visual BI is the nations most innovative Business Intelligence and Analytics firm and a premier partner of SAP in the BI space.  Visual BI partners with clients to bridge the traditional information gap by delivering industry leading BI competency in a flexible and scalable solution model.  We invest in Global BI talent, which is supported by a team that is structured to focus on improving our client's BI adoption rate while delivering faster, deeply informative and more cost effective BI solutions.Ideally, this person would have over 3 years of verifiable professional sales experience that includes 1 year selling SAP or BI professional services and software.  Must have a successful track record in meeting and exceeding quotas or expectations. ","New Business Development• Prospect, qualify and close business opportunities for Visual BI.• Grow revenue by $2M in professional services or software sales.• Grow relationship with local area strategic partners such as SAP, TIBCO, Tableau, etc.• Attend and grow relationship with local ASUG community and members• Utilize existing relationships to drive new sales• Ability to sell in a consultative manner and addressing the needs of different levels of customers (Management, Directors/VP/C Level)• Build and maintain a pipeline of viable business opportunities for Visual BI.• Enter and maintain all contacts and opportunities in CRM • Managing all aspects of the sales lifecycle from hunting new accounts, identifying solutions (with Solution Engineers), assisting with SOW creation and negotiation as well as managing and growing new established accounts.  Travel Requirements:• Travel is required for sales engagement and conferences",Salary and bonus are commensurate with experience.Excellent Benefits ,1,1,1,Full-time,Mid-Senior level,High School or equivalent,Information Technology and Services,Consulting,0
5460,Customer Service Associate - On Call ,"US, GA, Atlanta",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Altanta, GA. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Prepare materials for mailing including: applying postage, preparing items for shipment or collection, distributing items as needed, ensuring items are properly labeled and documenting data according to management guidelines.Sort, deliver and pick-up mail and packages utilizing mail cart according to established time frames and service standards.Maintain all logs and reporting documentation as required.Receive and log all incoming items, letters and packages, including certified, registered, return receipt, Federal Express, UPS, and all accountable mail.Keep manager advised of associate or employee moves or requests, and other related matters.Copier key-op dutiesAssist with monthly measurement reports as required.Perform other duties as assigned ",Position Requirements: Minimum of 6 months customer service related experience required.Excellent communication skills both verbal and written.Ability to effectively work individually or in a team environment.Competency in performing multiple functional tasks.Ability to meet employer's attendance policy.Basic PC/Windows experience required.Ability to lift and/or move items up to a maximum of 55lbs. or the maximum allowed by current State law with or without accommodations.Ability to stand and/or walk for long periods of time with or without accommodations.Previous experience in a mailroom operation preferredHigh School Diploma or equivalent (GED) required ,,0,1,0,Part-time,Entry level,High School or equivalent,Telecommunications,Customer Service,0
10976,Veterinarian,"US, CA, Fresno",Small Animal Hospital,,"The Central California SPCA (CCSPCA), established in 1946 and located in Fresno County, California, is a 501(c)(3) non-profit humane society.As the leading animal welfare organization in Californias Central Valley, we are committed to leading and serving our community of animals and people by providing programs and services which serve all the stages of an animals life.  These programs include Adoptions, Rescue, Foster Care, Owner Surrenders, Outreach, Humane Education, Veterinary Services, Spay/Neuter programs, and Pet Services.The CCSPCA also assumes responsibility, by contract, to perform the Animal Control and Leash Law services for the City of Fresno dating back to September 1951. The modern facilities and our caring staff offer safe refuge, nourishment, medical care, and love to every animal in our Animal Center.  Free ambulance service and shelter is provided for lost or stray animals in the City of Fresno, or those owned but temporarily without homes because their owners can no longer keep them.Although the CCSPCA is contracted to conduct Animal Control Services and Rabies Control Enforcement within the Fresno City, we are not federally, state, or locally funded and do not receive aid from any national organization (including the ASPCA). The CCSPCA owns its own land, buildings, and equipment purchased with privately donated funds.  Our funds come from our loyal supporters, allowing us to provide many vital services to our community.",The Central California SPCA is seeking a full time Veterinarian to work in our Veterinary Hospital. The ideal candidate should have experience in general medicine and surgery including spay/neuter and soft tissue. We are a full-service hospital open to the public and have a spay/neuter clinic.New graduates encouraged to apply.Please apply online and or respond with a resume to #EMAIL_0b09ffb2866d1711819bef2d81fb674843de1b89304e4769a2fd8f5e3215d238#,California license in good standing and ability to obtain DEA certificate required.,"Full Time Benefits package includes:-Paid Vacation (2 weeks first year)-Paid Sick leave (6 days/year)-Paid Holidays (10 days per year)-Medical/Dental/Vision-Short Term, Long Term Disability Insurance-Life Insurance -Company events-Pet Discounts-401k Plan ",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Veterinary,Management,0
7895,Field Operations Specialist,"US, SD, Sioux Falls",,,"Working at FBNAt FBN, we put farmers first. For every decision we make, we consider its impact on farmers big and small. Only after this has been considered will we consider the impact of our decisions on FBN, followed by our fellow team members, and finally ourselves. Before making a decision, we listen to farmers and learn about how implementing it will impact them.While making a decision or working on a project, we prefer working in small teams to make sure our employees feel empowered and own their decisions. Finally, after our decisions are made, we communicate those decisions to our farmers and to the rest of the team.FBN employees are a diverse group with a common passion for agriculture. We respect humility, value honesty, and despise entitlement. We see farmers as hackers and entrepreneurs, able to fix things with duct tape and baling wire while predicting the weather and analysing their financial position on the commodities market. Just like farmers, we believe that if something is broken, its better to fix it than to complain about it.All FBN employees, regardless of the position, will spend meaningful time visiting farms, to meet with some of our founding and network farmers in order to discuss their equipment and data collection practices.As a Silicon Valley based company with a Midwestern culture, we believe in the power of getting things done, and understand that creativity is fostered by trust and the ability to focus. As a result, meetings are avoided and we respect everyones right to at least one day a week without meetings. Thursdays are considered “no meeting days.”Finally, there are no shrinking violets here. The team consists of many people with deep experience in agriculture, technology, data science, machine learning, physics among other areas. So be prepared to offer opinions!Interviewing at FBNOur interview panels are as diverse as our employees. You may be expected to interview with an agronomist, a physicist, a data scientist, and because of our strong focus on farmers, we expect every FBN candidate to interview with one of our founding farmers, advisory board members or network members. As a result, our interviews will take you out of your comfort zone. Be prepared to be asked to solve hard problems that may seem daunting, to be asked questions that will test your curiosity, and to meet really awesome people.If FBN sounds like a place where you would like to work and if you're excited about making a difference in the lives of thousands of farmers, take a look at our current openings.","Farmers Business Network (“FBN”) is an advanced information and decision support system serving farmers and their trusted advisors. Farmers Business Network gathers disparate data from its farmer Members, analyzes the data, and converts it into new insights and perspectives, previously unavailable, that can help farmers make better decisions, manage risk, and ultimately increase the profitability of their farming operations.  We're seeking a full-time, South Dakota based, Farmer Experience Manager. Farmer Experience Managers are the front-line of our company, bringing our product and vision to farmers and ensuring they have a stellar experience with FBN. This job will cover a territory covering a region in the eastern/central part of South Dakota, Southwest MN, and portions of IA and NE within reasonable proximity to Sioux Falls.","Things we look forHonesty, integrity and a passion for agriculture and deep respect for farmers.Everything we do is focused on understanding the needs of farmers and empowering them, we measure our success directly by their successStrong communication and interpersonal skillsGood planning and organizational skillsHighly motivated and the ability to work independently, as well as with team members.Solid experience/knowledge of farming/agricultural industryAbility to work in a complex and constantly changing environment.Ability to learn and be proficient in operating new software systems.Driving is required, as is a good driving historyThings you'll doProactively identify, and recruit high potential farmer and professional crop consultants as FBN Members.Cultivate relationships with farmers and other stakeholders (e.g., professional crop consultants).Participate in all training provided by FBN and make efforts to learn different farming systems.Communicate with farmers or professional crop consultants in person, by phone or by email, as appropriate.Organize and conduct farmer meetings to recruit members Document and report suggestions from our members to improve FBN.Some travel within your region will be required.Some travel to FBN office locations in Iowa and California will be required at times.Use software provided by FBN to document all customer interactions.",Competitive compensation based on experienceHealth insuranceStock optionsCompany VehicleFarm visits!,0,1,0,Full-time,Director,Bachelor's Degree,Farming,Customer Service,0
7459,Senior Staff UX Level 3 Designer,"US, CA, San Diego",User Experience,," Value Added Team of Creative ProfessionalsNetConstructor is a San Diego based company Co-Founded by Christian Hochfilzer, Leo Baghdassarian and Kris Fredrickson and is composed of a tightly-knit group of talented and experienced professionals in the realm of marketing and media development. At the heart of NetConstructor is the simple belief that results speak for themselves. We understand that regardless of how aesthetically pleasing an advertisement or website may be, or how much theoretical sense the technique makes, unless the campaign produces the desired results, it has failed.Our Mission:At NetConstructor, we pride ourselves in utilizing technology to develop innovative ROI-focused marketing and media solutions that help our clients embrace the constantly evolving business place. We aim to build and nurture mutually beneficial partnerships with our clients and take an immense amount of pride in the work we perform and campaigns we launch on behalf of each and every company with which we work.Our Process:Our process is simple. By combining tried-and-true principles of advertising and human behavior, we plan each project with your target market in mind. We take a uniquely human approach to everything that we do and are constantly asking “how might the consumer react to this?” At the end of the day, we measure success by results. As such, we maintain an acute focus on how what were doing will have an impact where it counts: your bottom line.","Why Work for NetConstructor:Join NetConstructor, Inc., one of San Diego's leading web technology design, marketing and development firm. We are highly passionate about integrating high-caliber design and development expertise into our group and are constantly in need of highly skills team leaders who can think outside of the box. We understand that some of todays most talented professionals have specific needs, so we work with you individually to determine a suitable benefits package. Some of the perks include access to our continued education classes, an amazing health, vision and dental plan, 401k, flexible provisions for vacation and holiday pay, and continuous technical and professional training. We are only as good as our team of experts and by blending superior employee service and support with an unrivaled ability to source and maintain the best talent to precise specifications we remain successful in meeting technology challenges and delivering solutions incline with client expectations. Come join us! Apply today...Job Overview:Company: NetConstructor, IncLocation: San Diego, CA 92067Industry: Web ApplicationsJob Type: Information TechnologyReference ID: A727UXPosting Date: 5/8/2013Contact: HR ManagerPhone: #PHONE_83b741f9f8a92d9ccbeb344fb4464417246f4f2e07ea51f85f7925968f103d77#","Qualifications:5+ years combined recent experience leading UX design and development projects3+ years combined recent experience working with complex BigData challenges3+ years combined recent experience using JavaScript, including JS libraries (JQuery, Mootools, etc.)Strong experience with HTML5,CSS3Working knowledge of Apache, SVN, Linux/Unix (Solr/Lucene Experience Prefered)A Bachelor of Science degree in Computer Science or related discipline is desired. In lieu of degree, candidate must have 7+ years of directly related and demonstrated industry experience.Ability to deliver on very tight deadlines and adapt to evolving or changing requirements on a daily basis.Excellent verbal and written communication skillsOther Job Requirements:Portfolio, Solid UX Portfolio, PHP, HTML5, JavaScript (JQuery), CSS3Related Search Term Phrase Matches:- HTML5 web applications for mobile products (or any product constrained client resources)- UX/UI design- Experience with video streaming technologies- Experience with Social networking APIs","MedicalDentalVision401kFull Life InsuranceFully Paid - unlimited talk, text, web Cell Phone plan for you and your entire family (max 4).Maternaty Leave6 Weeks Paid VacationVery Generous Salary range between $120,000 - $185,000 depending on talent, experience and capabilitiesQuartery Cash bonuses and Perks ranging from paid vacations, laptops, newest iPhones or technology toys, ...",0,1,1,,,,,,0
12816,Project QA Engineer,"US, TX, Houston",,,"Aker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.","Corporate overviewAker Solutions is a global provider of products, systems and services to the oil and gas industry. Our engineering, design and technology bring discoveries into production and maximize recovery from each petroleum field. We employ approximately 28,000 people in about 30 countries. Go to #URL_0fa3f7c5e23a16de16a841e368006cae916884407d90b154dfef3976483a71ae# for more information on our business, people and values.We are looking for individuals who are prepared to take a position. Not only a position within Aker Solutions, but also a position on the exciting challenges the global oil and gas industry faces now and in the futureAker Solutions is a leading global provider of engineering and technology, products and service solutions to the Oil &amp; Gas industry.At Aker Solutions we offer an ocean of opportunities. Our people are our biggest asset and our business relies on their abilities to win projects and execute them to the highest standards. We are committed to developing our peoples capabilities through challenging tasks supported by excellent training and development opportunities.All our major achievements are team efforts. We are looking for dedicated team players who like to be part of a winning team, who meet challenges head on to serve our customers needs.Responsibilities and tasks •Act as Quality Manager for each project by supporting, aligning and communicating with the project team in meeting client quality related requirements•Ensuring that any changes in the requirements are adequately captured, communicated and implemented•Adhere to and support the quality management system compliant with the requirements of #URL_2c3401bb7c136976503a40fef98a3a9f7d9e6de09897b624fc786f0d955481f7#.•Be the client point of contact for quality related matters•Undertake pre-PEM (Project Execution Model)/SEM (Service Execution Model) gate review audit with project /service team•Attend all project PEM/SEM gate reviews, ensuring compliance to the PEM/SEM process and procedures•Ensure compliance to the Aker business risk process directly and by influence on the project team•Create metrics and reporting for and on behalf of the project team for both internal and external communication•Conduct Internal, Project, inter-company or external quality audits as required by the audit schedules•Facilitate project audits by clients or inter-company representatives•Review lessons learnt register to identify those lessons that are beyond project specific and communicate to others and produce Lessons Learnt bulletins as required•Support any new Quality Initiatives as required.•Identify and launch the ""Just Care"" approach where quality related events would benefit.•Support Project Manager to ensure that the quality culture is maintained throughout the project life-cycle.•Action, review and close out Project specific and general Quality SYNERGI cases.","Qualifications &amp; personal attributes •A recognised Quality Assurance or Quality Management qualification or HNC/HND or equivalent in engineering discipline •Lead Assessors Course with examination pass (recognised by IRCA)•Formal training in the ISO 9000 StandardsCandidates/job-holders without the relevant formal qualifications above but possessing other academic or vocational qualifications or who can demonstrate a greater level of relevant practical experience with a proven track-record may be considered equally competent.•Create a culture of continuous improvement.•Encourage a high level of performance in self and others.•Be able to establish, maintain and develop customer relations.•Provide a high level of internal and external customer satisfaction.•Work as part of a team and exercise tolerance and consistency when dealing with others.•Be a self starter, capable of working on own initiative in order to achieve tasks and overcome problems as well as provide direction for others.•Proactive, flexible and decisive with the ability to be innovative and challenging in line with Company values.•Be accommodating and receptive to change.•Good time-management and organisational skills•Confident communicator - verbal and written.•Good contractual and commercial awareness.•Good presentation skills",,0,1,0,Full-time,,Master's Degree,Oil & Energy,Engineering,1
5333,WF17 9LU Business Admin Apprenticeship 16-18 year olds only,"GB, , Birstall",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",This is fantastic opportunity for someone wanting to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position. You will be working for a Distributor company and the role will involve:-Assist with tasks designated to you from different departments-Will be using ERP systems to log basic financial data-Assistance with filing of orders-Basic input and Customer Service Ideal candidates will be computer literate and have excellent communication skills. If you are honest and hard working send your CV to #EMAIL_0e92123d11734167533acc44a2e74ffac0b6f56d256225a89769e0641a3d1aee#,Government funding is only available for 16-18 year olds as this job is an apprenticeship.,Future prospects ,0,1,1,Full-time,Not Applicable,High School or equivalent,,Administrative,0
4073,Wanted: High-Performance Marketing Manager,"US, VA, Sterling",,,"EcomNets is an outsourcing provider of managed computing and co-location infrastructure for IT applications. By outsourcing to EcomNets, enterprises can focus on their core business while EcomNets ensures the quality of their IT infrastructure. Leading IT organizations have selected EcomNets to help them improve their service levels, reduce capital expense and deal with the rising costs of bandwidth, energy, real estate, staff and expertise. As a pioneer in green computing, EcomNets understands and harnesses the latest advances in technology such as virtualization, cloud computing and support process automation.Watch this video for more information:#URL_7d67efeec679e806752e2aa5e11d21f06248f97cae3c2e93f9f208a490648993#?v=Y50o7aIqph0","Are you a detail oriented, high-performance marketing manager who knows how to manage and implement multi-step, complex marketing campaigns with zero mistakes and zero missed deadlines? Do you enjoy working in an environment where exceptionally high standards are expected? Do you have a high-level of confidence in your ability to implement marketing plans and improve their results? Do you have experience coordinating direct mail print production, e-mail, web, SEO, and telemarketing communications to produce a result? Do you have experience in marketing and managing events and trade shows? For the versatile, experienced marketer who said “yes” to all of these questions, this is the opportunity for you. We are a small, fast-growing entrepreneurial marketing consulting firm that is in need of a high-performance marketing manager who can implement, manage and improve the various marketing campaigns we are running. The best person for this position is someone who is high-energy, detail oriented and never happy with anything less than perfection. Those looking for an easy “9-5” job and slower pace should not apply. In this position you will work directly with the CEO and VP of Operations in implementing and managing various marketing systems and campaigns to achieve our companys short and long term revenue objectives. We are one of the most unusual and successful niche marketers in our space and have an excellent reputation and client base. A true “A” player in direct response marketing will find our marketing savvy, sharp and fast execution on ideas, and huge market potential to be a thrilling opportunity and a wonderful place to call home. Success in this position will be measured in the following areas: Your ability to take a leadership role and quickly learn and take over the management of several campaigns, systems and tasks already in process. The ideal candidate will be confident and resourceful enough to quickly take charge of what needs to be done.          Ability to flawlessly develop, implement and manage multi-step, multi-sequence marketing campaigns both online and offline simultaneously. Your ability to hit production deadlines and execute without mistakes (or excuses) is crucial.          Excellent copy writing and communication skills. At a minimum you will need the ability to communicate clearly and concisely. Ideally, you will have the ability to write persuasive sales copy and communications, or at least understand the basics of sales copy to improve our current marketing activities.  Your ability to coordinate production on various marketing campaigns using a variety of media including print production, direct mail, web sites, e-mail, videos, audio, conference calls, webinars, teleseminars and in-person presentations with little supervision.          Your ability to use your direct marketing and sales expertise to improve the results of the various campaigns and systems we are already running. Success in this role will be rewarded with a generous results-based compensation plan and benefits package. Only those candidates with a proven, verifiable track record in implementing, managing and improving multi-step, complex marketing plans  both online and offline - will be considered. Ideally, this person will have a true passion for marketing and studied the likes of Jay Abraham, Dan Kennedy, Harry Beckwith, Trout and Ries, as well as the classics such as Caples, Hopkins and Ogilvy. If you have what it takes to fill this role, please submit a resume detailing your previous work history and accomplishments (not just a list of task and job responsibilities), as well as a brief, one-page summary explaining why you think you would make an excellent candidate for this position. You will also be asked to submit 3 to 4 examples of multi-step marketing campaigns youve successfully implemented within the last 6 to 8 months, along with the results generated. EcomNets Culture:EcomNets is an outsourcing provider of managed computing and co-location infrastructure for IT applications. By outsourcing to EcomNets, enterprises can focus on their core business while EcomNets ensures the quality of their IT infrastructure. Leading IT organizations have selected EcomNets to help them improve their service levels, reduce capital expense and deal with the rising costs of bandwidth, energy, real estate, staff and expertise. As a pioneer in green computing, EcomNets understands and harnesses the latest advances in technology such as virtualization, cloud computing and support process automation.Watch this video for more information:#URL_7d67efeec679e806752e2aa5e11d21f06248f97cae3c2e93f9f208a490648993#?v=Y50o7aIqph0About EcomNetsEcomNets is an outsourcing provider of managed computing and co-location infrastructure for IT applications. By outsourcing to EcomNets, enterprises can focus on their core business while EcomNets ensures the quality of their IT infrastructure. Leading IT organizations have selected EcomNets to help them improve their service levels, reduce capital expense and deal with the rising costs of bandwidth, energy, real estate, staff and expertise. As a pioneer in green computing, EcomNets understands and harnesses the latest advances in technology such as virtualization, cloud computing and support process automation.At EcomNets, we differentiate ourselves from our competitors by our proven, reliable delivery of innovative and creative solutions to each client's individual organizational and technological needs. Our methodologies allows us to execute rapid, reliable, and measurable solutions that stimulate change while successfully managing what can be a very daunting process.",,,0,1,1,Full-time,Associate,Bachelor's Degree,Marketing and Advertising,Marketing,0
15790,Social Media Representative - Intern,"CA, ON, Toronto",Social Media Marketing,0-0,"Founded in 2009, Blue Point Trading is a unique boutique proprietary trading firm which provides above average trading returns for its investors through its managed fund. Our core values for our company are: transparency, trustworthiness, team oriented and aggressive risk management of our investors funds.How do we provide above market performance with aggressive risk management? Primarily three ways. First we spread risk of the investors funds over a team of traders and trading strategies. So no one trader can harm the fund. This allows us as well, to profit in all market conditions  as different trading strategies at different times can provide. “The power of the many is greater than the power of one.” Secondly, we have new technology in place to ensure that strict trader targets and controls are adhered to (via our real-time risk manager) as well as provide trader tools (for example the Trading Floor and the Toolbox) to enable trader success. Finally, Blue Point Trading has in place an “engine” to recruit and build this team of traders to perform the trading. We accomplish this via our unique Trader Programme."," **** YOU MUST BE CURRENTLY ENROLLED IN A COLLEGE OR UNIVERSITY PROGRAM *****OverviewBluepoint Trading is looking for motivated Marketing Interns with a strong writing background and aninterest in blogging, social media and online marketing. Interns will assist with the marketing team on ourweb-based platform and customer service correspondence. The internship would last for an indefinite term at5-10 hrs/week.Interns will be performing the following tasks:Facebook, LinkedIn and Twitter Management.Assist in the creation of online promotion.Assist in the distribution or delivery of marketing materials.Article writing for company blog.Assist in designing brochures, flyers and posters.Creating spreadsheets of contacts.Utilizes social media to engage with a community of fans/followers online.Demonstrates interest in social media and how media and communication strategies can continuously engage the online community.This position is responsible for increasing our brand awareness, driving internet traffic, and increasing enrollment activity for assigned territory.Utilize word of mouth marketing, Internet marketing, and Internet marketing techniques to increase traffic, brand awareness, and requests for trader enrollment.Leverage social networks to strengthen relationships with existing clients and increase the number of impressions on potential clients.Utilize Blogging, SEO, social networking, to raise our web presence and placement on web searches within the assigned territory. ","Skills and attributes interns are expected to have:Ability to develop a detailed and professional marketing plan.Knowledge of digital media software  Photoshop or other graphic design software, etc. is preferred.Knowledge of social media  Facebook, Twitter, LinkedIn, YouTube, blogs, etc.Backgrounds, and minor video editing skills are a plus.Willingness to create video blogs, write press releases, and Facebook marketing best practices Fearless attitude towards technology and a willingness to learn Energy, with a desire to come up with fresh ideas on how to grow our online presence.Ability to identify a target market and “speak” to that consumer through social media Experience.Proofreading and editing.Ability to deal with uncertainty Ability to contribute individually, and participate in cross-functional teams.This internship is open to current College / University students and recent Graduates who are majoring in Marketing, Public Relations, Media Relations, Communications, Business Administrations, Business Management, Information Technology, Computer and Information Systems, Information Design, Journalism, International Business, Public Relations, Social Innovation and Broadcasting.Candidates who wish to apply can send a recent copy of their resume to the Trade Floor Manager.","This internship will cover necessary expenses for marketing efforts, and credits available for your post secondary studies or as a source for future career development.",1,1,0,Contract,Internship,Professional,Marketing and Advertising,Marketing,0
370,Project Coordinator,"US, CO, Fort Collins",,,"Riverside is an internationally recognized engineering, science, and information technology company with more than 25 years experience providing innovative information technology solutions for environmental decision support systems, using a variety of different environmental data sources, from satellite sensors and imagery to stream gauges in local rivers.RIVERSIDE QUICK FACTSFounded in 1985Corporate headquarters in Fort Collins, ColoradoCompleted over 1,200 projects in more than 30 countriesNCBR Mercury 100 List for “Fastest Growing Companies Over $2 Million”SBA “Small Business of the Year Award” recipientNCBR 2011 “Environmental Entrepreneur Award” recipientRiverside has successfully implemented more than 1,200 projects in over 30 countries, and maintains a strong worldwide customer base, including U.S. government agencies such as USAID and USTDA, and international donor organizations such as the World Bank, Asian Development Bank, and FAO.Since 1985, Riverside has built relationships and partnerships with a variety of clients including the U.S. Geological Survey (USGS), the National Oceanic and Atmospheric Administration (NOAA), the U.S. Department of Agriculture (USDA), and the Colorado Water Conservation Board (CWCB) — providing products, services, and solutions meeting their long-term needs.","Riverside Technology, inc. (Riverside), recognized internationally for providing innovative engineering, science, and information technology solutions to Federal and state agencies, private companies and international organizations, has an immediate opportunity for a Project Coordinator located at our headquarters in Ft. Collins, Colorado.THE POSITIONThe Project Coordinator provides project management support for environmental engineering and scientific projects. The successful candidate is responsible for being familiar with project objectives and the role and function of each team member to effectively coordinate the activities of the team. The Project Coordinator communicates with project managers and/or other functional managers regarding status of specific projects.KEY RESPONSIBILITIESAssists in preparation, management, and execution of project plans utilizing various tools such as MS Project, Excel, SharePoint, etc.Tracks project schedules in support of project managers.Supports project managers with resource allocation and helps to identify resource shortages and resolve conflicts across projects.Supports project managers with written and oral project status reporting internally and externally.Responsible for gathering and preparing project data pertaining to resource management, budget adherence, etc.Ensures that all Riverside project management standards are followed and makes suggestions for improving processes and procedures.","Bachelors degree in Business, science/engineering, or other related fieldPMI certifications are preferredA minimum of 3 years experience supporting complex projectsExperience within an engineering or scientific environment is preferredProficiency with MS Project and/or other project management softwareStrong attention to detailExcellent  communication skillsAbility to understand Riversides services, products, industry, and customers LOCATION: Fort Collins, ColoradoRiverside is an Equal Opportunity Employer offering very competitive compensation and exceptional employee benefits. ",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Environmental Services,Project Management,0
4666,Accounting Manager,"US, OR, Portland",Finance,,"At Cedexis, were passionate about solutions for a faster web and we need people to bring our message to the world.The Internet can be a messy place. Cedexis makes it much easier for companies to evaluate and make decisions on how they serve content to their customers. Today, over 250 media, retail, luxury and consumer brands count on Cedexis for 100% availability, optimal web performance, flexibility and choice that drives traffic and revenue at lower cost and risk. Our customers include Mozilla, Microsoft, NBC, Lacoste, and Volkswagen.Founded in 2009, were focused innovators at the core of global expansion strategies. Were committed to making the web and mobile user experiences equally fast and robust for each and every user on the planet.","The PositionThe Accounting Manager is responsible to ensure that daily operational, cash management and management reporting functions within the Accounting Department are completed in a timely and accurate manner. The Accounting Manager will prepare internal and external financial statements. The Accounting Manager will be responsible for preparing the annual budget and providing monthly variance reports and other analysis.About CedexisAt Cedexis, were passionate about solutions for a faster web and we need people to bring our message to the world.The Internet can be a messy place. Cedexis makes it much easier for companies to evaluate and make decisions on how they serve content to their customers. Today, over 500 media, retail, luxury and consumer brands count on Cedexis for 100% availability, optimal web performance, flexibility and choice that drives traffic and revenue at lower cost and risk. Our customers include Mozilla, Microsoft, NBC, Lacoste, and Volkswagen.Founded in 2009, were focused innovators at the core of global expansion strategies. Were committed to making the web and mobile user experiences equally fast and robust for each and every user on the planet.","ResponsibilitiesThese are the sorts of things you'll be working on.Budget Preparation And AnalysisCost Of Goods Sold AnalysisCapital Expenditure Budget &amp; TrackingFinancial Modeling And AnalysisSaas Metrics Modeling And TrackingTransfer Pricing AnalysisMonthly Forecasts (is, Bs, Cf)PayrollExpense ReportsCommission &amp; Mbo CalculationsSubsidiary AccountingSupport Functional DepartmentsProduct Proposal AnalysisDesired Skills and ExperienceBelow are the skills required for this position. Please review them carefully.Bachelors degree or higherCPA5-10 years combined experience in public accounting and/or mid-size technology companyExperience with International subsidiary accountingExcellent verbal communication and interpersonal skills",Competitive compensation401kMedical &amp; Dental CoverageStock Option PlanUnlimited vacation policy (this is not a typo),0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Finance,0
6752,Consultora de R&S ,"BR, SP, São Paulo",,50000-120000,,Vaga de consultor de R&amp;S para São Paulo,Experiência em recrutamento de volume.,Seguro SaúdeVRVA,0,0,1,Full-time,Mid-Senior level,Bachelor's Degree,Retail,Human Resources,0
8795,Graduates: English Teacher Abroad (Conversational),"US, MS, Oxford",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Openings in China$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsPlease let us know when you would be looking to start when applying",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
3200,Social Media Expert,"CA, BC, Vancouver",Social Media Promotion,,"Pandoo TEKs mission is to turn innovative ideas into solutions by developing the technologies to support them. Pandoo TEK achieves that with serious R&amp;D on personalization and orchestration with the objective to develop PANDOO!""PANDOO is a web platform and an O/S that personalizes user experience by orchestrating services, apps &amp; games through an intuitive and friendly environment.” In other words, PANDOO transforms the Internet on a personal basis.","Pandoo TEK is looking for a smart, team player and innovative social media expert to work closely with our marketing team and our designer &amp; graphics expert in order to promote PANDOO in the social media!Please see more on: #URL_c2e77443d859b92d228f566a1d2524a4ccc8dff1eafdca3968c8b4c6fb1c78e1#","The ideal candidate should have at least a 2 year solid experience of promoting companies and products in:FacebookTwitterGoogle PlusLinkedinOther social mediaThe candidate must have live info that can share, examples and verified results.",The candidate will get a very good compensation according to his/her skills.,1,1,1,Contract,Executive,Master's Degree,Information Technology and Services,Marketing,0
1479,Tech Support Specialist,"US, CA, Temecula",,,"Founded in 2009, Greene Health Care Inc. is the leading provider of hospice solutions. We facilitate health care convergence through secure cloud- based portal technologies enabling health care organizations to serve the needs of their patients, employees, physicians and partners.","Position SummaryWe are looking to hire a candidate who can assist in resolving customers technical concerns and software training issues. The candidate should have solid technical aptitude, knows various operating systems and can help non-technical and technical users resolve technical concerns in a timely manner over the phone and/or via email.This is an entry-level position and we are seeking candidates who understand they need to earn their position within a company through hard work and commitment to the company. The individuals we hire will be confident yet open to instruction at the same time. They will be self-starters and continuously look for ways to contribute to the company. They will be eager to contribute on any task regardless of its importance or relevance to their normal position with the company. Those who embody these attributes will have an opportunity to advance within the organization both in responsibility and pay.Job DescriptionYour job duties include a wide variety of customer service and support tasks including:- Respond to clients phone calls in a prompt manner and interacting with non-technical business users to solve their technical and business challenges related to their computer systems.- Monitor and Update on going support cases- Setting up and training new users- Delegate workflow while maintaining job queue within turnaround time.- Handle difficult and sensitive situations tactfully and responsibly.Work Hours- 9:00 am - 5 pm PSTIMPORTANT: To be considered for this position:- NO OUT OF STATE/COUNTRY CANDIDATES - we will not consider relocation and we do not have a timeframe to support a candidate that is not already settled in the TEMECULA VALLEY area.- Background/criminal checks will be required if selected for employment.","- Excellent customer service, organizational skills, and oral communication and typing/writing skills. To be clear what this means -- the candidate should be able to speak clearly, confidently, and accurately about technology issues. Clear speaking ability on the phone, communication via e-mail, and in-person is absolutely required.- Excellent typing/writing skills, 40+ WPM. Much of our work and communication is done via e-mail. So, you should be able type clearly, effectively, and typo-free!- We are not looking for an Tech Support ""STAR"" who thinks he/she can solve all the problems but instead we would like someone who can admit when they need assistance and take help from rest of the team to provide a quick resolution to the user's needs. Required Skills• A background in technical support with a min of 2 years of experience• Solid understanding of Apple OS and Microsoft OS including Windows XP, Vista, and 7• Basic proficiency in Microsoft Word, Excel, and Outlook• User familiarity with iOS and Android mobile operating systems• Basic understanding of QA testing and keen attention to detail• Ability to multi-task and prioritize projects effectively in a fast paced environmentPreferred Skills- Experience working with EMR systems- Knowledge of policies and procedures for compliance with local, state, and federal laws regarding the privacy of healthcare records.","- Salary will be based on skill level and experience. Please include your recent salary history as well as your expected rate of compensation in your e-mail.Medical, dental, and vision benefits available after six months of full-time employment. Paid time off accruable per year plus several paid holidays.",0,1,0,Full-time,Entry level,Some College Coursework Completed,Computer Software,Customer Service,0
478,Customer Service Technical Specialist ,"US, CT, Stamford",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Technical Specialist will be based in our Stamford, CT client location. The right candidate will be an integral part of our talented team, supporting our continued growth. Job Responsibilities:The position will be responsible for the reception/welcome lobby for the Novitex facility.  This will include the coordination of welcoming and announcing guests, maintaining security compliance, phone/email communications, life safety guidelines and maintaining Novitex standards for quality.  This position will assist Novitex guests and employees with entrance into the Novitex space and provide facility information as required. Primary duties include:Greet and announce visitors and guestsAnswer phonesMaintain and schedule reception area conference roomsSchedule executive/ leadership visitor officesOther duties as definedThis position will assist in ensuring that all public space within the facility, ie conference rooms, huddle/focus rooms, café/pantry facility areas are ready for use, and contact the appropriate staff to remedy any outstanding issues.  This position will be responsible for managing requests for meeting space in the facility.  The incumbent will also act collaboratively in assisting staff who may require outside meeting space by providing information on available venues. This position will assist with the management of general supply requirements as well as media/AV requirements for the meeting rooms.  This position would assist in business services required for customers and visiting leadership. This position will assist the Manager of Facility Services in any day to day operations required to maintain optimal service levels and productivity in the workplace.  This position will communicate to employees, visitors, building landlord and suppliers.Success Factors:Reception operations provide professional and courteous service and the highest levels of effective communicationProfessional appearance and attitude that contribute to a welcome atmosphere befitting a multi-national companyTimely courteous communications to all contactsPublic space in the facility is effectively utilized and kept tidyFacility support services are delivered with optimal results in a timely mannerManager of Facility Services can effectively delegate tasks to this positionCompetency in MS Office applicationsMonitor and track all on-site meeting and event activity, complete activity log on CRE share driveCustomer surveys are 100% above average ","Qualifications:High School diploma or equivalent (GED) requiredMinimum of 1 year customer service related experience requiredComputer proficiency in email environments, MS Office, OutlookStrong organizational and administrative skills requiredAbility to communicate both verbally and written with customers and client personnelExperience coordinating and designating work to employeesAbility to excel in a fast-paced, multi-tasking, team environmentAbility to effectively work independently and in a team environmentAbility to adhere and administer companies policies and proceduresCreative thinkerOutstanding work ethicTarget-drivenEnthusiastic and passionateSitting for long periods of timeAbility to meet attendance policySuccessful completion of a pre-employment drug screening, employment history check, credit check and criminal background check",,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,0
17686,CUSTOMER SERVICE AGENT ,"US, TX, DALLAS",,30000-38000,"Aegis is a global business services provider in the area of experience management. We provide a suite of solutions for our clients, from strategy and design to implementation and execution, that help global brands deliver memorable end-customer experiences.With a presence in 56 locations across 13 countries and with more than 55,000 employees, Aegis manages over a billion customer interactions every year for over 300 clients across verticals such as BFSI, Telecom, Healthcare, Travel and Hospitality, Consumer Goods, Retail, and Technology. Aegis manages, enables, extends, and enhances business experiences for its clients and their customers across consulting, technology, outsourcing, and training and education.Aegis is an Essar enterprise. Essar is a USD 39 billion conglomerate.- See more at: #URL_e8c499d2ca1fbf5755d4b1b178935b9083dccbe9587203a143de4981e0d2481a###URL_96e4f476dd7b820dc543c315e6e034d8241f8c53721d5ed893beb836062edb6e#","DescriptionLocation DALLAS, TX , USAJob DescriptionRepresentative, Customer Service - TX - Dallas Customer Service Representative     POSITION PURPOSE: The role of the Customer Service Representative is to develop customer relationships to increase customer loyalty and interact with customers in an efficient and professional manner. The Customer Service Representative is responsible for answering incoming calls from customers, answering inquiries and questions, handling complaints, troubleshooting problems and providing information that ultimately resolves the request.  As this environment is fast paced, you must be able to work under pressure and accurately process a high volume of calls on a daily basis and follow up with the request until it is resolved. You will be expected to provide the highest level of customer service in a friendly and helpful manner. This position requires an eye for detail and the ability to adapt to changing workloads and requests.RESPONSIBILITIES:  Accurate and timely follow up for internal and external customersEnsure Customer Service levels are met or exceededIdentify, research, and resolve customer issues using the various methodsComplete call logs and reports as neededWork in collaboration with internal and external customers to ensure appropriate standards are outlined and implemented Propose and help execute new approaches/procedures to drive continual improvements in the efficiency and effectiveness of the departmentMay be required to perform miscellaneous tasks to provide additional support to the organizationProactively identify trends from customer contacts and review with managerAnswer incoming calls and emails and satisfy the customers queries with the best knowledge &amp; expertise   SKILLS:  Strong Customer Service SkillsProven ability to work cooperatively as a member of a team as well as work independently to achieve goals and targetsAbility to follow through on requests and successfully close issuesProven ability to maintain accurate and detailed recordsExcellent oral and written communication skillsDemonstrated creative problem-solving skillsPrepare special reports upon requestAdhere to the Code of Business EthicsConduct oneself with honesty and integrity above all else. Commit to the highest ethical standardsEDUCATION AND EXPERIENCE: HIGH SCHOOL DIPLOMA",HIGH SCHOOL DIPLOMA,"HEALTH CARE , DENTAL INSURANCE 401K , STOCK RETIREMENT PLANS",0,1,1,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,1
5922,"Title Insurance: Commercial Counsel -New York, NY","US, NY, New York",,,#URL_e7c9057d5e6f097876436d175031e95669ede4ebaab52b6be0957c837bc98343#,"A leading Title Insurance Agency based out of New York City is looking to add a Sr Commercial Title Insurance Counsel to their team. Excellent Pay and benefits. Minimum 5 yrs prior Counsel/Attorney experience in the Title industry is required.Purpose:To determine, direct and implement the manner of applying Company underwriting, examining, settlement and/or examining policies, procedures and practices for our clients national title insurance operation based out of New York, NYTo assist in the development of new business and existing business on both a national and local scope. Commercial and high end Residential.This is an excellent opportunity for the right candidate. Contact us for more details if you are interested and meet the requirements. All inquiries are strictly confidential.",,,0,1,0,Full-time,,,Real Estate,,0
3791,HR Administrator,"NL, NH, Amsterdam",HR,,"About the CompanyWe are ticketscript - the European market leaders in digital self-ticketing. Whether its massive dance events, festivals, gigs, outdoor cinemas, fairs, theater shows, exhibitions, comedy nights, or award ceremonies - we do them all! We believe in empowering our customers. Their success is our success. So far over 50,000 events have worked with us - and this is only the beginning. We have offices in London, Amsterdam, Antwerp, Berlin and Barcelona and are rapidly expanding across Europe.","About the CompanyWe are ticketscript - the European market leaders in digital self-ticketing. Whether its massive dance events, festivals, gigs, outdoor cinemas, fairs, theater shows, exhibitions, comedy nights, or award ceremonies - we do them all! We believe in empowering our customers. Their success is our success. So far over 50,000 events have worked with us - and this is only the beginning. We have offices in London, Amsterdam, Antwerp, Berlin and Barcelona and are rapidly expanding across Europe.The roleThe role of HR Assistant is a brand new role within ticketscript. Our company is growing, which means increased activity for the HR Department. That is why we are looking for a fulltime HR assistant to support the Group HR Manager, whom you will directly report to. The scope of work consists of providing direct support to the Group HR Manager and to supply general HR support to the ticketscript offices in all countries (Belgium, Germany, Netherlands, Spain and UK). You are the “go-to” person for all the general HR questions our employees might have. You will also provide support to (or lead, in some cases) specific HR projects such as the pension scheme, insurances and other related HR topics.ResponsibilitiesAs an HR assistant your responsibilities include but are not limited to:- Looking after all personnel administration (related to flow of people in, through, up and out of the organisation)- Update and maintain proper HR records in HRIS and personal files- Processing personnel and salary mutations- Other administrative tasks, e.g. drafting company HR policies, archiving, etc. - Reviewing and updating health and safety procedures- Support the HR Manager in recruitment and selection- Support the HR Manager in performance review processes- Generate management information","Your profileWe are looking for a highly structured HR professional with an eye for detail and 2 to 4 years experience in a supporting HR position. Having finished your Bachelor / Master level studies (HR related) is a requirement.The basics- Bachelor or Master degree, related to HR- At least 3-4 years relevant experience with administrative processes in an HR environment- Work experience within a fast paced environment- Knowledge of labor law is a big plus- Excellent oral &amp; written communication skills in English and German or Dutch, must be confident to interact with people at all levelsSkills &amp; Competences- A methodical and well organized approach to work, ability to prioritize work and work very accuratelyI- ntegrity and honesty are two of your core values- Work independently and under pressure- A service minded attitude- Results driven attitudeOur offerA competitive salary within an international and growing technology company that offers you the possibility to deepen your international career. You will join an energetic and results driven team based in Amsterdam.Job detailsDepartment: Human ResourcesJob type: Full-time (40 hrs)Job location(s): Amsterdam, The Netherlands",,0,1,0,Full-time,,,,Human Resources,0
17602,Payroll Clerk,"US, NY, New York",,,,We are seeking a full time payroll clerk to manage day to day accounting for our operation. PAY SCALE : $30/hrResponsibilities will include- Balancing of company accounts- Processing of weekly payroll- Processing of company credit cards- Processing of Rebates- Overall company record keeping,"•    High school diploma or equivalent•    Excellent communication and organizational skills.•    Ability to work a flexible schedule•    Ability to perform mathematical calculations such as addition, subtraction, multiplication, division, and #URL_967146c5ba8def741508918e18619342733ffa7badf480c1fe4709f3a75385ae# Trends is a great place to build a fun and rewarding career in retail management! We believe in promoting from within, so, rest assured, if you show the initiative, there'll be plenty of room to develop your career. We offer a competitive salary and benefits package that includes health and dental insurance, paid vacation, a 401(k) plan, paid training, and much more. Ready for some rapid growth of your own.","Citi Trends is a great place to build a fun and rewarding career in retail management! We believe in promoting from within, so, rest assured, if you show the initiative, there'll be plenty of room to develop your career. We offer a competitive salary and benefits package that includes health and dental insurance, paid vacation, a 401(k) plan, paid training, and much more. Ready for some rapid growth of your own.",0,0,0,Full-time,,High School or equivalent,Apparel & Fashion,Accounting/Auditing,1
7784,Summer Intern,"IN, , ",,10000-15000,"Welcome to the Jungle!Jungle Ventures is a Singapore based, entrepreneur backed venture firm that funds and helps start-ups scale across Asia Pacific.We invest in global start-ups that are solving problems relevant to Asia Pacific markets. We currently have investments in US, Singapore, India, Australia, Thailand, Malaysia, and the Philippines. Current portfolio companies include micro-lending platform Milaap, mobile commerce app ShopSpot, and vacations rental site Travelmob which was acquired by Homeaway last year.We work hand in hand with entrepreneurs through a strong advisor and principals network made up primarily of founders and specialist operating partners who can uniquely help our start-up teams with the information and resources they need to grow their ideas and business. We provide early stage investments and business building infrastructure to start-ups as well as operates a seed fund and incubator in Singapore and India, focused on concept to angel level investments.","Summer Internships @ Jungle VenturesPositions: PR Executives, Digital / Social Media Executives, Advertising,Candidates can choose any of the above mentioned positions depending on their choice.",,,0,1,0,Temporary,Internship,Bachelor's Degree,Venture Capital & Private Equity,,0
4673,Operations/ Admin Supervisor / Awesome Person Wanted ASAP!,"CA, AB, Calgary",Admin,39000-41000,"Joint venture real estate investments with individual partners through a variety of different structures including: equity, RRSPs, private mortgages and short-term loans for corporate executive furnished rentals, single and multi-family rentals, rent-to-own properties, renovations, development and redevelopment projects throughout Calgary and neighboring areas. Having an interest in all of the projects that we undertake, leads to an owner driven investment strategy. Combined with focusing on long-term, sustainable returns, gives Equium an advantage in finding value in the Calgary real estate market.Turning active investing passive - creating hands off investments is our specialty; while still providing a healthy return on investment and strong growth options for the future.Contact one of our staff today to discuss your real estate investing future!","We are a small real estate investment firm with a growing portfolio of properties which require assistance to manage.Tasks may include: Answering phone calls, visiting tenants, arranging property showings, completing inspections, contractor meetings, arrangements and research, document pick up and delivery and bookkeeping.This position is ideal for some interested in breaking into the real estate business with a eye for detail and who is well organized. A business administration background would be an asset.You would be working from home and be required to meet tenants, clients , contractors etc. as required.Please reference ""operations supervisor"" in the subject line.NO PHONE CALLS PLEASE.",- Must be a sole proprietor or limited company to invoice your hours.- Must have your own vehicle- Must have a computer- Flexible shifts between 8am and 7pm Mon-Sat,"We pay $18/hr plus incentive bonuses, $20/hour after 6 months 35 hours / week guaranteed",0,0,0,Full-time,Entry level,High School or equivalent,Real Estate,Administrative,0
12277,Big Data Analyst,"GB, WSM, London",Product Ops,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Qubit: Cutting Edge Big Data EngineeringQubit is a high growth, venture funded business, delivering website personalisations to some of the world's best known ecommerce companies, such as Topshop, Staples and Thomas Cook.We are looking for a BI Analyst with a sharp sense of commercial problem solving and best-in-class visualisation abilities.Qubit's Universal Variable data layer enables our clients to capture and store a wealth of information about the performance of their sites, products and users.  As our BI Analyst, you will be at the forefront of providing data insights for our clients, unlocking the value in their online data.What youll be doing:Helping our retail, travel, gambling and lead-gen clients visualise and understand their data, via Tableau and assorted data sourcesPresenting insights data back to the clients, and shaping their strategy. This will be via a combination of reports, client presentations and meetingsCreating bespoke dashboards for individual clients, working in partnership with our exceptional engineering teamDesigning performance improvements to scale up Qubits analytics product","What you'll need:A background in consulting, tech, finance or similar, with strong analytical skills and attention to detailExcellent knowledge of Tableau Desktop, and Tableau Server (preferred)Experience working with complex data sets, manipulating and extracting data from databases and presenting it in an engaging mannerThe ability to build rapport and trust with our clients, and be comfortable presenting and explaining complex data to non technical audiencesTo be proactive in your approach to work","Plenty of perks:As well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Product Management,0
15247,Luxury fragrance consultants needed for Xmas!,"GB, , Sheffield",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury fragrance consultants needed!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary &amp; permanent beauty, fragrance, fashion &amp; retail staff. We are recruiting now for beauty &amp; fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care &amp; perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to marie@#URL_2cf2adf737014de8b49f0776d9c8caa1a359f0bf42fa9910bb760d5475a2f1b9#",,,0,1,0,,,,,,0
12802,Luxury fragrance consultants needed for Xmas!,"GB, , Belfast",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ","Luxury fragrance consultants needed for immediate start!Pure Placements are a specialist luxury retail recruitment agency, specialising is temporary &amp; permanent beauty, fragrance, fashion &amp; retail staff. We are recruiting now for beauty &amp; fragrance consultants to work within department stores across the country promoting luxury products such as make up, skin care &amp; perfume, must come from a beauty/retail background, exceptional customer service skills are a must! If you feel you have relevant experience and want to join our fantastic team please email a copy of your CV with a recent full length or head shot photo to marie@#URL_2cf2adf737014de8b49f0776d9c8caa1a359f0bf42fa9910bb760d5475a2f1b9#",,,0,1,0,,,,,,0
17865,Next Generation Depth Sensing,"US, MA, Cambridge",MM,,"MERL's internship program gives students excellent opportunities to work in an industrial research lab environment side-by-side with world-class researchers.A primary intent of the program is to provide interns with experience that could help them enhance and accelerate their professional career, while also contributing to new or ongoing initiatives at MERL. Interns will be exposed to relevant industrial problems ranging from speculative and exploratory research to more practical engineering tasks. We hope that all interns have a chance to become familiar with our organization and the open research culture at MERL, produce publishable work, and develop an appreciation for how breakthrough research makes an impact on future products.MERL considers graduate students from all over the world. As many of our projects benefit from specialized knowledge in a given field, graduate students pursuing a Ph.D. typically fill the majority of internship openings.The duration of a typical internship varies from 3 months to 1 year, with the majority of interns being employed during the summer months. As the summer is a very busy time, we encourage applications for non-summer internships and also prefer early applications for summer internships. Hiring decisions for the summer are typically made around February/March to allow enough time for any necessary paperwork (such as visa applications or other work eligibility forms) to be completed.","MERL is looking for a well qualified individual to implement novel technologies for depth sensing. The ideal candidate will have a solid background on implementing hardware prototypes. The work will involve development of an optical hardware prototype using off-the-shelf components, as well as experimentation using this prototype. The project will likely lead to a publication. Experience with optical hardware, such as CCDs, laser transmitters, and photodiodes is a plus. The duration of the internship is expected to be 3 months; dates are flexible. Candidates at any level of their degree program are encouraged to apply. Please include position ID in e-mail subject.Research Area: MultimediaContact: Petros Boufounos",,,0,1,1,,,,,,0
8149,English Teacher Abroad (Conversational),"US, CA, Irvine",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#We are looking for friendly people. If you do not plan to take part in a 3-5 minute interview, kindly do not waste your time applying :-)","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferredCanada/US passport holders only",See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
9106,Security Administrator,"CA, QC, Montreal",,,"We design, deploy, and maintain advanced technologies that enable our customers to achieve operational excellence at substantial OpEx savings. Our core strengths include a concentration on networks, their security &amp; optimization with a laser focus on redefining the fulfillment experience. We are driven to add value at every step by providing access to inventory, streamlined credit, integration with your procurement organization and real time status information. These are all reflective of our value-added philosophy.We have developed solutions for leaders from Wall Street to the Web. What makes us different is our concentration and commitment to exclusive relationships with leading vendors.","We are looking for a highly motivated and qualified Security Administrator to join a fast-paced, dynamic and challenging environment.This position will give the candidate immediate entry level experience specializing in IT security and entitlement management. The ideal candidates must demonstrate a very strong conceptual understanding of security and networking; and the ability to work in a global team environment.As the Security Administrator, your responsibilities will include, but are not limited to, the following:Assist clients with their issues and requests on supported servicesDocument, track and report customer requestsProvide 24x7 coverage as part of the Support TeamBe available via cell phone/email in case of emergencyPerforms Security Administration functions in both mainframe and distributed systemsManage user accounts in operating systems, web applications, databases, and other common computing systemsThis is a full time direct employment in Montreal. Salary is open based on experience &amp; qualifications.","Qualifications:B.S. or M.S. in Computer Science or related discipline1-3 years of work experience in either Operations, Help Desk or Service deskSkills &amp; Experiences:Have prior working knowledge of IT administration systems such as: Service Now, RSA/Archer,eTrust CA Top Secret z/OSIn-depth understanding of basics of Windows and Linux operating systems, user accounts managementKnowledge of Perl scripting, entitlement systems and modelsUnderstanding of how job responsibilities should relate to information sensitivity Understanding of least privilege and being able to identify when a user may have too much accessPrevious Operations, Help Desk or Service Desk experience and the ability to demonstrate practical business judgement and critical thinking are requiredInterpersonal Skills:Ability to multi-task and handle multiple projectsStrong organizational skillsAbility to research and provide solutionsStrong problem solving and analytical skills",,0,1,1,Full-time,Entry level,Associate Degree,Information Technology and Services,,0
8208,GIS Analyst-Programmer (ArcGIS),"BE, , Brussels",,,"EUROPEAN DYNAMICS (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) is a leading European Software, Information and Communication Technologies company, operating internationally (Athens, Brussels, Luxembourg, Copenhagen, Berlin, Rome, Stockholm, London, Nicosia, Helsinki, Valetta, etc). The company employs over 600 engineers and IT experts. We design and develop software applications using integrated, state-of-the-art technology. Our current IT and telecoms projects have a value exceeding 250 million EURO. EUROPEAN DYNAMICS is a renowned supplier of IT services to European Union Institutions, international organizations, European Agencies and national government Administrations all over Europe.","We currently have a vacancy for a GIS Analyst Programmer, fluent in English, to offer his/her services as an expert who will be based in Brussels. The work will be carried out either in the companys premises or on site at the customer premises. In the context of the first assignment, the successful candidate will be integrated in the Analysis team of the company that will closely cooperate with a major clients IT team on site. Your tasks:Analysis, Design, implementation, development of specific modules and application of  GIS development methodologies using ModelBuilder, ArctoolBox tools, Open Layers and GeoTools;Provision of assistance to the developers with the analysis, updating and management of the content of the network database;Configure ArcGIS server and use the GIS application for spatial analysis of the database information;Apply data integrity control procedures.","Your skills:Minimum 13 years of combined University Studies and experience in IT;Minimum 9 years of professional experience in GIS development and Data management;Knowledge of JAVA, J2EE, JavaScript, Servlets, JSP, Flex, Struts, Hibernate, Apache/Wicket and Spring;Experience in designing Business Objects universes and reports and experience in Oracle RDBMS and Helpdesk operations is an asset;Excellent command of English, both written and oral, knowledge of French is an asset.","Our offer: If you are seeking a career in an exciting and dynamic company, where you will offer your services as part of a team of a major European Institution, operating in an international, multilingual and multicultural environment where you can expect real chances to make a difference, please send us your detailed CV in English, quoting reference: (GIS/07/14), to the following e-mail address: #EMAIL_e8efcf62a68b682bfb8fcc86c8c05ec5b0b8c9afa3310079ab513dbf73ccfd25#.We offer a competitive remuneration (either on contract basis or remuneration with full benefits package), based on qualifications and experience. All applications will be treated as confidential.You may also consider all our other open vacancies by visiting the career section of our web site (#URL_c66532ffa1ce76ab447da6774719060c42c584edbf44d74cdb94fc4ac219ca45#) and follow us on Twitter (@EURODYN_Careers) and LinkedIn.",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
6538,Bulgarian operations reps,"GR, I, Athens",Bulgarian Operations,,"Viva Online Services is the leading Greek online services website providing online services in various sectors like Travel, Ticketing, Telecoms, Insurance, sport events, cultural events, etc.Viva Payments is a Payment Institution operating under official license by the Bank of Greece. The company offers innovative payment services to businesses and professionals, combining maximum security with flexibility and support. Founded in 2006 and 2010 respectively, by parent company Realize S.A. are constantly looking for fresh talent to add to their human resources. Currently planning our international expansion, Viva Online Services and Viva Payments are the ideal places for anyone to kickstart their career or take it to the next level, and grow with us.","Viva Online Services will hire Bulgarian operations representatives to help set-up and operate Bulgarian operations. This is a full time job located in Athens, Greece and responsibilities of this position include:Participate in the analysis and evaluation of the Bulgarian market in regards to the services offered by VivaParticipate in the translation and localization of content and servicesCommunicate with and remotely train colleagues and partners based in BulgariaProvide customer support by email, phone, or other means.Cooperate with our SEO/SEM department for localization of online marketing actions ","The ideal candidate should cover the following requirements:Bulgarian as a mother tongue, greek or english as a second language.Vast experience in online services and productsExperience in customer care departments considered a plusBA degree considered a plusExperience with SEO/SEM a strong plusUsage of web based tools (e.g. google docs) and CRM systems a plusAbility to travel","Viva Online Services provides a creative, challenging and dynamic environment which encourages team spirit, cooperation and continuous learning. All applications will be treated as strictly confidential. Currently in our fastest growth period, the selected candidate will have the opportunity to grow with us and expand his/hers professional horizons.",0,1,1,Full-time,Associate,Associate Degree,Information Technology and Services,Customer Service,0
4476,Project Engineer ,"US, PA, Cranberry",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","We are seeking an Project Engineer professional to support Sales, Engineering, and Project Management teams on developing proposals and quotations for acoustical noise suppression services and products. The right candidate will work in close partnership with the Outside Sales Team, participating in sales strategies, creating quotes, and ensuring the technical due diligence is done for each proposal. This isnt just your typical nine-to-five job. This role is for someone who is ready to take control of their career. An amazing path is ready and waiting for the right candidate to learn, grow and prosper within.Responsibilities:Prepare and deliver detailed quotes, which ensure the profitability of the company.Develop and maintain detailed client files (electronic and paper).Develop, upgrade, and maintain templates and quoting tools in support of the quotation process.Manage and generate work orders for products and services.Attend and participate in outside sales calls and meetings.Answer client calls and inquiries promptly and professionally.Participate and contribute to the Team Culture, which includes respect, fun, courage, and continuous improvement.","High energy, highly motivated, and a desire to be challenged daily.A minimum of 4 years working in a retail or sales-related environment.A minimum of 2 years working in an Oil &amp; Gas company.JobBoss knowledge would be considered an asset.Technical college diploma in math and sciences.A working knowledge of the energy industry, including facilities and operations.Excellent verbal and written communication skills with strong attention to detail.Internet- and technology-savvy including a thorough knowledge of Excel, Word, and Outlook.Must be articulate and presentable.High level of self-discipline, motivation and integrity.Able to work with little supervision and day-to-day guidance.",,0,1,0,Full-time,,,Oil & Energy,,0
3693,Full-stack web developer,"GB, , London",,40000-50000,,"We believe we can bring the worlds families closer together by providing a private, simple place to share photos and memories, thats easily accessible by children and grandparents.We're just getting started, but already have users who tell us that Togethera ""is enriching our lives"" and ""bringing our family closer together even though we're scattered across the world"". We have big plans and are backed by impressive angel investors in London and the U.S. - most are successful entrepreneurs themselves.We've worked with contractors for our MVP, and we're now looking for someone who's ready to commit to a startup and join us in London as our first full-time developer. You'll have real influence on the product, strategy, team and culture with the freedom and responsibility to turn plans and ideas into reality.","Youre a highly skilled, full stack developer with experience shipping web products, on mobile and desktop.Youre experienced with Python and Django and are comfortable with the latest javascript frameworks (we use #URL_9078e8368d89dcdbf1ed26064be556e5b47144133bf647a7deaa954f8ec0bdb4#).You enjoy working on all aspects of a product, from infrastructure and operations to architecting and implementing new features.Youre fun, positive and enjoy working with likeminded people.You enjoy learning and working with new technologies.You know what makes a good team, and get excited by the thought of building the team of developers that you've always wanted to work with.Youre excited by our mission and are motivated by working on products that truly make people happier.","We believe that you need to work when and how youre most productive to deliver results, so we have flexible work hours and dont count your days off.You'll help define our company culture and decide what perks would interest you - conference/travel budget, ideal workstation setup, free lunch...?We want our team to share in the success of the company, so you can choose a combination of cash and equity to suit your financial situation.If you're not in London, but are happy to move here from somewhere else in the UK or EU, then we'll help you with expenses, finding accommodation and settling in.",0,1,1,Full-time,Mid-Senior level,Unspecified,Internet,Engineering,0
14205,Call Centre Manager,"CA, ON, London",,,"Voyageur is one of Ontario's leading transportation companies, serving Southwestern and Central Ontario for over 34 years. Voyageur offers professional passenger transportation solutions in four different business segments: Non-Emergency Medical Transportation (wheelchair and stretcher transfers), School Bussing and Charters, Public Transit (Conventional and Para), and Checker Limousine. We also provide various Training Solutions including Red Cross First Aid and CPR and First Responder training, licence upgrading and various Health and Safety Programs. We continuously focus on safety and driver training. A careful recruitment process, a comprehensive orientation program, regular road evaluations, performance appraisals and defensive driving education programs assist us to maintain the standards of our drivers and the quality of the services they provide. Much of our management team and seasoned drivers have been a part of the Voyageur team for many years.","Voyageur is one of Ontario's leading transportation companies, serving Southwestern and Central Ontario for over 34 years. Voyageur offers professional passenger transportation solutions in four different business segments which include: Non-Emergency Medical Transportation (wheelchair and stretcher transfers), School Bussing and Charters, Public Transit (Conventional and Para), and Checker Limousine. We also provide various Training Solutions including Red Cross First Aid and CPR and First Responder training, licence upgrading and various Health and Safety Programs.We have earned the trust of our customers by providing consistent, quality, personal care and peace of mind services. Our proven commitment to provide only the best transportation service is made possible through our:Team of experienced staff and managementExcellent safety recordFinancial and operational stabilityForesight and a proactive approach to risk managementFlexibility and the desire to meet the needs of all stakeholdersExtensive planning skillsProven efficient delivery of effective safety programsWe currently operate 24 hours a day, 365 days a year from 15 throughout facilities in Ontario . Voyageur has a fleet of approx. 650 vehicles and provides employment for over 1300 individuals across the province and within our various business units.Over 30 years of providing Quality Personalized ServiceTogether were going places!  Voyageur Transportation is currently recruiting for an experienced Call Centre Manager to lead our ever expanding multi-divisional Call Centre located in London, Ontario.Voyageur Transportation, named one of Canada's 50 Best Managed Companies, is a safe and responsible people transportation leader. Voyageur is currently recruiting for an experienced Call Centre Manager to lead our ever expanding multi-divisional Call Centre located in London, Ontario.  This position offers a generous compensation package including health and dental benefits, leadership development, and opportunities for participation and growth. .","The Call Centre Manager is responsible for delivering high standards of customer service by leading an effective and efficient group of call centre employees. The ideal candidate will demonstrate a working industry knowledge and experience that includes, but is not limited to:Managing and leading a diverse centralized Call CentreDeveloping operational strategies by conducting needs assessments, capacity planning and cost/benefit analysisAchieve Call Centre financial objectives by estimating requirements, preparing an annual budget, scheduling expenditures, analysing variances and initiating corrective actionsDeveloping Key Performance Indicators to measure, analyse, plan and  improve Call Centre performance and vehicle utilizationThe ability to drive outstanding customer service; responding to all customer questions/inquiries in a prompt, professional and efficient mannerStrong negotiation skills including conflict management and dispute resolutionThe ability to embrace conflict, stress and crisis situations for positive resolutionLeading and motivating teams and simultaneously manage several projectsDeveloping and fostering positive relations with key stakeholdersFormulating policy, and develop and implement new strategies and proceduresBe self-motivated and have excellent time management skillsProfessional written and verbal communication and interpersonal skillsHold a high degree of dependability and competencyA valid G licence and personal transportation to and from work is requiredQualifications:5+ years leadership experience managing a diverse workforceConsideration given to candidates with experience managing a busy diverse call centreUniversity degree or equivalent preferredDemonstrated ability to positively champion change and link to bigger strategic objectives and business direction","Voyageur Transportation, named one of Canada's 50 Best Managed Companies, is a safe and responsible people transportation leader.  We are the company of choice by our customers and employees. This position offers a generous compensation package including health and dental benefits, leadership development, and opportunities for participation and growth. With several divisions such as Luxury Charters, Non Emergency Medical Transportation, Paratransit and Taxi/Limousine the career opportunities with Voyageur are limitless!",0,1,1,Full-time,,,Transportation/Trucking/Railroad,Management,0
9959,Internal IT Help Desk,"CA, BC, Vancouver",,,"Jiffy Worldwide is the parent company of the Jiffy Group of Companies.   Jiffy operates a mobile application development company, a telecommunications company, a cellular telephone service in Canada and the US, amoung other ventures.   Jiffy employs nearly 500 employees and contractors globally.  ","Title: Internal IT Help DeskReports to: COOWe are an international company based in downtown Vancouver. We currently employ over 450 staff and now require an Internal It Help Desk. This job entails being the go-to person when the troubleshooting of any office IT issue arises. A huge, diverse knowledge of various softwares and hardware types is required - from Apple and PC to large servers, networks, and intranet systems. This position requires speed, knowledge, flexibility and problem solving skills. Being the IT solutions person in an IT company is definitely not for the faint of heart nor the average tech troubleshooter.Daily Responsibilities:Monitor and respond quickly and effectively to requests received through the IT helpdesk and process first-in first-out based on priorityRespond to queries either in person or over the phone.Train and assist with onboarding of new users - ensure each workstation has a computer, monitor, keyboard, mouse, hard drive, phone, and any additional specialized equipmentMaintain daily performance of computer systems.Walk customers through problem-solving processes.Install, modify, and repair computer hardware and software.Run diagnostic programs to resolve problems.Follow up with customers to ensure issue has been resolved.Gain feedback from customers about computer usage.Run reports to determine malfunctions that continuously occur.Document internal proceduresMaintain inventory of all equipment, software, and software licenses.Perform timely workstation hardware and software upgrades as requiredAbility to train others on new and company developed software","The ability to talk about technology in simple termsStrong ability to clearly communicate objectives and programs, both written and verbalStrong analytic skills and logical thinking skillsUp-to-date with the latest technologyThe ability to maintain a high level of confidentialityExcellent interpersonal and customer-facing skillsThe flexibility and willingness to learnTact and diplomacyThe ability to work as part of a teamThe ability to work accurately, with attention to detailPreferred Qualifications:2 years of relevant technical experience in a professional positionPost secondary education in the field of information systems, business, communications, or related field.Asset But Not Required:Experience with hosting/WHM/Cpanel administrationExperience with Zendesk Helpdesk SoftwareExperience managing VOIP based phone systemsStrong Google Apps for Business Administration skills or certificationGoogle Cloud administration","Competitive wagesGreat benefits packageA flexible work environmentThe ability to grow within a large companyLocation, location, location - weve got the best view in the city with amazing places to walk and take a breathFREE FOODFriendly team membersOut of office team building outings",0,1,1,Full-time,,,Information Technology and Services,Information Technology,0
15581,Electrical Maintenance Technician - Major States,"US, IL, Chicago",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Electrical Maintenance Technician - Versa - St. Clair Shores, MIJob Location: Job is in St. Clair Shores, MIAn Electrical Maintenance Technician is required having Seven years of experienceQualifications Required:To perform this job successfully, an individual must be able to perform each essential duty satisfactorily. The requirements listed below are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions. Education High School Diploma or equivalent, plus formal training leading to Electrician status in Machine Repair and/or equivalent on the job experienceJob Responsibilities:Wire machinery. Program machinery using various software programs to automate the machinesAssemble enclosures and panelsElectrical Maintenance Technician - Versa - St. Clair Shores, MIJob Location: Job is in St. Clair Shores, MI Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Facilities Services,,0
3005,Regional Sales Manager,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Our client is a leader in the field of digital throttle controls as well as AC, DC and Eddy Current testing components. Additional options for these products include: test control, automation, data acquisition and cell safety interfaces. Located in the local Milwaukee area this opportunity is with a growing, well established manufacturer that offers an excellent career opportunity to personally contribute in the growth of a small rapidly growing manufacturing company.Job Duties:Guide and assist customers in choosing the appropriate equipment for their applicationsPrepare quotes, proposals and bidsCoordinate communications between customer and our engineering and manufacturing departmentsMeet with customers on a regular basis; travel to territory one week per month, or as needed. Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Marketing and Advertising,,0
2956,MOBILE GAME DEVELOPER,"SE, , Stockholm",IT,,,"Our client is a Swedish game studio developing innovative video slots for the free to play social and the online gambling market. Their team has years of experience, a burning passion for gaming and a love for gambling, but most importantly, they care about and respect their players. About the roleAs a Mobile game developer you will a part of a newly started team with the ambition to set the standard for mobile video slots. You are social, positive and driven, always striving to get the most out of their games in terms of performance and gaming experience. They expect you to be a dedicated, structured and curious programmer with a passion for games","Your qualificationsExcellent skills in Flash and/or Flex development in ActionScript 3Experience from Stage3D using Starling, Flare3D or similar.Experience from game developmentExperience in developing client-server applicationsExcellent communication and teamwork skillsThey also valueExperience working with mobile gamesExperience working in agile, iterative environmentsFamiliarity with other game development platforms, especially Unity3DExperience from working with dependency injection frameworks like Robotlegs.Who are you and what do we offer?You are a team player with strong initiative and self-motivation. They are looking for someone who is highly motivated, energetic and multi-talented; who speak up and have plenty of ideas; who work hard, play hard, and do whatever it takes to get the job done. In return our client offers an excellent package, a challenging and rewarding job in one of the fastest growing and most exciting industries there is. You will work in a small team, in a casual and fun atmosphere where you will have the opportunity to make a real difference. ",,0,0,1,,,,,,0
13851,Sales Executive,"US, CA, San Francisco Bay Area",,,"Visual BI is one of the leading and fastest growing firms focusing exclusively on BI &amp; Analytics. Our offerings cover end-to-end BI services, industry and domain-specific solutions, custom visualization controls (SAP Dashboards and SAP Design Studio) and cloud-based analytics.Headquartered in Plano, Texas, Visual BI has won recognition from customers for driving high-touch engagements driven by our team of platinum-level experts, an agile delivery model and innovative solutions.Highlights:* Ranked by CIOReview as one of the Top 100 Big Data Companies in the US* Dedicated Visual BI Labs facility in San Antonio, TX, to support R&amp;D and innovations* Partnerships with established vendors such as SAP, TIBCO Spotfire, Tableau and MongoDB* A culture that encourages to look forward and think aheadMission: Our Goal is to become one of the leading firms worldwide in terms of brand recall &amp; excellence in the field of Business Intelligence &amp; Analytics by driving BI adoption with our innovative analytical solutions and applications.","City / Location : Any Hub City in the USA Join one of the fastest-growing and best Business Intelligence (BI) companies in North America.  We are BI experts  passionate about BI  and are looking for seasoned, professional, ethical, and dynamic sales people. We need sales professionals that are eager to join a rapidly growing team, bring their creativity and ideas, and be handsomely rewarded for their successes. Visual BI is the nations most innovative Business Intelligence and Analytics firm and a premier partner of SAP in the BI space.  Visual BI partners with clients to bridge the traditional information gap by delivering industry leading BI competency in a flexible and scalable solution model.  We invest in Global BI talent, which is supported by a team that is structured to focus on improving our client's BI adoption rate while delivering faster, deeply informative and more cost effective BI solutions.Ideally, this person would have over 3 years of verifiable professional sales experience that includes 1 year selling SAP or BI professional services and software.  Must have a successful track record in meeting and exceeding quotas or expectations. ","New Business Development• Prospect, qualify and close business opportunities for Visual BI.• Grow revenue by $2M in professional services or software sales.• Grow relationship with local area strategic partners such as SAP, TIBCO, Tableau, etc.• Attend and grow relationship with local ASUG community and members• Utilize existing relationships to drive new sales• Ability to sell in a consultative manner and addressing the needs of different levels of customers (Management, Directors/VP/C Level)• Build and maintain a pipeline of viable business opportunities for Visual BI.• Enter and maintain all contacts and opportunities in CRM • Managing all aspects of the sales lifecycle from hunting new accounts, identifying solutions (with Solution Engineers), assisting with SOW creation and negotiation as well as managing and growing new established accounts.  Travel Requirements:• Travel is required for sales engagement and conferences",Salary and bonus are commensurate with experience.Excellent Benefits ,1,1,1,Full-time,Mid-Senior level,High School or equivalent,Information Technology and Services,Consulting,0
6487,Bank Mortgage Team Lead & Two Originators,"US, IL, Chicago",,,"About The Symicor Group:Bankers Recruiting Bankers - The Symicor Group is a boutique bank-only talent acquisition firm based in Chicago. Our nationally unique value proposition centers around providing the very best available banking talent to our valued clients...from a banker's perspective. In fact, most of our recruiters are former bankers themselves! We know banking and how to evaluate the very best banking talent available in the market. Whether you are a bank candidate seeking a new opportunity or a bank president trying to fill an essential position, The Symicor Group stands ready to deliver premium results for you. View all jobs at this company |  View company website","Bank Mortgage Loan Team Leader &amp; Two Originators  Western Chicago SuburbsWho We AreBankers Recruiting Bankers  The Symicor Group is a boutique bank-only talent acquisition firm based in Chicago.  Our nationally unique value proposition centers around providing the very best available banking talent to our valued clients…from a bankers perspective.  In fact, most of our recruiters are former bankers themselves! We know banking and how to evaluate the very best banking talent available in the market.  Whether you are a bank candidate seeking a new opportunity or a bank president trying to fill an essential position, The Symicor Group stands ready to deliver premium results for you.The PositionOur bank client is seeking a mortgage lending team including ONE PRODUCING SALES MANAGER and TWO experienced Mortgage Loan Originators to fillimmediate openings in the western Chicago suburbs market.This position offers a base salary plus commissions and benefits package.Mortgage Loan Originator responsibilities include:Advise new and existing customers on various portfolio and non-portfolio loan programs based on the customers financial needs.Obtain and analyze pertinent financial and credit data.Analyze current rates and programs to provide accurate and timely information to customers.Negotiate terms and conditions of loans with applicants.Act as primary liaison with borrowers (customers), outside entities (realtors, title and escrow officers, etc.) and other company employees to facilitate a prompt and efficient loan closing.Keep informed on trends and developments in the local real estate market, as well as the changing rules and regulations, pertaining to both private and government-insured mortgages.Manage personal pipeline to ensure service standards and financial goals are met.Meet all established production targets.Maintain lenders Code of Conduct.Who Are You?Youre someone who wants to influence your own development. Youre looking for an opportunity where you can pursue your interests and your passion. Where a jobtitle is not considered the final definition of who you are, but merely the starting point for your future.You also bring the following skills and experience:Average production of $ 800K per month over the last 24 months.BA/BS degree or equivalent experience in residential mortgage sales preferred.Five to Seven Years of mortgage loan origination experience.Ability to develop new and existing business.",,,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Banking,Management,0
6829,Graduates: English Teacher Abroad (Conversational),"US, SD, Brookings",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport holders only,See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
1903,Customer Service Team Lead ,"US, FL, Jacksonville",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking a Customer Service Team Lead. The right candidate will be an integral part of our talented team, supporting our continued growth. This position will be located in our Jacksonville, FL location.Responsibilities include, but are not limited to:Perform any and all duties as assigned by management to include; mail services, courier, insertion, and meering.Deliver small packages and mail to various sites and locationsMaintain highest levels of customer care while demonstrating a friendly and cooperative attitude at all times.Demonstrate flexibility in satisfying customer demands in a high volume, production environment.This position requires regular work on various applications.Know, understand and adhere to business procedure guidelines at all times.Take direction from supervisor or site manager as required.Participate in cross training of responsibilities as appropriate.Maintain all logs and reporting documentation as required.Understand and adhere to all safety procedures.Ability to work overtimeAbility to work weekendsEffectively communicate both verbally and written, with clients and company personnel.Customer service oriented.Effectively work individually or in a team environment.Demonstrate competency in performing multiple functional tasks.","Required Qualification:Experience coordinating/deploying work to employees requiredMinimum of 1-year customer service related experience requiredAbility to resolve employee and customer concerns/issuesAbility to communicate both verbally and written with customers and company personnelHigh school diploma or equivalent (GED) requiredAbility to effectively work individually or in a team environmentAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills requiredAbility to meet employer's attendance policyComputer proficiency in email environments, MS Word/ExcelHeavy LiftingAbility to adhere and administer companies policies and proceduresStanding for long periods of timeSignificant walkingWillingness to work overtime if neededWillingness to submit to a pre-employment drug screen and criminal background checkPreferred Qualification:Post office, Mail or previous Shipping/Receiving experience",,0,1,0,Full-time,Associate,High School or equivalent,Government Administration,Customer Service,0
1046,Fashion Analyst Intern,"GB, LND, Farringdon",Fashion:Internships,,"We build software for fashion retailers, to help them make the right commercial decisions about what to sell, and how to price it. Fashion is the 4th biggest industry in the world, so this is actually a huge problem economically, as well as environmentally for everyone in the world. The Guardian called us one of the 20 hottest London startups, and we're a quickly growing team, with a product used by some of the best retailers in the world, and we're backed by the smartest investors in Europe, the same ones who backed Etsy, Dropbox, ASOS and Net-a-Porter.","We're looking for people who are studying or recently graduated in one of our key disciplines, fashion buying or merchandising, statistics, economics or software engineering.You'll need to be top class, with a good head for numbers, great commercial and trend awareness, excellent research skills and attention to detail. We believe that what goes around comes around, so we'll give you as much help getting practical experience in the trend industry as we can, in exchange for your contribution of time and effort.Specifics of this role can be altered to suit the applicant, so please send your CV, a covering letter and samples of your work.Please don't contact us by phone to discuss internships.",,,0,1,0,,,,,,0
8565,Installers,"US, FL, Orlando",,,"Growing event production company providing staging, scenic, and drapery primarily in the state of Florida. We have a secondary location in Las Vegas and will soon be adding a third location in Southeast Florida. We are a small team passionate about creating high quality events and providing excellent customer service, both on show and in the office. ","Event Industry Installers Needed!! (Orlando, FL - near Florida Mall)Do you want to work? Are you available on short notice? Are you willing to work days, weekends, or nights, even overtime without complaining? Are you a fast learner, team player, and possess strong organizational skills? We have installer positions available for qualified individuals.If you are willing to work hard, contribute to the team, a fast learner, organized, and able to communicate well, we are looking for you. This is physical work and can be very demanding. It involves heavy lifting, moving heavy objects, getting your hands dirty, sometimes long days, or nights.Job description: Loading/ unloading trucks, installing/ dismantling equipment, warehouse duties, job locations varies, however transportation is provided to job-site from central location.","Clean driving recordDependable transportation to and from workAvailable to work long hours on short notice - overtime as needed (must have a flexible schedule)Able to lift 65+ lbs.Ability to work well with others with a professional demeanorWill be required to pass a mandatory DOT physical &amp; drug test if sent out of town (interstate)If you do not meet ALL of the above qualifications, you will not be hired.Serious inquires only. Starting pay is $10.00 per hour",Some benefits available to employees after probationary period. Periodic performance reviews with opportunity for raises and promotion to lead installer position. ,0,1,1,Full-time,Not Applicable,Unspecified,Events Services,Other,0
15859,Graphic Designer / Illustrator,"SG, 01, Singapore",,40000-120000,"If working in a cubical seems like your idea of hell then joining our awesome startup team might be the opportunity youve been waiting for.Come join the TradeGecko team, were a Singapore head-quartered company, were ventured backed and were growing fast.Our team comes from all corners of the globe, the founders are Kiwis, we've got Singaporeans, Dutch, Filipino, Australians, Argentinians, the list goes on.If you think you have what it takes and can handle our group of talented, hardworking, sarcastic miscreants, then apply now … right now!","Want to work with an exciting startup in Singapore? Want to work with smart, talented people on a technically challenging product?About UsTradeGecko is a VC-backed fast-growing startup in Singapore. We are an international team of 45 working in a global market. We're building the platform on which the world's merchants transact.About YouWe're looking for a talented Graphic Designers and Illustrators based in Singapore for both full-time and part-time roles.You should be someone who is a good communicator, and excited about working with our growing team in helping to create an amazing product used by thousands of people every day.As a designer, you will be tasked with creating exciting marketing materials for both digital and print.You'll thrive in a work environment that requires strong problem solving skills and creative thinking and obsess about the details of design and implementation.Get In TouchIf this sounds like you, apply below - please include a link to an online portfolio of work. ","Based in SingaporeExcellent Photoshop and Illustrator skillsThe ability to distill a wall of text into an engaging image - whether a photo, diagram, or infographic.Good understanding of social media design best practicesGood understanding of both digital and print best practicesA portfolio of previous works is essential.","Join us andWork with an awesome team, growing super fastMake decisions every day that affect 10s of 1000s of customersHealth insuranceAn awesome office &amp; work enviroment in central SingaporeAvoid ever working in cubicle hell ",0,1,1,Other,Not Applicable,,Design,Art/Creative,0
2406,Billing Clerk,"US, TX, Irving",Billing,,"The best word to describe PCPs culture is PRIDE. Pride in our company. Pride in our job. And pride in our relationships.That pride contributes to the fact that employees at PCP are happy and like working for PCP. Furthermore, PCPs employees are our number-one asset.If you are an exceptional, motivated individual with a desire for an opportunity to grow, be challenged, and make a difference, we invite you to take a close look at what PCP has to offer. We also invite you to share with PCP what you have to offer.Interested in any of our current job openings? Please be sure to fill out an application by clicking the job title.","Professional Civil Process (PCP) is looking for a Billing Clerk  a person who wants to go above and beyond for the legal support industry. Were looking for someone with exceptional energy and enthusiasm to join our Dallas Team. We will conduct interviews the week of June 30, 2014 and fill the postion by July 9, 2014.About PCP:PCP is the leader in the legal support industry providing filing, serving, and skip tracing services.Weve been serving the legal community for over thirty-five years.PCP currently handles over 140,000 services of process documents annually.Our corporate headquarters is in Spicewood, Texas with 19 offices throughout the state of Texas.PCP is a founding member of the National Association of Professional Process Servers (NAPPS) and the Texas Process Servers Association (TPSA).About the position:Responds to email and voicemail in a timely mannerReviews affidavits to ensure its accuracy and completenessLearn and become familiar with our billing codesKey in billing codes for services renderedGenerate, review, and mail out billing statementsAnswer incoming calls or emails from clients concerning an invoice or statementReviews skip trace reportsWorks daily exception reports",About you:High school diploma or equivalent2-4 years of billing experienceAble to understand and follow instructionsDetailed oriented and organizedMulti-tasking skillsPunctuality and reliabilityCommitment to team results; a team playerExcellent oral and written communication skillsTyping skills: 35 words per minute,"Compensation, Hours, and Benefits:90-day trial periodFull Time: Monday  Friday,  8:00a - 5:00pPay: $11.00 per hourDental/Vision planNine (9) paid holidays per calendar yearPaid Time Off (PTO)401K savings plan with company matchingCasual work environment",0,1,1,Full-time,Entry level,High School or equivalent,Legal Services,,0
6105,SharePoint Developer / System Administrator,"GR, I, Athens",,,"ALBA Graduate Business School at The American College of Greece (founded in 1992) is a non-governmental, non-for-profit educational organization based in Athens, Greece, providing post-graduate level education in the fields of management and business administration.ALBA currently offers 13 taught academic programs (4 MBAs and 9 MScs) and one PhD program. More than 250 students participate annually in ALBA academic programs, while the school has an established network of more than 3.000 alumni members. Two of the MScs and the PhD program are offered in collaboration with Henley Business School, University of Reading, UK. All the MBA programs are accredited from the Association of MBAs (AMBA), while two of the MScs (in Finance and Strategic HRM) are EPAS (EFMD Programme Accreditation System) accredited. ALBA Graduate Business School at The American College of Greece is listed 56th in the Europe-TopMBA Global 200 Business Schools Report for 2013/2014.Research is in the core of the founding vision, the mission and the operations of ALBA Graduate Business School. Schools guiding principle is that excellence in teaching derives directly from excellence in research. ALBA Faculty consists of a core team of resident scholars (currently 23 individual, all PhD holders) with extensive teaching, research and consulting experience at an international level. It is ALBA strategic choice to engage leading scholars from top business schools worldwide as visiting professors in all of its programs.","Candidates for this position are expected to Implement, test and maintain solutions for new or existing web applications.","General Qualifications Excellent command in Greek and English (both oral and written)Team SpiritPrevious experience in a similar position will be considered as asset Technical Qualifications  SharePoint Developer.NET Framework, and #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# (c#)LINQSQL/T-SQLXML, XSLTJavascript/JQuerySharepoint site branding (CSS, Master Pages)Experience in development of SharePoint workflows, Windows Workflow Foundation and InfoPath forms.Experience creating applications that involve using Excel Services, MS Word, PDF Generation, etc. is a plus. Technical Qualifications  System AdministratorKnowledge of Microsoft NetworksMicrosoft Windows 2012 Hyper V  Microsoft Cluster EnvironmentExchange 2010/2013SQLNetwork printersFirewalls and DMZ TechnologiesMicrosoft Office",,0,1,1,Full-time,,,Education Management,Information Technology,0
14635,Onboarding Technician,,,,"Virteva delivers managed IT services and project-based consulting services that optimize our customers IT infrastructure and operations.  Headquartered in the Twin Cities and serving customers worldwide, Virteva services help our customers say “Yes” to the wide spectrum of information technology demanded by their business, employees and customers, securely and reliably, anyplace and anytime.  ","JOB SUMMARY:The Onboarding Technician will be responsible for providing onboarding services to Virtevas managed services clients.  Virteva is looking for an Onboarding Technician who is extremely customer focused with 1-3 years of experience with a broad range of infrastructure technologies, strong documentation skills and an understanding of service desk operations. We are looking for an energetic self-motivated technologist who wants to be part of a growing team. ESSENTIAL DUTIES AND RESPONSIBILITIES:Assess, document, provide recommendations and implement the following technologies:Microsoft Server administrationServer hardwareMicrosoft Exchange/ Office 365Monitoring tools (Kaseya, SCOM, Zenoss)Virtualization (VMware/Hyper-V)Network infrastructureStorage (SAN. RAID, NAS, Direct Attached)Workstation Management (Intune)BackupServiceNow Maintain a high level of client satisfaction at all times by completing jobs on schedule and by displaying a positive and helpful attitude.A strong emphasis on writing Knowledge- Based Articles to document efficient processes for each system accessed.Create and publish clear and effective documents.Ensure complete and timely follow-through with all client contacts.Resolve any tickets escalated from Service Desk or client.Other duties as assigned. ","DESIRED EDUCATION, EXPERIENCE &amp; OTHER SKILLS:BA or BS Degree in one of the following subject areas: Computer Science, Business Administration, Information Technology or related field preferredExperience in the IT managed service industry requiredExperience writing technical information for a wide range of audiences requiredPreference given to candidates with service desk experience who possess a strong understanding of the day-to-day operations of a 24/7 service deskPreference given to candidates with experience in tools such as Active Directory, Group Policy, Server patching, DFS, Windows File Shares, and Power ShellUnderstanding of IT industry best practices and ITIL preferredExcellent multitasking skills and thrives when involved in a broad assortment of projects  Virteva is an equal opportunity employer and a drug-free workplace.",,0,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
17808,Remote Data Entry Rep Needed,"US, AL, Birmingham ",,30000-35000,,"We're looking for self-motivated individuals who are interested in working from home by performing online data entry work, and other types of work from home duties. . You can choose to work: Full-time, Part-time, Flexible, Temporary, or in a Permanent position. You will be able to select your position in: customer support, typing, data entry, email support and more.We have positions ranging from entry level with a starting pay at $12 per hour and up to six figure salary positions.These positions not only pay competitive wages, but also offer flexibility that will give you full control over your work schedule.Home Office SuppliesComputer with internet accessQuiet work area away from distractionsMust be able to work independently and get the job done with little guidance.Must Apply Online At: #URL_417276ac5438650aa78c2c58617f773cdb9494ed6a0d63447de07c782829a228#-#URL_6c4ffad8fe9cb38c2ae5c761c0028893f9ecfb299e88db7d5dd85e0b7ba65666#",Must be able to work from home,,0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Customer Service,1
14611,"Home Health Aid/HCA--Austin, NV","US, NV, Austin",,,"Critical Nurse Staffing, Inc. is enrolled with the U.S Department of Labor to provide nursing care to the former defense workers now receiving benefits through the RECA and EEOICP programs.We are proud to provide services to Defense Industry Workers covered under the Federal EEOICP and RECA programs, as well as recipients of the Federal Black Lung Benefits Program.We proudly provide care for the following patient groups:Uranium Miners, Millers and TransportersNuclear Weapons Plant Employees or ContractorsFormer Coal MinersOur service offers an integrated team of personal case managers, nurses, respiratory therapists and home aides. Our services focus on addressing the full range of a clients needs, including a clients medical condition, the clients comfort, emotional well-being, independence, functionality as well as nutritional and psychosocial needs.","Critical Nurse Staffing, Inc. is seeking an HHA to join our team and provide comprehensive care to our patients in Austin, NV. The role of the HHA in this position will be to work closely with patients and to provide basic care services.The applicant should have an outgoing personality, the ability to communicate effectively, multi-task, remain calm in stressful situations, and be able to give patients the essential social and emotional support, along with providing vital information on patient conditions to nurses.A resume must be attached in order to be considered for this position. Critical Nurse Staffing, Inc. is an equal opportunity employer, m/f/v/d and a drug free work place.",,,0,1,0,,,,,,0
14037,Construction Manager,"US, CT, South Windsor",,,,"Job OverviewApex is an environmental consulting firm that offers stable leadership and growth, views employees as valuable resources, and rewards success with competitive pay and bonuses. We are seeking a self-motivated Construction Manager to join our team in South Windsor, CT and become an integral part of our continued success story.This position entails field work, technical writing, business development/sales, project management, staff management, and drafting. Responsibilities include developing and submitting proposals for stormwater and maintenance construction repairs and taking a job from planning through supervision and execution in the field. The position is based in Connecticut with 25-50% regional travel in New England, New York, New Jersey and Delaware. .QualificationsThe position requires minimum of Associates degree in Construction Management or related field; 2-5 years of construction experience, including cost estimating, procurement, proposal writing, and subcontracts; and Contractor or Plumbing License.  Excellent written and verbal communication skills are essential. A clean driving and criminal record; the ability to travel extensively, lift and carry 60 pounds, work in confined spaces, and work under inclement weather conditions; stable employment history; favorable employment references; and a track record of excellent attendance and punctuality are also required.Want to join a team of talented scientists, engineers, and managers? Submit your resume for consideration today!#URL_f030e16ff4531e87a62857357985e3e8f1fdedb40dbfebfeb0e7e3a5ead65097#About ApexApex is a customer-focused company that delivers environmental, health, safety and engineering services to over 700 clients across the United States and abroad. Driven by an entrepreneurial spirit and a dedication to providing responsive, cost-effective solutions, Apex has grown rapidly since our founding in 1988.Working in partnership with our public and private sector clients, our team of experts provides services tailored to support each customers unique goals and objectives. By blending strong technical skills, business acumen, and superior customer service, we are able to deliver creative solutions that deliver high quality results at low cost.From commercial and industrial firms to construction, petroleum, and utility companies to financial institutions and government clients, Apex has extensive experience in a wide variety of industries. Our corporate professional resume includes proven capabilities in the areas of water resources, remediation and restoration, assessment and compliance, and industrial hygiene, among others.Ranked in the Top 200 Environmental Firms by ENR Magazine, ranked among the Top 500 Design Firms by ENR Magazine, awarded the 2011 National Environmental Excellence Award for Environmental Stewardship by the National Association of Environmental Professionals, and selected as a 2010 Hot Firm by the Zweig Letter, come join our award winning team.Apex is an entrepreneurial firm, and ensuring that our senior managers are able to move unencumbered is our priority. We are a successful and growing mid-sized firm. Were small enough that our employees still have access to our leadership, and its easy for high-performers to be recognized for their contributions and advance without bureaucracy. With over 30 office locations, were big enough to provide comprehensive environmental consulting and engineering services to our diverse client base and to provide resources to our employees to help in their professional development. We offer incentive bonus plans and ownership opportunities for our successful managers.Apex Companies, LLC is an Affirmative Action/Equal Opportunity Employer",,,0,0,0,,,,,,0
12549,Human Resources Manager,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)General knowledge of the principles and practices of human resources management.Familiarity with HR support for multiple locations in different states desired.Solid understanding of federal, state and local laws and a demonstrated ability to provide proper investigative follow-up to ensure compliance in all areas of employee relations.We have many more Global Healthcare Professionals jobs are available in our website. Please go through our website and search the relevant job and apply directly. Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,Human Resources,,0
10232,"SAP SD Analyst - SAP CRM BW Vendavo - Charlotte, NC","US, NC, Charlotte",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced SAP SD Analyst is required having responsibility to coordinate extensively with business users, functional and cross-functional team members, developers, integration resources, third party vendors, and governance team members. Job Responsibilities: Support live systems by troubleshooting and resolving issues.Coordinate extensively with business users, functional and cross-functional team members, developers, integration resources, third party vendors, and governance team members.Deliver business solutions by executing projects from end-to-end, by participating inrequirements gathering,gap analysis,solution design,process documentation and functional specifications,system configuration,test planning and execution,training documentation and delivery,deployment, andsupport ","Minimum of 5 years of SAP configuration experienceExtensive configuration experience in the SAP SD Module, with fluency in the order to cash process, including sales order processing, pricing, delivery processing, invoicing, and cross-company sales, and its integration points with other SAP modules.Strong analytical acumen and problem solving skills, with the ability to translate business processes into system requirementsEnd-to-end project implementation experience.Excellent English oral and written communication skills, including presentation and meeting facilitation skills.Ability to work in a collaborative team environment and to share ideas and knowledge openly, along with the ability to work independently on multiple concurrent projects.Additional experience with the following areas, would be a plus:Pricing configurationBilling configurationVendavo suitePaymetrics credit card processing systemSAP CRMBWSAP Solution Manager Self-motivation, with the ability to prioritize, meet deadlines, and manage changing priorities.Willingness to occasionally work outside of normal business hours and travel globally.Ability to build strong peer relationships with colleagues throughout the companyAbility to travel approximately to 20-30%of the time Educational Qualifications:Undergraduate degree in Sales, Service, or Logistics and/or computer science  or a high school diploma or equivalent We Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,Information Technology and Services,,0
6230,Senior Executive Assistant,"US, TX, Austin",Executive,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","The Senior Executive Assistant (SEA) is an integral member of the Office of the President.  This position supports many functions within the organization as well as acting as a liaison between the leadership team and the President.As part of the job responsibilities, the SEA supports the President in the management of more than 1,500 employee relationships. Specifically, the SEA will aid the President in day to day support of the executive leadership team of CSD. This position will steer employee recognition and appreciation events on behalf of the President and leads the hospitality function for onsite guests. This position serves as an administrative arm for the President, facilitating the collection and compilation of business reports, business PowerPoint presentations, paperwork routing between the President and the leadership team as well as assisting the President with development of innovative approaches for communicating with employees.  Ideas include, but are no means limited to, social media/vlogs, e-newsletters, interactive websites and other virtual resources.  Special projects and business initiatives may be assigned by the President as well.","A Bachelors Degree from an accredited institute of higher learning is required.Minimum of 5 years of related experience with progressive levels of responsibility in administrative roles, or an equivalent combination of education and experience.A high level of computer literacy and mastery of MS Office applications is required. Fluency in American Sign Language (ASL) is required.","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career's page.Communication Service for the Deaf, Inc. is and Equal Opportunity Affirmative Action Employer and drug fee and tobacco free workplace.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Executive Office,Administrative,0
6935,Junior Account Executive - Colorado,"US, CO, Broomfield",Sales,,"Our passion for improving quality of life through geography is at the heart of everything we do.  Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them.Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more.","THE COMPANY: ESRI  Environmental Systems Research Institute (#URL_6b9c7dbc8de6a3e168e9c45ac1289630f08cb59eabb68aeaa926cdb16af18c48#)Our passion for improving quality of life through geography is at the heart of everything we do. Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them. Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more.THE OPPORTUNITY: Junior Account ExecutiveEsri is looking for self-motivated, driven, results-oriented, top performing Junior Account Executive with a track record of success to join our world-class team. The position will be driving sales, revenue and aggressively expanding Esris customer base. This is a hybrid inside/outside sales role with a large regional territory and a very competitive compensation package.Specifically…Aggressively prospect, qualify, present, pitch and close potential clients generated through outbound calling, email initiatives, networking and attending appropriate industry events.Promptly and proactively address incoming inquiries/leads generated through online advertising and marketing campaigns.Generate your own sales targets via research, industry articles and specific targeted verticals defined by the sales and marketing strategies.Keep current with industry trends that are relevant to targeted vertical markets, stay up-to-date with relevant technologies and news.Have a complete technical understanding of and ability to articulate and demonstrate Esris products and value propositions.Maintain an aggressive sales pipeline with weekly reports to sales management.Provide high touch account management to select current client base.Strategize and work closely with Account Managers to determine potential revenue opportunities within an account.","EDUCATION: BA/BS required, advanced degree a plus!EXPERIENCE: Minimum 2-3 years of sales experience; B2B technology sales experience highly preferred.Background in technology (Software, SaaS) a plus but not necessary.Proven experience in a quota carrying sales role with a track record of meeting and exceeding goals.Able to effectively prospect, qualify and solution sell to the right decision makers.Excellent presentation skills with the ability to succinctly present Esris value and services via phone/web conference, trade shows, etc.Strong computer skills, proficient with standard software, Internet and web applications.CRM experience is a plus!Highly motivated, competitive and ambitious to drive sales and revenue for the company.An unrelenting desire to be the #1 performer in anything you do, yet collaborative and a strong team player.Responsible, self-directed individual who knows what needs to be done and operates with urgency, focus and discipline.Enjoys the art of sales and cold-calling coupled with a relentless hunter mentality.Excellent communication skills  written, verbal, presentation and interpersonal.A natural competitor with the passion and dedication to succeed and continuously exceed expectations.Have the ability to thrive in an energetic, fast pace, high growth, entrepreneurial environment with minimal supervision.Above all, ethical, fair and of high integrity.","Our culture is anything but corporate—we have a collaborative, creative environment; phone directories organized by first name; a relaxed dress code; and open-door policies.A Place to ThrivePassionate people who strive to make a differenceCasual dress codeFlexible work schedulesSupport for continuing education College-Like CampusA network of buildings amid lush landscaping and numerous outdoor patio areasOn-site café including a Starbucks coffee bar and lounge areaFitness center available 24/7Comprehensive reference library and GIS bibliographyState-of-the-art conference center to host staff and guest speakersGreen InitiativesSolar rooftop panels reduce carbon emissionsElectric vehicles provide on-campus transportationHundreds of trees reduce the cost of cooling buildingsIncentives for employees who walk, bike, or carpool to workCalifornia-grown produce available for purchase in Esri Café",0,1,0,Full-time,Entry level,Bachelor's Degree,Computer Software,Sales,0
9792,Production Supervisor,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Job Requirements:Bilingual;Deductive reasoning skills and creative thinking;Food/CPG manufacturing preferred;Knowledge of processes and mechanics of production line equipment;Ability to manage, train and develop individuals;Qualifications Required:4-year degree required.Job Responsibilities:Coordinating production activities with procurement, maintenance and quality control departments to achieve sustained and improved efficiencies;Personnel scheduling;Reviewing production paperwork;Visit: #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f#",,,0,0,0,Full-time,,,,,0
9228,Senior Software Engineer,"US, TX, Austin",Software Development,,"Why CSD?CSD is not only a great place to work, but also to learn, grow and give back to the community. Our organization is made up of talented, motivated people from diverse cultural, educational and professional backgrounds. We believe in teamwork, shared ideas, and creating solutions together while respecting individuality and innovation. We seek out people who want to see their ideas put into action, are committed to making a difference and who believe that more is possible! Who We AreHere, you will discover colleagues who have passion for our company, our community, customers and each other, and that are led by a team of outstanding people who believe that more is possible from each and every one of us. ","CSD is now accepting resumes for our next generation of Software Developers! CSD is looking for experienced Ruby on Rails Developers interested in leading the design and development of our web and mobile products. The Senior Software Engineer is a hands-on lead programmer, mentor and project overseer who will create, innovate and lead on selected software development projects and product initiatives with a small dedicated team of developers and QA engineers. Note: We are currently recruiting for future opportunities that may come available and do not have a current vacancy at this time. By submitting your information, you'll be the first to know when an opportunity comes up! We are not just any employer, but an innovator with a history and dedication to creating market-leading product innovations. We're determined to change the world - again - and need great people to help us do it. This Opportunity Features... Flexible work schedules, including Friday work-from-home each week 20% ""Innovation Time"" can be approved for research and development innovations (your ideas!) that benefit our business and your personal development Top of the line technology equipment in our downtown Austin locationFree downtown parking, on-site snacks, and coffee bar Professional development opportunities including the opportunity to learn or enhance American Sign Language skills via free on-site classesPaid time off and group benefits offerings, including medical, dental, life, disability and retirement plans. ","Bachelor's degree in computer science or a related field, or equivalent professional experience8+ years of professional experience in software development 5+ years of professional experience in Ruby on Rails developmentAdvanced knowledge and experience in software technologies, programming languages and methodologiesFamiliarity working with the Linux platform, Scrum/Agile, Amazon Web Services or Engine YardAbility to effectively communicate in American Sign Language (preferred)","CSD offers a competitive benefits package for full-time employees. For a full list of benefits and perks, please visit the career page.Communication Service for the Deaf, Inc. is an Equal Opportunity Affirmative Action Employer and drug free and tobacco free workplace. All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, or national origin, including individuals with a disability and protected veterans.",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Information Technology,0
15958,Mobile App Developer iOS (m/f),"DE, BE, Berlin",Engineering,,"airfy prägt sicheres und einfach zu bedienendes Kunden WLAN für Unternehmen. Werde Teil eines stetig wachsenden Unternehmens und genieße eine produktive Arbeitsumgebung mit hochtalentierten, offenen und dynamischen Kollegen.Du hast bei uns die Möglichkeit von Anfang an selbstständig zu arbeiten, Verantwortung zu übernehmen und uns tatkräftig bei der Erreichung unserer Ziele zu unterstützen: WLAN everywhere for everyone.","Iteratively build iOS Applications for managing the Internet of ThingsProvide estimates on development cycles, works iteratively on weekly scrum sprintsWork very closely with designers to help define and implement UI features from the ground upWork with team members on server-side integrationUnderstands and implements experiments (A/B tests)","You are a expert iOS developer and are up to speed on the latest frameworks and design trendsWorking knowledge of HTML5, CSS, Angular JS or related frameworkExperienced in building hybrid mobile apps and a familiarity with PhoneGap or related framework is a plusExperience with iOS provisioning portals and app store submission processPlease have a portfolio of work, showcasing a live application from the App Store and a preview of sample code",Flat hierarchies and a productive work environmentThe opportunity to take over responsibility and work with an international and dynamic team A modern office in the heart of BerlinThe unique experience to shape a developing company ,0,1,0,Full-time,Associate,Associate Degree,Internet,Engineering,0
7246,Digital Marketing Manager,"US, SC, Beaufort",Marketing,,"Stokes Automotive Group-Beaufort is a family owned and operated dealer group in Beaufort, SC.  Stokes is the leader in the automotive market of the Low Counrty in South Carolina offering new Toyota and Honda vehicles as well as over 1000 used vehicles while delivering a Truly Exceptional Experience to our customers.  We strive to put our customers first and make purchasing and servicing a vehicle a fast, fun, and easy experience.Our team is equipped with State of the Art facilites and the highest tech equipment on the market that makes Stokes stand out above the rest.  Come join our winning team!","Stokes Automotive Group in Beaufort, SC is looking for a Digital Marketing Manager. The candidate will be responsible for developing, implementing and managing the digital marketing efforts for the company. This position must demonstrate leadership skills, creative thinking and strong understanding of existing and emerging digital trends, tools and platforms, and have the ability to manage and support a variety of digital platforms, including social media, blogs, video sites, mobile, micro-sites and the main website.Other responsibilities include the following: • Monitor and manage brand reputation through a variety of listening tools• Integrate digital media approaches with traditional marketing and brand campaigns• Understand and implement SEO/SEM tactics to increase SERPS• Use analytic tools to monitor traffic &amp; trends to increase organic and paid search• E-mail marketing layout, design &amp; promotion (newsletters)• Social and Viral Marketing (videos, animations &amp; content, blogs, podcasting)• Strong writing skills for multiple blog post each week.• Stays current with evolving trends and capabilities• Enhance online user experience to drive traffic, generate leads and sales• Develop monthly fundraising campaigns to increase brand-awareness and community involvement.","Position requirements:• Expert knowledge in current and future trends in digital marketing, such as Social Media marketing, Mobile application marketing, Digital Advertising and location based marketing (Facebook/Google).• Candidate must demonstrate a passion for using social media as a means to interact while understanding what motivates people to engage with brands via social media. • Must be self-motivated, a great communicator, comfortable with independent decision-making, a team-player, and able to meet short deadlines when needed.• Video production and graphic design experience a plus• Good verbal and written communication• Knowledge of HTML, PHP, and FBML• Blogging Platform (Wordpress, Blogger) and CMS management systems a plus.• Proficient usage of MS Office suite• Experience working in Adobe Premiere, Adobe Photoshop, Microsoft Frontpage and Microsoft SharePoint are a plus","Benefits IncludeOur team members enjoy a positive working environment with opportunities for professional growth through training and advancement from within the organization. Our team members also enjoy a comprehensive benefits program including:Medical and prescription coverageBasic life insurance, 401(k) with company matchEmployee Assistance ProgramEmployee discounts on vehicle purchases, parts and servicePaid-time-offWe also offer a group of supplemental benefit plans including dental coverage, short-term disability, long-term disability, and supplemental life insurance.Opportunities for career advancement.**Please no phone calls or in person submittals - All applications must be completed and submitted online - We will review your resume and be in contact with you should it fit our current needs and requirements - Thank you for your interest in Stokes Automotive Group.",0,1,1,Full-time,Entry level,Associate Degree,Automotive,Marketing,0
12326,Internship Programme 2015,"GB, , Shoreditch",,,"ustwo offers you the opportunity to be yourself, whilst delivering the best work on the planet for some of the biggest and most innovative brands. A culture thriving on collaboration underpins what is an amazing work smart/ live well environment.We genuinely care about the work that we deliver and the people who help make it all possible. We only invest in projects, people and practices that we believe in, to ensure we remain excited about every opportunity. ","Ustwo London will shortly be announcing details of it's internship programme, which due to start Q1 2015 for a duration of 3 months.We'll be looking for inspiring designers and developers who want to sharpen their talents by working with some of the industries best product teams.If you would like to know more information or wish to be considered, ahead of the formal announcement, please reach out via the form attached.",,"We're proud of our internship programme that includes inspirational mentorship, real work life experiences and a very respectful salary.",0,1,1,,,,,,0
7140,Professional Services Supervisor ,"US, GA, Duluth ",,,"Horizon Software International, LLC is an industry-leading food service management technology company. The companys state-of-the-art office is located in Duluth, Georgia, approximately 30 miles northeast of downtown Atlanta.At Horizon, you will jumpstart your career with a growing, profitable software development company, offering more than just software solutions to its many clients around the world. Horizon firmly believes in Great People, Great Service, Great Products and Great Results. As a team member at Horizon, you are relied on to continually improve your operational areas and carry out the organizations strategic initiatives.The executive management team realizes its employees create the difference that makes Horizon a great company and a great place to work. As Horizon continues to grow, it will attract and retain the very best people possible. Come in and explore the opportunities that abound at Horizon. See what the company has to offer and how you, too, can flourish in the exciting atmosphere of a successful, growing business.Horizon offers comprehensive food service solutions ranging from Point of Service to Back of the House management including inventory, procurement, menu planning, nutrition analysis, warehouse distribution and much more. Horizons product offerings provide clients with fully-customizable and scalable software solutions able to meet the needs and budget of any food service operation.Horizon Software is a Non-Smoking/Drug-Free Workplace. EOE/MFVD","Supervisor, Implementation Solutions The Implementation Solutions Supervisor is responsible for leading and managing a dynamic team Implementation Specialist and Product Engineers. The Implementation Solution Supervisor is ultimately responsible for the implementation and training with specific accountability for the departments overall customer and employee satisfaction. Implementation Solution Supervisors will also be accountable for providing support for escalated implementation issues; ensuring Implementation Specialists are meeting quality standards, following methodology, monitoring metrics, and analyzing reports to ensure service quality and efficiency. Implementation Solution Supervisors will contribute to the development of new systems, take part in recruiting and interviewing Implementation Specialists, and contribute to the software development process.Duties and SpecificationsDemonstrates strategic leadership by creating a clear vision of quality-based values, by being a visible role model as a consultant, and by skillful decision-making based on the Implementations and Trainings mission.Creates and inspires a supportive climate by keeping employees motivated and focused on the business priorities; engages in team-building activities and supports innovation in meeting customer needs.Empowered to manage the Implementations with a focus on company financial goals as stated in revenue and margin while achieving client metrics.Creates a disciplined culture of achievement by evaluating the results of implementations and training regularly and reporting departments performance.Holds daily, weekly, and monthly communications meetings with management to reinforce financial and employee engagement goals and objectives.Serves as a player/coach and is actively engaged in projects to understand the process to provide insight to improvements.Contribute to the ongoing development and enhancement of the implementation Methodology “The Horizon Way” to ensure best in class professional services.Partners with the Implementation Team Lead to standardize Implementation Methodology across markets. Coordinates training and managing cross application knowledge.Acting as an information source and answering implementation specialists or engineer questions, assigning tasks, following up and giving instructions as needed.Acting as the gatekeeper to R&amp;D when implementation specialists report potential bugs or enhancements to ensure all issues are thoroughly vetted before being submitted to R&amp;D. Sets and manages expectations and effectively responds to escalations.Ensure Quality of implementation by auditing Methodology complianceEnsuring that the team members acquire and maintain the appropriate level of knowledge, tools and training to provide outstanding customer support for all of Horizons productsCarrying out quality and performance measurement and evaluation of all implementation specialists and engineers to improve individual and team quality and efficiencyEnsure consistent professional development of implementation specialists and engineersWorks collaboratively with Project Coordination to ensure resources are fully utilized on both customer billable projects and productive projects.Develop, maintains and executes a solid plan that considers clients, resources, and risks with success in mind without any room for delays or changes.Practice and ensure team is consistently demonstrating Horizons Core Values","Skills and SpecificationsExcellent team building skillsAbility to plan well and prioritize multiple projects simultaneouslyStrong written and verbal communication skillsStrong leadership and interpersonal skillsAbility to work in a fast-paced environment, yet maintain excellent customer relations skillExperience working successfully with sales and technical teamsMindset of process improvement and best practicesA History of excellent interpersonal and customer interaction skills to include communications, presentation, and conflict resolution skills.Experience in current core procedures and processes within an implementation and training structure.Proven organizational skills and ability to manage multiple and changing priorities.Excellent communication, interpersonal, problem-solving, written, and verbal skills, and the ability to work collegially under pressure.QualificationsBachelor's degree or associate's degree from an accredited institution or equivalent work experienceMinimum of 2 years progressive responsibility in a customer support environmentMinimum of 2 years progressive responsibility in providing professional services in a Project Management or consultative role.Passion for learning, technology, and working with othersTechnical certifications preferred, knowledge of SQL preferredExperience with support center technologies (phones, ticket management, knowledge base, etc.)Proven team building experience, must have a track record of building teams that consistently exceed client expectations",,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Management,0
2673,Environmental Scientist,"US, CA, San Diego",,,,"Job OverviewApex is an environmental consulting firm that offers stable leadership and growth, views employees as valuable resources, and rewards success with competitive pay, bonuses, and professional development.  We are seeking a motivated Environmental Scientist to join our team in San Diego or Pleasanton, CA and become an integral part of our continued growth and success story.ResponsibilitiesThis successful candidate will perform Phase I ESAs, Hazardous Material (Asbestos, Lead Paint and Mold) surveys. The work will include a variety of field sampling, data collection, reporting writing, and related activities. The successful candidate will complete field work and report writing and frequent short periods of travel will be required.QualificationsThis role is ideal for someone with a BA/BS in science or a related discipline and at least 2 years of experience conducting Phase I ESAs and ACM Surveys.  Strong research, organization and writing skills as well as a California-asbestos license (CSST or CAC) required. Strong organization skills, the ability to successfully multi-task, safety awareness, and a good record and understanding of safe work practices are essential. Knowledge of Microsoft Office and Adobe programs in needed. #URL_f030e16ff4531e87a62857357985e3e8f1fdedb40dbfebfeb0e7e3a5ead65097#About ApexApex is a customer-focused company that delivers environmental, health, safety and engineering services to over 700 clients across the United States and abroad. Driven by an entrepreneurial spirit and a dedication to providing responsive, cost-effective solutions, Apex has grown rapidly since our founding in 1988.Working in partnership with our public and private sector clients, our team of experts provides services tailored to support each customers unique goals and objectives. By blending strong technical skills, business acumen, and superior customer service, we are able to deliver creative solutions that deliver high quality results at low cost.From commercial and industrial firms to construction, petroleum, and utility companies to financial institutions and government clients, Apex has extensive experience in a wide variety of industries. Our corporate professional resume includes proven capabilities in the areas of water resources, remediation and restoration, assessment and compliance, and industrial hygiene, among others.We are a successful and growing mid-sized firm. Were small enough that our employees still have access to our leadership, and its easy for high-performers to be recognized for their contributions and advance without bureaucracy. With over 35 office locations, were big enough to provide comprehensive environmental consulting and engineering services to our diverse client base and to provide resources to our employees to help in their professional development.Apex Companies, LLC is an Affirmative Action/Equal Opportunity Employer",,,0,0,1,,,,,,0
13863,Digital Marketing Trainee,"GR, , ",Marketing,,"mbriyo supports start-ups in their quest to grow and prosper by helping them to identify the core start-up processes and to focus their resources on the things that matter the most. It currently provides technical know-how, strategic business consulting and working space to three ventures: Sportaff (FBN) Ltd., giaola PC and Ellinopoula Ltd.","mbriyo marketing teams are growing!We are looking for an energetic digital marketing trainee, who will be involved in the actual day-to-day digital marketing activities of our ventures including: Implementation of digital marketing plans on an-going basisAnalysis, testing and evaluation of various digital channels  Analysis of past digital actions to formalize concrete recommendations for the futureFollow up and reporting on the digital campaigns' performance ","Skills required:A passion and enthusiasm for digital marketingFamiliarity with Google Adwords, Google Analytics and Facebook InsightsExcellent written and proofreading skills paying close attention to detailExcellent communication skills with the ability to collaborate at all levelsAbility to multi-task and prioritize work, adhering to strict deadlinesProfessional, proactive, positive and “can-do” cultureAnalytical skills",We offer a true start-up experience within an energetic and intellectually stimulating environment.,0,1,0,Full-time,Internship,Master's Degree,,Marketing,0
15735,"Home Health Aid/CNA- Reserve, NM","US, NM, Reserve",,,"Critical Nurse Staffing, Inc. is enrolled with the U.S Department of Labor to provide nursing care to the former defense workers now receiving benefits through the RECA and EEOICP programs.We are proud to provide services to Defense Industry Workers covered under the Federal EEOICP and RECA programs, as well as recipients of the Federal Black Lung Benefits Program.We proudly provide care for the following patient groups:Uranium Miners, Millers and TransportersNuclear Weapons Plant Employees or ContractorsFormer Coal MinersOur service offers an integrated team of personal case managers, nurses, respiratory therapists and home aides. Our services focus on addressing the full range of a clients needs, including a clients medical condition, the clients comfort, emotional well-being, independence, functionality as well as nutritional and psychosocial needs.","Critical Nurse Staffing, Inc. is seeking an HHA or CNA to join our team and provide comprehensive care to our patients in Reserve, NM. The role of the HHA/CNA in this position will be to work closely with patients and to provide basic care services.The applicant should have an outgoing personality, the ability to communicate effectively, multi- task, remain calm in stressful situations, and be able to give patients the essential social and emotional support, along with providing vital information on patient conditions to nurses.A resume must be attached in order to be considered for this position.Critical Nurse Staffing, Inc. is an equal opportunity employer, m/f/v/d and a drug free work place.",,,0,1,0,,,,,,0
13093,Sr. MS Dynamics Consultant ( AX or GP ),"EG, C, Maadi",,,"EME International is a global leader in enterprise mobility solutions, partnering with thousands of device makers and corporate customers to deliver profitable solutions. Since it was founded in 2004, EME International has established itself as an industry leader in taking data, and making it relevant and readily available to the end user in the sales force and financial services industry through mobile.EME international was awarded several worldwide golden prizes for its innovations at 3GSM, Barcelona, Spain and JavaOne at San Francisco,USAEME International was the first to introduce Mobile stock viewing/Trading, Mobile Banking and ERP mobile integration applications in the region.Our MissionEmpower enterprises to engage effectively with their employees and customers, creating a sustainable competitive advantageOur VisionTo be an industry benchmark for best-of-breed mobility solutions in the MENA region, resting on the foundations of deep domain expertise, incisive innovations and agile implementation.","Implementing MS Dynamics Products according to MS sure step methodologyManaging projects, planning, and follow up.Prepare and conduct business requirements workshopsModify Clients business cycles to reach the standard business levels.Set up application for Fit Gap AnalysisConduct Fit Gap AnalysisEnsure that project deliverables are completed on time and anticipate issues or conditions that may impact the original estimate.Create development design specificationsPerform requirements definition tasks, develop and design specification documents, and perform programming and prototyping tasks.Prepare internal and customer facing documentsSystem configurationsAssist in the identification and creation of process test scenariosConduct Key User trainingGo-live supportDevelop a working knowledge of business software implementation issues.","Bachelor degree in Business, Accounting, finance or equivalent2 -10+ years of experience implementing Dynamics AX or GPDynamics AX or GP Certification preferredAdditional experience with Dynamics AX or GP Distribution and Manufacturing, modules a plusExperience with other Dynamics solutions a plusConsulting organization experience preferredExperience with integration tools is a plusKnowledge of AX or GP customization toolsExcellent presentation and communication skillsFluent in EnglishAbility to manage individual budget and scope of work",,0,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
15591,Full Stack Developer,"PT, , Porto",Development,,"Independent team of Designers &amp; Developers, focused on creating top quality products through exceptional Web and Mobile experiences. ","Pixelmatters is looking to hire a full-time Full Stack Developer to integrate the team immediately at our office in Porto. We are a team of young, highly motivated and skilled Designers and Developers. Our focus is on building outstanding digital products and delightful experiences. Our clients are located in the four corners of the world, some of them being startups from the Valley.You can check some of our work here:• Our Website• Our DribbbleYou are a developer with several projects under your belt. You are a team player who likes to work in a fast-paced environment and has no problem dealing with pressure. You can write server-side code for web-based applications and you are comfortable and enjoy working on the full development stack - both back-end and front-end. You can lead individual projects from a technical perspective, from conceptualization to launch. You can work closely with product managers, designers and other developers. You can research, propose, test, and implement new techniques and technologies based on the industry trends.This highly technical role will be key, since you can become our CTO and set the technical direction of our projects.","Degree in Computer Science (Bachelors or Masters) or equivalent experiencePassion for writing great, simple, clean, efficient codeSelf-demanding and a perfectionist with great attention to detailAbility and will to acquire new skillsExcellent scoping and estimation skillsExcellent testing/ QA skillsProficiency in modern web application development and drive to put the best web interface in front of the customerStrong sense of UX is preferredExperience with HTML5, CSS3, JQuery, Sass and/or LESSGood JavaScript knowledge and experience with client side MVC frameworks such as #URL_b7bad8ac916069eadd573f035544c52dc3519a0ba054fb7ab1ff9ba3e1525399#, #URL_963f8ce088c1f7d2956e5106dff9fe5ff2a33c8d4c4d280b5adab69b0e80749e#, Ember, Knockout or AngularExperience with CMS, SQL and PHP for creating and storing contentUnderstanding of network architecture, server-side and front-end implementations of websites and Content Management SystemsExperience working with both in-house development teams and freelance contractorsGood communication skills. You will communicate daily with other Developers, UX/UI Designers and ClientsGood understanding of English (written and spoken)Experience with agile methodologies is preferred",Competitive salaryCreative and collaborative work environmentFlexible scheduleWork in Porto downtownWork with a great variety of awesome projects and clients spread throughout the globeWere a small team! Youll have the freedom and power to leave your mark on the team and company,0,1,1,Full-time,Associate,Bachelor's Degree,Computer Software,Engineering,0
13162,Software Development Manager,"US, FL, Orlando",IT,90000-110000,"Although AssistRx was formed in only 2009, we have capitalized on our 30 years of combined experience working within the specialty distribution channel, both in caring for patients as well as close collaboration with pharmaceutical manufacturers.  Our simple goal is to apply innovative solutions to provide greater access to therapy.                                                    Through years of exposure and insider knowledge, AssistRx (ARX) has become intimately acquainted with specialty therapy distribution, but has also identified shortcomings that exist in meeting patients needs using the current industry methodology.  Seeking to detangle the intricate complications that arise in this niche market, ARX has developed exclusive technology with our iAssist product and, when combined with our customizable features and superior service, we are confident it will be exactly what your organization needs to resolve prevalent issues and excel in customer care.  In fact, we believe our unique technology will revolutionize the current specialty distribution market by creating a seamless and efficient system to ensure benefits to all parties involved.                                                    AssistRx, as a company, is dedicated to developing technology solutions and offering premium customer service for the specialty pharma industry.  Improvement in the delivery of patient care has been a core motivation for ARX as we have partnered with healthcare companies, and it will continue to inspire us to find better solutions to continue to meet needs in this ever growing and changing market.                        AssistRx, Tomorrow's Technology Today.","Company OverviewA technology solutions firm based in Orlando, Florida, AssistRx focuses on connecting pharmaceutical and biotech manufacturers together with their prescribers, patients and providers.  iAssist, AssistRxs flagship product is a state-of-the-art technology that simplifies the prescribing process of specialty therapies and applies a menu of technology solutions that ultimately improves what is most crucial to every pharmaceutical and biotech brand  accuracy, adherence and, most importantly, access and speed to therapy.Position Overview and PurposeThe Software Development Manager oversees a team of developers, DBAs, and software architects who design, develop and maintain the operation of SaaS model web applications with a specific emphasis on usability, performance and scalability.  The ideal candidate will possess strong interpersonal, communication and leadership skills and experience in coordinating the efforts of technical teams to create technical specifications.   The Software Development Manager will also provide realistic estimates for the completion of development work to management stakeholders and may partner with project managers to manage the project timeline and ensure that development efforts remain on target.  As development progresses, The Software Development Manager will coordinate schedule releases, such as service packs, enhancements and version upgrades, with product management, system administrators, Web developers, Database administrators and IT support staff. ","Key Competencies:Managing people/demonstrated leadershipAdvanced decision making and problem solvingStrategic thinkingWritten and verbal communication skills to both technical and non-technical teamsSolid planning and project management skillsBusiness acumen, knowledge and professionalismPlan ExecutionTeam building/collaborationStrong influencing, negotiation and partnership skillsFinancial / budgetary management   Duties/responsibilities:Hire, train, coach, manage, and mentor the development staff overseeing performance of individual team members and team as a whole.  Coordinates training for team members as well as team building activities.Create and maintain key performance metrics for management reporting.Provide technical support, and direction to teams and team leaders including monitoring and troubleshooting performance and scheduling issues.Foster a culture of continuous improvement in all areas of technology and instill the principles of this culture across the development team.Develop and implement software development standards and governance.Work together with software architects, database managers and senior software developers to plan and execute projects under the direction of the Director of Information Technology.Manage projects using Agile SDLC methodologies.Manage software development, unit testing, and progress against project schedules.Collaborate with Product Management and Systems Engineering to define system requirements, assess feasibility/complexity, estimate scope and develop schedules.Maintain daily schedules, project estimates, resource plans, and project status reports.Coordinate walkthroughs and reviews with product team to ensure conformance to standards and adherence to design specifications.Acquire resources necessary to accomplish work on time.Required Skills and Knowledge:Bachelor's Degree in computer science or related field (or equivalent). 5-7 years of experience in managing software development teams.Demonstrated knowledge in Microsoft .Net, C#, WCF, Azure, TFS and SharepointSolid knowledge and experience working with a variety of programming languages [both client and server side], software development processes and Agile methodologies (i.e. SCRUM, XP, Test Driven Development, etc.).Experience with the architecture principles and design patterns of many applications including SaaS based web applications, ESB, Integration/middleware, Business Intelligence, Data Analytics and mobile.Experience in building web-based enterprise software products from the ground-up to production demonstrating successful delivery of software applications and systems by meeting agreed upon delivery commitments and quality levels.Good knowledge of web related technologies (HTML, CSS, JavaScript, Web Services)Ability to manage offshore resources.Demonstrated knowledge and practical experience in project management principles.Experience in code optimization, load balancing and performance tuning. ","Health and Dental Insurance through UHC50% of premium paid by companyVision Plan through Advantica50% of premium paid by companyShort Term Disability through UNUM50% of premium paid by company.$25,000 A&amp;D life insurance paid 100% by the company.401K with company matching 100% up to the first 3%, and 50% on the 4% -5% contributions.  Immediately vested upon eligibilityEight paid holidays.  1 Floating holiday80 hours of PTO accrued during first year of employment.Flexible environmentFree drinks and snacksEmployee lounge with video gamesCasual dress (jeans)Plenty of fun activities",0,1,0,Full-time,Mid-Senior level,,Computer Software,Management,0
15549,Staff ER Nurse,"US, FL, Jacksonville ",Jacksonville ,,"MedTalent is a modern staffing company that specializes in the placement of physicians, nurses and healthcare professionals across the United States. For job updates follow us on Twitter and Facebook ","RESPONSIBILITIES:Work as part of a team with physicians, other nurses and healthcare professionals to provide care, monitor health conditions, plan care needs, administer medicine, use medical equipment, perform minor medical operations, and advise patients and their families on illness, care and continued care.The staff nurse will be required to function as an intricate part of a high quality, efficiency driven medical team. Duties will be those of a registered nurse functioning in an Emergency Department Setting.  The facility is a highly protocolized environment and it will be a responsibility of the nurse to adhere to these protocols while providing high quality medical care.  JOB DUTIESDirect patient care of patients with complaints ranging from fast track (cough/cold) to acute care (chest pain/stroke symptoms) Sympathetic to a patient's needs, and be able to deal with people in various states of pain, trauma and tragedyHelp doctors operate, administer medicines and work with specific treatments that, if wrong could prove fatal. Attention to detail is crucialWill face multiple patients, with differing needs, stages of health and risks. Being organized and knowing how to prioritize will be crucialParticipation in all QA and PI programs as requestedAssist with completion of daily checklist in conjunction with ancillary staffAutonomously initiate appropriate orders for patients while waiting on practitioner assessmentCommunication with patients via phone regarding diagnostic testing results.Working knowledge of critical care medications (to include drips), procedures (LP, Thoracentesis, Paracentesis, intubation, conscious sedation) and possess excellent assessment skills (12 lead EKG basic interpretationAppropriate delegation of duties to ancillary staffProviding an amazing patient experience","Active RN License3 years experience with at least two years of Emergency Room (ER), Critical Care experience.BLSACLSPALS","POSITION BENEFITSDayshift hours with shortened hours on weekends and holidays (closed Christmas and Thanksgiving)Ancillary staff to assist with tasks not specific to license (nourishment, comfort measures)Positive, family like atmosphereGrowth potential to leadership positions or other marketsSkill challenging position that will promote the use of critical thinkingCompetitive pay",0,1,1,Full-time,Director,Certification,Hospital & Health Care,Health Care Provider,0
13052,Sr. Design Engineer Mechanical - 3D CAD,"US, PA, Philadelphia",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Experienced Sr. Design Engineer - Mechanical is required having responsibility to Work with assembly and fabrication to provide hands-on support of design changes and production issues.(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57#,,,0,0,0,Full-time,,,,,0
6888,Customer Service Team Lead ,"US, MA, Weston",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","We are currently seeking a Customer Service Team Lead. The right candidate will be an integral part of our talented team, supporting our continued growth. This position will be located in our Weston, MA location.Responsibilities include, but are not limited to:Data entryPerform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Address and label lettersComplete multiple projects at one timeLift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assignedOperating mailing, copy or fax equipmentShipping &amp; ReceivingLift large bundles of mail, overnight packages and shipments of paperHandle time-sensitive material like confidential, urgent packagesProvide courier servicesMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudePerform other tasks as assigned","Position Requirements: High school diploma or equivalent (GED) requiredMinimum of 1 year customer service related experience requiredAbility to communicate both verbally and written with customers and company personnel requiredComputer proficiency in email environments, MS Word/Excel or similar programs preferredAbility to handle multiple projects simultaneouslyStrong organizational and administrative skills preferredHandling up to a maximum of 55  pounds with or without accommodationsSort and deliver mail, packages, pouches both incoming and outgoingAbility to walk, sit, stand or sit for long periods (possibly entire shift)Ability to adhere to employee attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Insurance,Customer Service,0
6556,English Teacher Abroad ,"US, MA, Northampton",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
1228,"Project Engineer - Manufacturing - Houston, TX","US, TX, Houston",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Project Engineer is required having responsibility for maintaining the project cost, schedule and functionality for site engineering projects.  Job Responsibilities: Manages project activities to ensure execution is in alignment with stated project requirements for both site engineering projects and OSBL on corporate projects.Responsible for maintaining the project cost, schedule and functionality for site engineering projects.Leads project team in developing project scopes, generating cost estimates, and prepares funding requests on site engineering projects. Responsible for leading and organizing applicable value based engineering (best practices) to optimize project scope, execution and costs on site engineering projects.Provide quality assurance and control during the design phase of the project.Consults with operations, vendors, and construction contractors throughout design, construction and startup for projects.Coordinates interdisciplinary activities/information with discipline counterparts (Mechanical, Civil, Electrical, Piping) for projects.Mentors junior engineers assigned to project or department.Supervise the activities of the Engineering Partner on projects.Leads the Safety Reviews and assures that the process safety aspects are acceptable for projects.","Minimum of 8 years of experience in a chemical/petrochemical or other similar manufacturing environment required. Minimum 5+ years of project engineering experience is required.Deep knowledge of industry relevant codes and standardsExperience as a lead Project engineer on large projectsCross disciplinary knowledge of civil, piping, mechanical and electricalExperience working on international teams with diverse cultural backgroundsStrong analytical thinking, entrepreneurial acting, influencing, leadership and communication skills.Educational Qualifications:BS in an Engineering Discipline We Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,,,0
10643,Language Specialist,"NI, MN, Managua",Education,,"The most affordable and effective way to learn a new language! Virtual Lingos teaches 1 on 1 customized language lessons! Our company is based around relationships that focus on the customer. your PACE, your TIME, your NEEDSWe are looking for ROCKSTARS so don't even think about clicking to the right unless you're at the top of your game! These are just the top 4 positions we are hiring for. We are also hiring for an English Director, Affiliate Sales Manager, Programmer, Sales &amp; Customer Service Agent, Sales &amp; Marketing Manager, &amp; IT Team Lead. IF you're interested in any of these positions email us at #EMAIL_8b1e5c9bc8e7571871281572125088ba1bc7ffcd56f31cc009241f3406afd143#",Virtual Lingos is looking for awesome Language Teachers. Our Language Specialists have up to 20 students in TOTAL. Each class is done 1 on 1 via our online platform. Our Language Specialists customize each lesson for each student to make the most effective learning environment for each student. ,"We are looking for Fully Bilingual, Honest, Hard Working, Effective, Adaptable, Remarkable, Transparent, Energetic, Outgoing Teachers. ",Awesome Schedule (Mornings or Evenings) Annual RaisesCommissionOpportunities to GrowEducation Reimbursement Awesome &amp; Open Work Environment Full Health BenefitsChristmas BonusRetirement,0,1,0,Full-time,Entry level,Bachelor's Degree,Higher Education,Education,0
2310,Financial Aid Packaging Specialist,"US, CO, Denver (metro)",,,"Electus believes in long-term relationships with our candidates. We provide a combination of recruiting expertise, data, and industry experience to help you find an ideal match for your current skills and future aspirations. Our close working relationships with our clients allow us to offer you opportunities not available to the general public.As a job seeker, when you are dealing with Electus Recruiting, you are dealing with a reputable recruitment firm that only hires intelligent, knowledgeable and dedicated recruiters whose job it is to assist you in furthering your career ambitions. Our recruiters take every measure possible to put job seeker concerns before profitability.  We are very careful about the clients whom we take on. We preach open communication and take every step available to make the interviewing, negotiation and job offer process fast, simple and lucrative.","State University is seeking a qualified Financial Aid Packaging Specialist:Must be able to package assigned student population with Title IV aid and internal &amp; external scholarships/awardsVerify student eligibility for Title IV disbursements each term and make adjustments as requiredApprove Direct Loans and prepare file for origination upon student's acceptanceProcess ISIR corrections for all verifications and professional judgmentsSet up budgets to ensure accuracy of COA and package, update budgets and awards as required","Must have at least 3 to 5 years of financial aid processing experience Bachelor's degree requiredAbility to work well with students, faculty, and administrationAssessments required before being hired:Create an award package for a student (information will be provided)Excel Skills AssessmentFinancial Aid Test",,0,1,0,Full-time,Associate,Bachelor's Degree,Education Management,Education,0
3142,Account Executive,"US, CA, San Diego",Sales,,"Curvo Labs is an exciting, funded start-up determined to change the world by making a huge dent in the cost of healthcare and disrupting the status quo in the healthcare supply chain.  We do this by unlocking information between hospitals and medical device companies in a way thats never been seen before.  Curvo is a light hearted, fun and refreshing platform in the stale, B2B benchmark driven, find me the problem but don't show me a solution kinda world. With Curvo, we change all that with actionable data for hospitals and medical device companies that produces real results for our users.  The Curvo team values Honesty, Passion, Service, Learning and Fun.  ","Curvo Labs is an exciting early stage, funded start-up determined to change the world by making a huge dent in the cost of healthcare and disrupting the status quo in the healthcare supply chain.  We do this by unlocking information between hospitals and medical device companies in a way thats never been seen before.  Curvo is a light hearted, fun and refreshing platform in the stale, B2B benchmark driven, find me the problem but don't show me a solution kinda world. With Curvo, we change all that with actionable data for hospitals and medical device companies that produces real results for our users.  The Curvo team values Honesty, Passion, Service, Learning and Fun. Were seeking our first sales hires to launch new products and grow our medical device customer segment (orthopedic, cardiovascular, general surgery).  This role is a huge opportunity for an experienced sales professional who is itching to get out of big corporate to start from the ground up in a MISSION driven start-up company.  The chosen candidate will be given complete trust and autonomy to build the business in their territory, plus uncapped commissions. Does this excite you?  You might be what were looking for….The Account Executive (AE) will be responsible for prospecting into new accounts and closing new enterprise accounts.  You need to know our customers (medical device manufactures) and understand their problems, close the right deals and manage the entire sales process.  We spend a lot of time listening to our customers and refining our products to serve their needs.  Curvo team members must be creative, flexible and energetic about creating and giving input on product, customers, marketing and sales collateral.The AE will talk with senior sales and marketing executives about their business, sales and marketing issues and operations. The successful AE will be an extremely self-motivated and driven person who can effectively interact with a team.  For the successful AE, this role can grow into a strategic sales management position as the Company rapidly scales.ResponsibilitiesProspect into new and old potential medical device customers and prove Curvo value to clientsTake charge and be creative to do what it takes to get whatever needs to be doneBe part of the business and help solve problems (this must excite you, were a start-up about to change the world)Manage sales cycle to close.  Get new customers",Requirements A proven track record of success in B2B enterprise healthcare sales (selling data intelligence a big plus)5+ years sales or related market/business experience (management experience a plus)Minimum BA or BS undergraduate degree#URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# (or similar CRM) experienceReal excitement to take an opportunity from the ground floor and build it into something hugeCandidate must have experience selling to medical device companies or hospitals and surgery centers (GPO experience a plus)Personal RequirementsA desire to do and achieve great things!Integrity is fundamentally important to youYou want to make a real differenceYou are naturally entrepreneurialYou're clever and quick on your feet,All employees have benefits and the ability to participate in the stock option plan.,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Medical Devices,Sales,0
13734,Food Quality,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.",(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Food Quality :Job DescriptionCandidate must have a:Degree in Food Technology/ Food Science/Quality Control/Nutrition &amp; Food Services Management or Related with the same.Minimum experience:Two yearsEducation:B.Tech/B.E.Category:Jobs in Quality / Testing / Process Control Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ,,,0,0,0,Full-time,,,,,0
16965,Sales and Management Training,"US, OR, Tigard",,,"Olympus Advertising specializes in retail programs for our clients products and services. Our extensive client base speaks for itself while we also represent the leader in Satellite Television Services. With the 2010 unveiling of our new marketing program, we have developed a major presence in some of largest chain retailers in the nation.Olympus Advertising was created to acquire and retain customers in a more personalized approach for various types of companies and businesses. Today, we lead the nation in outsourced advertising as wellas in innovative marketing campaigns.Our clients have specific areas of expertise ranging from home improvement, electronics to the biggest names in satellite television services. Our focus and goal for every client is to supply them with superior service and a quality sales team to enhance their product and services. With representatives nationwide, our one-on-one approach allows us to provide the highest quality sales and marketing services to our clients and their customers alike.We strive to reach goals not only for our company, but our clients, customers and staff as well. To create a stronger presence in our community for the clients we represent, to go above and beyond the norm and have a level of success that far exceeds our competition.","We Are Looking For Full Time Entry Level Reps To join Our Management Training ProgramOlympus Advertising Inc. is a Portland-based, competitive, rapidly-expanding consulting and sales firm. We have laid out an aggressive expansion plan to expand into several new markets on the West Coast in 2013 and need sports-minded, competitive, yet team-oriented full time entry level sales people to add to our management training program.What we do: Our Fortune 500 clients outsource our company to take on their name/image and perform in person sales presentations to their prospective new business accounts here in the Portland Metro area. Full time entry level sales reps who qualify will be entered into our management training program. You must be looking for a  full time entry level sales position, and be ambitious, sports-minded, competitive, outgoing, and character driven!  We offer paid training! We offer full tIme! We only promote within! This is a no seniority work environment!","Who were looking for: We want to interview enthusiastic, sports minded and fun candidates who are looking for a full time entry level sales position. We have found that sports minded people generally have an excellent work ethic, a positive business attitude and tons of self-confidence, naturally making them suited for sales environment. This is a challenging sales position. We are not interested in 9-5ers or people who just need a job to pay the bills. There is No telemarketing! No direct mailing! No residential sales! No Experience Necessary! ","Aggressive Pay based upon performance. We promote from our sales team and onto our management training team solely from within. We want ambitious, competitive sports-minded sales representatives to aid us in our aggressive expansion efforts, so if this is you- APPLY NOW to join our world class sales and management training program! If you are genuinely focused on getting yourself on a career track, e-mail your resume to #EMAIL_cc2e5d90ccd683e2deadca840c7b289a69315e8be206b15468b3f7ed5c2722b2#  or call Kristina at #PHONE_59a25f0021a93e6eb012b315ee4c7fb917a4f6186667eaa41566d5ed18b10080#, to be considered for an interview and possible full time entry level employment this week.Check out our werbsite: #URL_ee809d17e14ebbe5dcddf2f36d23b12b8302020126eed41ddaebbbb92bb74ca4#Follow us: #URL_6844b5d91e0cfede773f26e85f9fe1c27734b430067dbbe9d062#PHONE_ded567e5903e71fe08cb68f31afd6e111f3a2d18ab53d4bee75d60e2a37bc169##  ",0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Sales,0
13541,Frontend Web Designer in Singapore,"SG, 01, ",,40000-120000,"If working in a cubical seems like your idea of hell then joining our awesome startup team might be the opportunity youve been waiting for.Come join the TradeGecko team, were a Singapore head-quartered company, were ventured backed and were growing fast.Our team comes from all corners of the globe, the founders are Kiwis, we've got Singaporeans, Dutch, Filipino, Australians, Argentinians, the list goes on.If you think you have what it takes and can handle our group of talented, hardworking, sarcastic miscreants, then apply now … right now!","Want to work with an exciting startup in Singapore? Want to work with smart, talented people on a technically challenging product?About UsTradeGecko is a VC-backed fast-growing startup in Singapore. We are an international team of 45 working in a global market. We're building the platform on which the world's merchants transact.About YouWe're looking for a talented Frontend Web designer based in Singapore who wants a challenge.Someone who is a good communicator and excited about working with our growing team, helping to create an amazing product used by thousands of people every day.You'll be constantly challenged to deliver beautiful, responsive, user-friendly products.You'll thrive in a work environment that requires strong problem solving skills and creative thinking and obsess about the details of design and implementation.Get In TouchIf this sounds like you, apply below - please include a link to an online portfolio of work. ","Must be based in SingaporeStrong HTML5, CSS3, Photoshop, and IllustratorGood understanding of SEO best practicesSolid grasp on responsive design, as well as common usability and accessibility issuesSeveral years experience designing web sites and/or web applicationsAn online portfolio of previous works is essential","Join us andWork with an awesome team, growing super fastMake decisions every day that affect 10s of 1000s of customersHealth insuranceAn awesome office &amp; work enviroment in central SingaporeAvoid ever working in cubicle hell ",0,1,1,Full-time,,,Internet,Information Technology,0
14435,"Full-Time Personal Assistant (Position B)  for a Fun-Loving, Sassy Woman with Disabilities","US, OR, Milwaukie",,,"United Cerebral Palsy of Oregon &amp; SW Washington (UCP) supports adults with all kinds of developmental disabilities (not just cerebral palsy), so that they can live the life of their dreams.We're growing, so you will see lots of exciting job openings in the next few months!WHY WORK FOR UCP?As an employee of UCP, you'll be proud of the work you do, every day! Our employees assist the people we support to live independently in their own homes and apartments, find and keep their dream jobs, make community connections, serve as their own advocates, and make their own choices. You'll get the chance to work for one of the 100 Best Non-Profit Employers in Oregon. We're fun and flexible, and there's plenty of room for advancement and growth.","United Cerebral Palsy is growing! Were currently seeking a full-time Personal Assistant who will support an adult woman who experiences dementia and balance issuesShe lives in her own apartment in Milwaukie, and is a talkative, fun-loving person with a sassy sense of humor and lots of interests.She does many of the tasks of daily living independently, and minimal personal care and lifting is required (other than moving her wheelchair and walker into and out of your car). Please note that female applicants will be strongly preferred.DUTIES:Develop a natural-feeling, but professional relationship to help her feel comfortable with having caregivers in her home.Help her to pursue her interests, such as going out to eat, TV/movies, ceramics, swimming, meeting new people, Native American memorabilia, and exploring Portland.Keep her house tidy and prepare meals. Ensure she is doing her share of the chores.Monitor her health and safety by administering medications, tracking on-going health conditions, and assisting her to stay safe due to her challenges with balance and dementia.HOURS:Position B (38 hours per week): 8am on Monday to 8am on Tuesday; 6pm on Wednesday to 8am on Thursday. Any holidays that fall during your regularly scheduled shifts. TO APPLY:To Apply for Position B, send a resume and cover letter via this webpage.No calls, please.UCP is an equal opportunity employer, and actively pursues applicants from diverse backgrounds.ABOUT UCP:United Cerebral Palsy of Oregon &amp; SW Washington supports adults with all kinds of developmental disabilities, so that they can live, work and play independently.We were recently ranked in the 100 Best Non-Profit Employers of Oregon.To find out more about UCP, visit: #URL_2b35257099321dacb52af707d4a6bdf5dd187d81d6bfd24398f0e5b68a6727a7#.","Experience in mental health or developmental disability field preferred but not required.High school diploma or GED.At least 18 years old.Must pass a physical with a lifting test of 75lbs, drug screen, reference check, and criminal history background check.","$9.47/hour, 5% increase to $9.95/hour after successful 90-day Trial Service Period.Great medical, alternative, and vision benefits for employee, spouse/domestic partner, and children.Generous paid time off.Holiday pay (double pay for the first 10 hours of any holiday shift).401k plan with up to 3% company match.Paid mileage.On-going, and fully paid training.",0,1,1,Full-time,Entry level,High School or equivalent,Civic & Social Organization,Health Care Provider,0
4010,Associate Account Manager-DRAFT,"US, MI, Ann Arbor",Sales,30000-35000,"ParishSOFT LLC (formerly Parish Systems, Inc.) was founded in 1998 by Fr. David Rosenberg, a technologist, catechist, music minister, and former deacon. Bill Pressprich joined the company in 2002 as president and CEO, bringing many years of executive experience with software companies as well as service to the Church.Today, ParishSOFT's mobile church management and accounting systems are used by parishes in nearly every U.S. arch/diocese and in more than 84 arch/diocesan offices. ParishSOFT uses Agile development methods and releases enhanced software and web solutions updates throughout the year. New functionality, enhancements, and new products are driven largely by customer input.","Exciting opportunity to work with fast paced company that provides church management software and services to 14,000 churches and faith-based organizations across the country. We are seeking an energetic, service-oriented product support representative. We're looking for team players who never stop learning and thrive in a highly-energized, problem-solving environment.Company offers a business casual environment and a great Ann Arbor location.Job Summary: This position is in our Support team- we are a highly collaborative group, and our goal is to help our customers be successful using our software. This is done primarily via phone and email support directly interacting with end users, and offers future opportunities to do web training, onsite training, and back-end data manipulation. ","Proficient computer skills with familiarity of operating system settings and power user of MS Office®.Exceptional listening and analytical skills, and great attention to detail .Strong interpersonal, written and oral communication skills. Good organizational, multi-tasking skills. Demonstrates a good understanding of quality customer service and the ability to provide efficient solutions to issues.Focus efforts on continuous improvement looking for ways to streamline processes and to improve customer satisfaction.Seeks to take the initiative while at the same time enjoys being a team player.EDUCATIONAssociates/Bachelors degree in Business, Information System, Computer Science, or enrolled in related coursework/experience.No experience necessary but 1-3 years of related experience a plus.Must be eligible to work in the U.S. Permanent residents only (green card holders and US citizens)PLUSESMicrosoft SQL knowledge and experienceBasic programming knowledge",Profit Sharing401k MatchingHealth and Dental insuranceTuition Assitance,0,1,0,Full-time,Entry level,Associate Degree,Information Technology and Services,Sales,0
8098,Senior Mobile UI/UX Designer,"US, CA, San Francisco",Engineering,,"The RealReal is changing the way people buy and sell luxury items. The leader in luxury resale, the company is a full service retailer offering customers authenticated, pre-owned, designer brands including Chanel, Hermes, Louis Vuitton , Gucci and Cartier, all at up to 90% off original retail. The RealReal takes possession of all items prior to sale in order for its merchandising team, authentication specialists and skilled gemologists to evaluate each item. The company also offers buyers merchandise returns, with an in-house customer service team available to answer specific product questions.","The RealReal, the world's premier online luxury resale marketplace, is looking for a Senior Mobile First UI/UX designer to help us handle our fast-paced growth and optimize the overall product experience and influence the voice of the company. We are actively looking for the most efficient and elegant ways to fulfill our promises to consigners and to our members. Doing so plays an important role in reinforcing our brand identity. We are looking for a talented UI/UX designer operational on both iOS and Android platforms and with a strong experience in e-commerce matters, with a passion for luxury and in perpetual quest for the WOW Effect.Responsibilities: Take ownership of all existing products and solutions from a UI/UX perspective mainly the mobile experiences.Work closely with the senior management and particularly the product team to understand business priorities and the underlying strategy that will influence design and elaboration of our products. Actively participate in discussions, presentations and management of creative projects Perpetually demonstrate expertise in mobile UI design both in discussion and practice.Design mockups, wireframes, storyboards, and overall user flowCollaborate with the stakeholders, the users, the build team and other development partners to implement state-of-the-art user experiences. Act as the impulse by providing proper guidance and clear precisions to the teams questions during implementation phases.Provide guidance and digital asset support to development.Promote and advocate creative strategies and sell ideas to stakeholders.","2 years experience in mobile web design, user interface design and/or Mobile apps design.Bachelors degree in Graphic design or related field.Excellent visual design skills with sensitivity to user experienceExpert in mobile optimized design practicesFluent in Photoshop and illustratorSome experience in HTML / CSS.Experience in start-ups in a hyper-growth environments Talented as a sales person to conduct changes and enforce good practices within teams.Demonstrated ability to thrive in a fast-paced, iterative, deadline-driven environment.Self motivated with ability to multi-task and prioritize in a fast-paced environment",,0,1,0,Full-time,,Bachelor's Degree,,Engineering,0
1290,Junior Account Executive - Washington DC,"US, DC, Washington DC",Sales,,"Our passion for improving quality of life through geography is at the heart of everything we do.  Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them.Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more.","THE COMPANY: ESRI  Environmental Systems Research Institute (#URL_6b9c7dbc8de6a3e168e9c45ac1289630f08cb59eabb68aeaa926cdb16af18c48#) Our passion for improving quality of life through geography is at the heart of everything we do.  Esris geographic information system (GIS) technology inspires and enables governments, universities and businesses worldwide to save money, lives and our environment through a deeper understanding of the changing world around them. Carefully managed growth and zero debt give Esri stability that is uncommon in today's volatile business world.  Privately held, we offer exceptional benefits, competitive salaries, 401(k) and profit-sharing programs, opportunities for personal and professional growth, and much more. THE OPPORTUNITY: Business Development Rep Esri is looking for self-motivated, driven, results-oriented, top performing Business Development Representatives with a track record of success to join our world-class team. The position will be driving sales, revenue and aggressively expanding Esris customer base. This is a hybrid inside/outside sales role with a large regional territory and a very competitive compensation package. Specifically… Aggressively prospect, qualify, present, pitch and close potential clients generated through outbound calling, email initiatives, networking and attending appropriate industry events.Promptly and proactively address incoming inquiries/leads generated through online advertising and marketing campaigns.Generate your own sales targets via research, industry articles and specific targeted verticals defined by the sales and marketing strategies.Keep current with industry trends that are relevant to targeted vertical markets, stay up-to-date with relevant technologies and news.Have a complete technical understanding of and ability to articulate and demonstrate Esris products and value propositions.Maintain an aggressive sales pipeline with weekly reports to sales management.Provide high touch account management to select current client base.Strategize and work closely with Account Managers to determine potential revenue opportunities within an account.","EDUCATION: BA/BS required, advanced degree a plus!EXPERIENCE: Minimum 2-3 years of sales experience; B2B technology sales experience highly preferred.Background in technology (Software, SaaS) a plus but not necessary.Proven experience in a quota carrying sales role with a track record of meeting and exceeding goals.Able to effectively prospect, qualify and solution sell to the right decision makers.Excellent presentation skills with the ability to succinctly present Esris value and services via phone/web conference, trade shows, etc.Strong computer skills, proficient with standard software, Internet and web applications.CRM experience is a plus!Highly motivated, competitive and ambitious to drive sales and revenue for the company.An unrelenting desire to be the #1 performer in anything you do, yet collaborative and a strong team player.Responsible, self-directed individual who knows what needs to be done and operates with urgency, focus and discipline.Enjoys the art of sales and cold-calling coupled with a relentless hunter mentality.Excellent communication skills  written, verbal, presentation and interpersonal.A natural competitor with the passion and dedication to succeed and continuously exceed expectations.Have the ability to thrive in an energetic, fast pace, high growth, entrepreneurial environment with minimal supervision.Above all, ethical, fair and of high integrity.","Our culture is anything but corporate—we have a collaborative, creative environment; phone directories organized by first name; a relaxed dress code; and open-door policies.A Place to ThrivePassionate people who strive to make a differenceCasual dress codeFlexible work schedulesSupport for continuing educationCollege-Like CampusA network of buildings amid lush landscaping and numerous outdoor patio areasOn-site café including a Starbucks coffee bar and lounge areaFitness center available 24/7Comprehensive reference library and GIS bibliographyState-of-the-art conference center to host staff and guest speakers Green InitiativesSolar rooftop panels reduce carbon emissionsElectric vehicles provide on-campus transportationHundreds of trees reduce the cost of cooling buildings",0,1,0,Full-time,Associate,Bachelor's Degree,Computer Software,Sales,0
16082,Software Developer,"US, NY, New York",,,"Gust is a global platform for the sourcing and management of early-stage investments. Gust enables skilled entrepreneurs to collaborate with the smartest investors by virtually supporting all aspects of the investment relationship, from initial pitch to successful exit.We are a smart group of 30+ employees located in Chelsea, New York City. In our office, youll find an open layout of people that are energetic, move quickly, speak 10 languages, and collaborate incessantly. Breakfast on Mondays, lunch on Wednesdays, Company happy hours, and full-day outings are woven into our social fabric.We have fun, but are serious about providing the best experience for our users. Together, we make it happen. We recently won the 2014 SIIA CODiE Award for Best Business Directory and previously won 2013 and 2012 SIIA CODiE Awards For Best Collaboration/Social Networking Solution. Gust also won the UK Government's inaugural 2013 GREAT Tech Award for Best Finance Technology Start-up. Other awards that Gust has won include the 2012 SWIFT Innotribe Most Innovative Financial Technology Company in North America and Breakthrough Angel Product of the Year in 2011 by EBAN (the European Business Angel Network association). Our goals are clear, our ambitions are high, and our team is stacked.If you are interested in joining the Gust team, please select one of the current openings to apply.","Gust, the award winning industry-leading platform for funding startups, is seeking a Software Developer for web application and ruby development.As a Software Developer, you will be working with a lean, agile, Pivotal Labs trained team ready to strengthen our approach to building products for investors and entrepreneurs.  We want you to align the overall development efforts in coordination with product management and impact the growth of the teams processes. We're looking for brilliant software engineers who have development experience and familiarity with Ruby on Rails. The right candidate is someone that eats, drinks and sleeps agile based, fast track, full stack pair programming and wants to build cutting edge products with Rails.  Your core responsibilities include developing web applications, working directly with product teams to manage requirements, pair programming, refactoring, and participating in team activities. Programming in Ruby on Rails, javascript (particularly jQuery) with a test-driven and behavior-driven development approach is key.  Good candidates will have significant experience with web application development using a variety of languages and tools and have strong opinions on how to write code.If the following description sounds like you, you are going to love being a part of our team. You have strong passion for high-quality software and the ability to deliver product in an informal, agile environment.You prefer a whiteboard brainstorming session to written specifications.You dont require management oversight to produce results. Once you have some direction, you take responsibility for the work at hand and have the initiative, imagination, and motivation to get it done.You like to learn from others, and to share knowledge and best practices.You have excellent deductive reasoning, problem solving, and decision-making skills. When its necessary to compromise to meet a deadline, youre confident you can make the right decisions to achieve a balanced result.You have a positive attitude with excellent interpersonal/communication skills. Youre happy working both independently and with others in a multi-team setting.Youre not comfortable unless youre at the top of your game. When youre not at work, youre tinkering with new technologies or catching up on ideas from industry thought leaders. You might even have your own blog and/or tinker on open source projects in your spare time.Youre passionate about developing high quality features and value automated tests to the same degree as feature code.","Software development experienceExperience in one of the above languagesLinux experienceSQL DB skills (we use Postgresql)Experience with source code control (we use git)Passionate about Agile development and XP practicesDetail orientedSelf-motivatedStrong communication skillsNICE TO HAVESProfessional software development experienceExperience in Test Drive Development (TDD), automated builds, and continuous integrationFamiliarity with startups and early stage investing",,0,1,1,Full-time,Mid-Senior level,,Internet,Information Technology,0
7026,Senior iOS Developer,"GR, I, Athens",DEV,,At Roll the Clip we reinvent photo sharing.,"Roll the Clip is seeking a Senior iOS developer to join our small, collaborative team, responsible for the end-to-end development of our iPhone application and the long-term vision of Roll the Clip.Responsibilities:Drive development of our mobile app intended to be used by millions of our customers.Prototype new app and feature ideas and explore new technologies that are at the forefront of mobile technology.Design and implement new features, create UI, debugging, data modeling.Testing the application against software and hardware versions. Provide timely turnaround and documentation of bug fixes during test cycle.Code backend application and features.Work closely with our engineering team, particularly server-side.Work across disciplines with team members representing product, design, web development, and product marketing.Maintain the app, listen to community and fix issues that arise.","Expertise in Git, Objective-C programming, Cocoa, Xcode iOS SDK.Strong understanding of interactive application development paradigms, memory management, file I/O, network & socket programming, concurrency and multi-threading.Have published one or more applications in the App Store.Well-versed in client/server architectures.Ability to translate technical requirements into business solutions.Passionate about end-to-end user experience design.Comfortable with RESTful APIs and JSON.Not just an app hacker, you have a strong background in CS.",Equity,0,0,0,,,,,,0
7357,Customer Success Guru,"GB, LND, London",Customer Success,25000-30000,"Resource Guru is the leading provider of cloud-based team scheduling software. Its the fast, simple way to schedule people, equipment and other resources online. Companies like Saatchi &amp; Saatchi, Vodafone, Intel, Roche, ASOS and National Geographic Channel trust Resource Guru to handle one of their most precious assets - their peoples time. Resource Guru was founded in 2011 in London and is funded by Index Ventures. Learn more at #URL_19dfe511ea2b0857d435727c45c98b17515350627b9d31e8639c9a7d6a5250e9#.We are a remote team with employees in London and Norfolk, UK. This means you would be free to work from anywhere with a 4 hour overlap with GMT and a good internet connection. We will be happy to pay for coworking space if you need it.","FULL TIME (£25-30K)Work Remotely (work from anywhere with at least 4 hrs overlap with GMT)OverviewWe like our customers to smile when they think of Resource Guru :) We think of customer service as the opportunity to engage with our customers and, wherever possible, convert them to brand advocates. Your main role is to make that happen! The rest of the time we want you to get stuck into more of a growth hacking role - creating shareable content, managing our social media, generating leads and giving customer demos.We are looking for a radiator not a drain. Someone who can empathise with others, is passionate about technology with good technical knowledge, is level headed and has a pragmatic approach to problem solving.What Youll Be DoingManaging the day to day customer service for Resource Guru and responding to tickets in a timely mannerConducting customer product demos onlineHelping to manage our social media profilesWriting content for our blogTheres always lots to do when you work in a startup so therell be plenty of other stuff!About Resource GuruResource Guru is the leading provider of cloud-based team scheduling software. Its the fast, simple way to schedule people, equipment and other resources online. Companies like Saatchi &amp; Saatchi, Vodafone, Intel, Roche, ASOS and National Geographic Channel trust Resource Guru to handle one of their most precious assets - their peoples time. Resource Guru was founded in 2011 in London and is funded by Index Ventures. Learn more at #URL_19dfe511ea2b0857d435727c45c98b17515350627b9d31e8639c9a7d6a5250e9#.We are a remote team with employees in London and Norfolk, UK. This means you would be free to work from anywhere with a 4 hour overlap with GMT and a good internet connection. We will be happy to pay for coworking space if you need it.","What Were Looking ForAn empathetic, conscientious and resourceful helper with a background in digitalSomeone with an upbeat disposition and good sense of humour who can turn disgruntled customers into happy onesA technically inquisitive and knowledgeable person. You love the web, regularly use social media and understand web-related technical jargon. You know how to clear your browser cache. You know what a cookie is and how to delete them. You know what might cause slow broadband speeds and how to fix it. You've used several browsers like Chrome, Firefox, IE and Safari. Your friends and family turn to you when their browser or email is not working.A rigorous troubleshooter who wants to dig deep and get to know our product inside outA clear, concise writer who can keep it light and playful but knows when to be seriousA strong communicator with excellent EnglishA positive, autonomous person who understands the nature of remote workingThese Would Be A BonusExperience of working in a startup environmentExperience of working on a SaaS productDigital marketing experienceSales experienceSEO knowledge","Work from anywhere (work from anywhere with at least 4 hrs overlap with GMT)25 days paid holiday per year (yes, on top of public holidays!)Stock optionsFlexible hoursMonthly exercise contribution - but use it for anything you likeA small, friendly team - we like to think of ourselves as a family :)",1,1,1,Full-time,Not Applicable,Unspecified,Internet,Customer Service,0
15267,Corporate Counseling Associate/Addictions/Substance Abuse,"US, GA, Atlanta",,,"At the core of ITs challenges is a perennial cycle where the majority of budgets are consumed maintaining existing systems, leaving little to reinvest in growing the business. However, an increasing number of IT organizations leverage cloud to break this cycle and unlock resources to fuel innovation for the business. Cloud computing empowers IT through flexible, automated infrastructures, new on-demand service models and new levels of IT efficiency.",Description Typical duties include:Confirms that assessments and intervention needs are completed within contractual and licensing guidelinesConfirms that all aspects of the program/facility operate in accordance with licensing guidelines to ensure regulatory complianceParticipates with clients in daily programmatic activitiesOversee individual treatment planning processReviews case records for proper documentationEvaluates staff performance The right candidate will have:1 - 3 years of clinical experience in the health and human service profession including 1 year working directly with the chemically dependent,,,0,1,0,,,,,,0
5453,Applications Engineer,"US, IL, Lombard",,,,"Our client is the leader in manufacturing high quality, high capacity equipment in the steel industry. As a growing organization, our client is seeking a talented applications engineer to join their team in the greater chicago area.The applications engineer will work cross functionally, providing technical support for the sales and marketing teams, as well as project support for orders. This person will be responsible for preparing proposals, calculating costs, sizing equpment, and reviewing technical and commercial specifications. Additionally, the applications engineer will work closely with customers to determine the right solution for them, and work with engineers to execute them.There will be some travel for this position as needed to trade shows, industry meetings, and customer sites. ","The ideal candidate will have 2+ years in an applications engineer role out of a manufacturing company. Additionally, all candidates must have a bachelor degree in engineering, as well as excellent organization, communication, and technical documentation skills.","The position offers an excellent salary and benefits package, as well as a relaxed work environment and an opportunity for growth. This is an excellent opportunity for increased responsbility and career building. ",0,0,1,,,,,,0
648,Developer Community Evangelist,"US, CA, Berkeley",,,"Through the Apache Spark platform, we are working to transform large-scale data analysis.","The field engineering team at Databricks is in charge of leading the adoption of Apache Spark and Databricks Cloud.  Our team engages with the developer community to train and evangelize Spark, meets with customers to suggest solutions that they can build with the technology, and sees customers through implementing and troubleshooting production systems.  Every member of our team is expected to become an Apache Spark expert and to be excellent at interacting with Spark users.Apache Spark is one of the fastest growing open source technologies out there, and we are looking for an evangelist to support the existing community and grow it even faster.  You will have responsibility for engaging the community by organizing developer events and promoting our technology through social media channels. You will also help collect and convey feedback from our community to internal product teams and engineers. You must believe in our technology and understand what makes it unique.ResponsibilitiesEngage with conferences, meetups, and other eventsPromote through social media channelsTrack community contributors/speakers/influencersSummarize feedback for product teams and engineeringCreate and extend demo appsHelp develop video/written resources","Outstanding written communication skills (portfolio required)Excellent speaking/presentation skills (video required)Substantial experience interacting with OSS developer communitiesPrior experience in customer-facing rolesOptional: prior experience as an instructor helpsOptional: prior experience as a software engineer helpsFamiliarity with distributed data systems and some subset of these technologies: Scala, Python, Java, SQL, Big Data, Hadoop, Machine Learning, ETL",,0,1,0,,,,,,0
10879,Customer Service Associate ,"US, TN, Franklin",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Franklin, TN. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities include but, are not limited to: production reprographics, print services, mail sorting, mail delivery, meter outgoing USPS mail, and S&amp;R duties, conference room set-up, as well as assisting with receptionist coverage when required.We are looking for team players who are proactive and are willing to do “whatever it takes” to get the job doneIdeal candidate has a “can do” attitudeTake direction from supervisor, site manager, and clientLift large bundles of mail, and overnight packagesCourier Services to multiple USPS offices, bank or other sitesPick-up and deliver mail, parcels, and other items to customers within established timeframes and service standardsOperate postage meter and package delivery systemS&amp;R dutiesHandle time-sensitive and confidential packagesParticipate in cross-training and be flexible to perform varying roles whenever necessaryMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer needs and ensure that any facility need is proactively addressed at all timesMaintain all logs and reporting documentation; attention to detailAdhere to business guidelines including safety and security proceduresProfessional attirePerform other tasks as assigned","Required Qualifications:High school diploma or equivalent (GED) requiredMinimum of one year customer service related experience requiredKeyboarding and windows environment PC skills requiredStrong financial acumen requiredAbility to excel in a fast-paced, multi-tasking, team environmentExcellent communication skills both verbal and writtenAbility to effectively work independently and in a team environmentCompetency in performing multiple functional tasksCreative thinkerOutstanding work ethicTarget-drivenEnthusiastic and passionateHeavy lifting up to 55 poundsStanding for long periods of timeSignificant walkingAbility to meet attendance policyAvailability to work additional hours if neededSuccessful completion of a pre-employment drug screening, employment history check, and criminal background check ",,0,1,0,Full-time,Entry level,High School or equivalent,Insurance,Customer Service,0
5551,"Hiring New and Experienced Truck Drivers - $3,000-10k Sign on bonus - Start Next Week","US, TX, Dallas",Recruiting,,We are a digital marketing agency with an emphasis upon quality development that is developed and sold in a consultative custom manner to fit each and every one of our customers like a glove - every time.,We have solo and teams available.Some OTR and some Regional.Home weekly or longer on the road.$45-60k/year available with benefits.Experienced and New Drivers.Can have you in a truck next week. ,Here's who we are looking for:*** No more than 3 moving violations in the last 3 years.*** No more than 1 preventables in the last 3 years.*** Can work with some criminal history. No drug or violent crimes.*** No DUIs last 5 years,"Full Benefits Package$45-60K/YearAnd Much More!Apply to position, we will contact you back. We will ask you a few qualifying questions, explain the job in more detail and if it's a great fit for both, get you started. When you apply we will get back to you within a few minutes up to 24 hours. If it's on the weekend we will contact you back on Monday.",0,1,1,Full-time,Entry level,High School or equivalent,Transportation/Trucking/Railroad,Distribution,0
6344,Executive Administrator / Office Manager ,"US, MA, Cambridge",,,"Pioneering advanced analytics vendor RapidMiner is redefining how business analysts use Big Data to predict the future. With an open source heritage, RapidMiner is one of todays most widely known and used predictive analytics platforms, providing powerful solutions for a wide variety of industries.","Job Description RapidMiner is seeking an individual for our Cambridge, MA office who is passionate about organization and effective planning in the fast-paced environment of a growing start-up company. The Executive Administrator / Office Manager will partner with the functional areas of the company in order to ensure that all administrative requirements are being met. This role will also serve as an ambassador for the company to all clients, investors and board members. Role and Responsibilities The EA/OM will be responsible for scheduling and calendar management, logistics and event planning, vendor management and additional administrative and support functions. The Executive Assistant provides support in calendar management and travel planning of the senior executives. This position will assist with facilities coordination, office supplies and kitchen management, and the like. The individual in this role will require the ability to take on special projects, as assigned, at times working independently while maintaining a high degree of confidentiality and use of experience / judgment to plan and accomplish goals.  Additional responsibilities may include human resources, facilities management, purchasing, new hire and intern orientation, contracts management, event planning and budgeting.","Qualifications / Requirements The ideal candidate will have experience in a busy administrative role, have a flexible attitude, understand confidentiality and discretion, exercise good judgment in a variety of situations, be comfortable with multitasking and thrive in a high energy entrepreneurial culture. This person must be able to fully engage in the teams activities, anticipate needs and help create solutions. As an employee within a small company, you must be willing to wear many hats and change gears frequently. In addition, the individual must have the following:Associates Degree4+ years of executive administrative experience supporting senior level executives and working as an office managerExcellent spoken and written communication skills, possessing a positive attitude and a highly motivated personalityAbility to work independently on projects and tasksManage and execute multiple workflows and projects going on at the same time.Exceptional attention to detail as to not miss deadlines, accurately execute tasks and follow-through with commitments / obligationsProven experience with Microsoft Office (Word, Excel, and PowerPoint)Be open and welcome challenge to take on any task  no job too small, no task too big  for the success of the companyProvide a receptive line of communication between customers, staff and senior management, by receiving and resolving telephone calls from enquiries to urgent issues from senior Company executivesSchedule meetings, maintain daily schedules, and coordinate with cross-organizational Management and/or administrators on operation, program and project issues as necessaryExecute all time-sensitive demands associated with dissemination of critical company news to key constituencies, including employees, analysts, customers and Board membersClearly understand and maintain full discretion and confidentiality with information.",RapidMiner is an Equal Opportunity Employer.We offer competitive salaries and excellent benefits.,0,1,1,Full-time,,Associate Degree,Information Technology and Services,Administrative,0
11755,UST Testing Technician,"US, CA, Bakersfield, CA",Oil & Energy,70000-80000,"                                                                                 Staffing &amp; Recruiting done right for the Oil &amp; Energy Industry!Represented candidates are automatically granted the following perks: Expert negotiations on your behalf, maximizing your compensation package and implimenting ongoing increases Significant signing bonus by Refined Resources (in addition to any potential signing bonuses our client companies offer)1 Year access to AnyPerk: significant corporate discounts on cell phones, event tickets, house cleaning and everything inbetween.  You'll save thousands on daily expenditures Professional Relocation Services for out of town candidates* All candidates are encouraged to participate in our Referral Bonus Program ranging anywhere from $500 - $1,000 for all successfully hired candidates... referred directly to the Refined Resources teamPlease submit referrals via online Referral FormThank you and we look forward to working with you soon!  [ Click to enlarge Image ]","   UST Testing Technician | Bakersfield, CALocal Petroleum Company is seeking an experienced Technician to perform general maintenance in confined spaces at our gas stations.   About the Position:Seeking a dynamic individual for our fast paced environment. Ideal candidates will have general maintenance experience at gas station working on the pumps and underground storage tanks.Primary Responsibilities:Working at gas stationsWilling to travelBe able to work in confined spacesGeneral maintenance experienceMust possess valid drivers license and clean DMVBe able to assist other 989/UST Testing Technicians with unexpected situations","Qualifications:Availability to work overtime, and out of town at times.Geographical knowledge of Bakersfield and surrounding areas.Two years of prior industry-experience Relevant certifications","Competitive compensation package including base + full benefits package + expense account + per diem and increase in base + many perks.Clean, safe and professional working environment / culture.  The management team has a great reputation for taking good care of employees.  Steady, consistent employment opportunity- company has a history and reputation for the tenure of employees for the long-term. No layoffs or terminatins. When work is slow the team always has work to keep current employees on a full-time status so you can feel confident your employment will be steady and something you can count on. If you like to travel and enjoy your indipendence, this position is for you. You will be traveling to multiple sites on overnight visits throughout the week and be working mostly indipendently. The position is available right away as we are prepared to move forward fairly quickly upon identifying the right candidate.  Qualified candidates please apply for immediate consideration.  Darren Lawson | VP of Recruiting | #EMAIL_395225df8eed70288fc67310349d63d49d5f2ca6bc14dbb5dcbf9296069ad88c#  ",0,1,1,Full-time,Mid-Senior level,Certification,Oil & Energy,Other,1
11777,Senior Territory Manager,"US, FL, Florida",,,"Recombine provides clinical genetic testing. CarrierMap, the first product created at Recombine, is the most comprehensive, cost-effective clinical genetic test, currently being provided to thousands of patients around the world through our network of physicians. Our company was founded by experts in fertility, clinical genetics, bioinformatics and computer science brought together with one goal in mind: to improve health outcomes based on actionable and responsible genetic testing.","Recombine is advancing personalized medicine by translating developments from the fields of genomics and computer science into cost-effective, cutting-edge genetic diagnostics. We develop new products in a way that is ethically upstanding, medically meaningful, clinically actionable, and practically useful for patients and providers. Our sales team is looking to add the talent of a Senior Territory Manager to help develop and nurture close, productive, and collaborative relationships with fertility clinics and Ob/Gyn offices around the country.What You Will Be DoingResponsible for bringing on new clients and following up on all potential leads. This entails providing potential clients with information about our test and services and answering questions from physicians and nursing staff. Participate in high-level product design &amp; implementation of sales strategyNurture existent base of business while help grow into new areasParticipate and contribute to an environment where collaboration &amp; cross-over of domain expertise (genomics, computer science, medicine, data-science, finance, clinical genetics) helps to drive an industry forward to improve healthcare","3-5+ years experience working in a national or international sales force.  Successful employment with a medical diagnostics company a plus, however proven success with other companies in the REI/Fertility space will be strongly consideredExpertise in the IVF/REI space a critical success factorProven closer with track record of successProven success with not only acquiring new business, but growing and maintaining existing business just as criticalProven self starter and ability to think on your feetCompetitive, passionate and positive driveExcellent Written, Verbal and Phone Communication SkillsTech Savvy: Microsoft Office Suite (Excel, Word, Powerpoint), Google Apps (Docs, Spreadsheets, Presentations), and CRM ToolsAbility to Identify Goals, Meet Deadlines, and Contribute in a Fast-Paced, Startup EnvironmentExcited to be a part of a fast-growing team of passionate individualsNot just open to, but passionate about innovation and change. This applies not only to our R&amp;D but to the way we operate.","Participate and contribute to an environment where collaboration &amp; cross-over of domain expertise (genomics, computer science, medicine, data-science, finance, clinical genetics) helps to drive an industry forward to improve healthcare.Comprehensive benefits package including health insurance and matching 401(k).Group outings, lunches, happy hours and co-workers who inspire you every day.",0,1,0,Full-time,Associate,Bachelor's Degree,Biotechnology,Sales,0
17774,Receptionist/ Admin,"US, NY, New York",,0-0,,"We have an immediate opening for a receptionist/admin with 1-2 years of experience preferably in the construction industry. We are looking for an individual who is energetic, enthusiastic and has great communication skills who will fit well in our office. Duties include answering the phone, scheduling, insurance requests, pre-liens &amp; releases, job numbers, entering purchase orders and other miscellaneous office duties. Spanish speaking is a plus. ",,,0,0,0,Full-time,Entry level,Certification,Accounting,Administrative,1
12290,Assistant Personal Chef,"US, TX, Houston",,,"Maria's Gourmet Kitchen is a personal chef sharing startup founded in Houston, TX by Executive Chef Maria Bedrosian and backed by Internet Entrepreneur &amp; Angel Investor Bedros Bedrosian. The rise of the Internet sharing economy now empowers us with more choices on where to stay (airbnb), how to get from point a to point b (relayrides) and with the advent of MGK, how we'll make dinner tonight.We're looking for exceptional individuals to work with us to make our vision a reality. If you're ambitious, talented and bring culinary, business or technical experience, we're interested in meeting you! ","Maria's Gourmet Kitchen, Houston's first of its kind personal chef sharing startup, is looking for a passionate and energetic Assistant Personal Chef to work under the direction of Executive Chef Maria Bedrosian in a fast paced environment. As an assistant personal chef your responsibilities will cover typical kitchen / cook duties including but not limited to food preparation, new recipe creation, food packaging and customer home delivery. This is a full time, entry level position working Monday through Friday from 7:30 am to 4:30 pm. Kitchen experience a plus and a culinary degree is preferred. This is a great opportunity for a recent graduate of a culinary school to apprentice under Executive Chef Maria Bedrosian who trained in Paris, France. All applicants must pass background and drug checks. *** This Position Has Physical Demands ***Most work tasks are performed indoors.  Temperature is moderate and controlled however must be able to work in extreme temperatures like freezers (-10°F) and kitchens (+110°F)Must be able to stand and exert well-paced mobility for up to 4 hours in length.Must have the ability to bend, squat and lift","Kitchen experience a plusCulinary degree is preferredFriendly, personable and customer orientedValid drivers license requiredBackground and drug screening required.",,0,1,1,Full-time,Entry level,,Food Production,,0
15014,"Product Director, Seller Platform","GB, , ",Product Management,,,"Do you possess drive, have experience in product management and would like to work in an international and rapidly growing company? Then we have the job for you!We are looking for a proactive and committed Product Director who will have the end-to-end responsibility for our Seller Platform. Adform offers a challenging and inspiring working environment and the opportunity to be a key person in the companys future growth. We need a highly motivated, enthusiastic person who is passionate about the online advertising tech industry and would like to significantly increase the value of our Seller Platform products.The jobWith reference to the CPO the Product Director is responsible for the product planning and execution throughout the product life cycle, including: gathering and prioritizing product and customer requirements, defining the product vision, and working closely with engineering, sales, marketing and client support to ensure revenue and customer satisfaction goals are met. The Product Director's job also includes ensuring that the product supports the company's overall strategy and goals.Key responsibilitiesBuild Seller Platform product strategyWork closely with Management, Sales and Marketing teams on positioning, key messages, sales tools, marketing plans, and other required support to meet Seller Platform objectivesContinuously analyse the market situation and maintain product road mapsDetermine needs, develop high level product requirements and define go-to-market strategiesResponsibility for the customer experience and usability of the productActing as an agent between the business and the technology teamEstablishing direct customer relationships and mechanisms to deliver ongoing feedbackManage partner relationships","We hope you are/you haveProven track record with online product management (if not, a highly relevant skill set)Experience with SSP's and Publisher Ad Serving platforms would be a great advantageA passion for web-based software products and user experienceExperience of market and competitor analysis, customer segmentation, proposition creation, development of product-level strategyPassion for being part of a high growth businessA „can-do“ mentality demonstrating a real passion for making things happen, combined with a flexible approach, reflecting the dynamic nature of the role and the businessAbility to document high-level requirements, analysis and definition for internal and third party specificationsKnowledge of the European Seller Platform landscapeDetail-oriented, metric and results drivenA strong team-playerProactive, self-starting and drivenFluent written and spoken EnglishPreferably you will have a combination of business and technical skills, you possess the ability to analyze the market and understand customer needs whilst also working with the development team to manage the road map and ensure delivery.",We offerAbility to build exceptional products in a fast growing companyDynamic and inspiring working environment Opportunity to work with state-of-the-art development technologies and teamsGreat opportunities to grow professionally,0,1,0,Full-time,Director,,Online Media,Product Management,0
1970,Car Sales Executive ,"GB, ABD, Aberdeen",Sales,45000-50000,"Aspex RecruitmentWe are a Fixed Fee Recruitment Company providing expert employment services throughout the UK. Being an independent we are able to tailor our services to our clients and candidates requirements. Delivering the highest level of personalised service within the permanent staffing arena.  Aspex Recruitment endeavour to provide a concise and professional recruitment service to each individual client, focusing on offering the best client and candidate experience within the recruitment and job hunting marketplaceCandidatesInclude helpful advice and guidance on how to perfect your CV.From clients and business partners we take full job specifications, including essential skills and requirements relating to your experience, desirable skills, education and qualifications along with the ideal candidate personality to match the company culture and environment. We will provide you with career guidance and support.We strive to ensure complete Client/Candidate satisfaction, through our ongoing involvement at every stage.ClientsWe understand our clients needs go beyond the simple fulfilment of a vacancy. As an independent agency, we can be more flexible and tailor our recruitment services to the clients individual needs. We approach every vacancy as a truly constructive resourcing partner, providing professional advice and resource planning to ensure your recruitment process marks the beginning of a successful relationship.We have an impressive database of candidates on standby for interviews and ready for immediate starts.","Car Sales Executive Aberdeen OTE £45k - £50k + Company CarA vibrant automotive retailer with a fresh  and dynamic approach to the car sales arena, are currently seeking two car sales professionals to complement to their successful sales team.Due to recent expansion and the acquisition of a new state of the art dealership, we are looking to recruit experienced Sales Executives to work for a premium car brand out of AberdeenJob DescriptionActively selling new and used cars and appraising trade ins;Meeting and exceeding sales targetsProgress chasingProspecting new salesMeeting and greeting customersMaintaining excellent customer service standards",Car Sales ExperienceMotor Trade SalesNew Car SalesUsed Car Sales,"Basic SalaryOTE £45,000 - £50,000Company CarImpressive Dealership30 Days HolidaysSuperb benefits packageManufacturer Training ",0,1,1,Full-time,,,Automotive,Sales,0
5487,Customer Service Rep,"US, NC, Durham",Customer Service,,At Command we care enough to consistently place the right candidates in the right jobs. We have more than 50 locally-managed branches throughout the United States that serve as trusted partners to businesses and job seekers. ,At Command we care enough to consistently place the right candidates in the right jobs. We have more than 50 locally-managed branches throughout the United States that serve as trusted partners to businesses and job seekers.,"Responsibilities:Determines requirements by working with customers.Answers inquiries by clarifying desired information; researching, locating, and providing information.Maintains call center database by entering information.Keeps equipment operational by following established procedures; reporting malfunctions.Updates job knowledge by participating in educational opportunities.Qualifications:High school diploma or equivalentData entry skillsPrevious customer service or sales experience.Ability to multi-task and resolve problems quickly.Positive attitude and disciplined work ethic",15.25/hr (non-negotiable)Medical/dental coverage(13) Paid vacation and personal daysTuition reimbursement (after 6-months),0,1,1,,,,,,0
11692,Senior Frontend Developer,"GR, I, Athens",Tech,,"incrediblue is busting the myth that boating is only for the rich and famous by enabling any traveller to book an amazing holiday on a boat. As it happened in lodging and in transportation, incrediblue is changing the travel industry again, by introducing a whole new travel category; boating. Boating is the ultimate vacation with all the comforts of being at home while you are exploring new destinations.We are an innovation driven, committed and fun to be around team, where every contribution, no matter how little or big, counts. So if you're a passionate professional with solid experience who wants to work in a world-class internet company, you are in the right place. As with all incrediblue team members, were looking for someone who takes pride in their entrepreneurial spirit, discipline, proactivity, guerilla mindset and great teamwork skills. Thats us!","Incrediblue is busting the myth that boating is only for the rich and famous by enabling any traveller to book an amazing holiday on a boat. As it happened in lodging and in transportation, Incrediblue is changing the travel industry again, by introducing a whole new travel category; boating. Boating is the ultimate vacation with all the comforts of being at home while you are exploring new destinations.Were looking for ambitious developers to join our world-class team in what is becoming one of the worlds most innovative travel brands. We are an innovation driven, committed and fun to be around team, where every contribution, no matter how little or big, counts. With growth comes great responsibility, so if you're an exceptionally good developer who wants to work in a world-class internet company, you are in the right place. As with all incrediblue team members, were looking for someone who takes pride in their entrepreneurial spirit, discipline, proactivity, guerilla mindset and great teamwork skills. Thats us!Our technology stack consists of Ruby on Rails, PostgreSQL and Redis running on Heroku and Amazon Web Services. We are strong believers in Test-driven development and Agile and we practice them every day.If you are a passionate front-end web developer who believes in our mission and have extensive experience in HTML, CSS and Javascript, then you are the person we are looking for! We invest heavily in training junior developers and re-training experienced ones in the technologies we use.","Mastery of HTML5, CSS and Javascript.Experience with front-end frameworks like Bootstrap.Experience with cross-browser and platform support, including mobile devices.Extensive experience working and collaborating with Designers in helping them iterate and then implement the final designs.Experience with responsive design, jQuery mobile, or/and other approaches to designing for mobile users.An eye for typography, whitespace, and other details that occur at the intersection of design and code.Bonus skills:Client-side Javascript MV* frameworksSASSHAML","Benefits include:Apple workstationSoftware and tools of your choiceAttendance expenses paid for one developer conference or workshop of your choice per yearCompetitive salaryAccess to our stock option planPrivate Medical InsuranceDaily, fresh, Greek lunch (we order through our own app!)Unlimited caffeine is on the house (Nespresso and more)Weekly social events &amp; video game tournamentsEmployee travel credits (on a boat of course!)Relocation packageBoating license course",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Engineering,0
5526,Cable Tech ,"US, CA, Los Angeles",,,Tel Tech Networks is a Voice/Data/Video/Security systems contractor operating primarily in the Southwestern United States.  We are a telecommunications firm dedicated to exceeding costumer expectations through critical infrastructure installation and leading technology integration,"Job Description:Installs, troubleshoots, repairs, maintains and services Voice/Data/Video telecommunications cabling systems, equipment., and related equipment. Relies on advanced knowledge and experience to plan, develop, and accomplish goals. Performs a variety of complicated tasks. May lead and/or direct others. Strong knowledge of the telecommunications industry concepts, practices, and procedures. A wide degree of creativity and latitude is expected.  Reports to a Project Lead or Project Manager.Essential Functions:* Installs, terminates, splices, and tests telecommunications cables (Category 5, 5e, 6, 6a, Coax, and Fiber Optics) indoors or outdoors in new construction and/or pre-existing facilities.* Installs all components of a Voice/Data structured cabling system (racks, trays, patch panels, switches, UPS, wire managers, servers, etc.)* Conducts job walks and provide actionable reports.* Researches hardware and software applications and processes related to telecommunications for the purpose of providing recommendations and solutions to customers.* Provides accurate, clear, and concise documentation with all cabling test results. * Uses industry specific tools in accordance with safety guidelines, including but not limited to: screwdrivers, pliers, crimps, strip tool, punch down tool, drill, hammer, ladder, toners and testers.* Works in narrow spaces such as crawl spaces or attics.* Drives a vehicle in performance of duties in a safe and responsible manner.* Adheres to safety policies and procedures at all times.* Travel long distances with occasional out of state and overnight stays.* Works a variety of shifts including evenings, weekends, graveyard.* Maintains excellent relationships will all customers and vendors at all timesBICSI and Safety certifications preferred.   ","Must be able to install and terminate telecommunications cables (Category 5, 5e, 6, 6a, Coax) indoors or outdoors in new construction and/or pre-existing facilities. Must be willing to work rotating shift schedule.                                         Must provide own tools.Must possess valid CA driver's license. Position requires clean driving record, pre-employment drug testing, and successful background check.   Requires occasional lifting up to 75 pounds.   ",,0,1,0,Full-time,Not Applicable,,Construction,,0
7167,Professional Makeup Artist and Hair Stylist,"US, NY, Manhattan",,,,"Glossifly is Hiring! Glossifly is looking for Exceptionally Qualified Makeup Artists, Hair Stylists, Estheticians, and Massage Therapists.About Glossifly:Glossifly #URL_40a1e94716225979a6d83d3ad32fd028d99ec9da65a795367a2f050bd1fb05e7# brings gloss on the fly, whether it is makeup, a blowout, a facial, or a soothing massage. A womans smile shines brighter when there is a touch of gloss added. We are committed to bringing beauty on-demand in the comfort of your own home, hotel room, office, party, before an interview, a photoshoot, or any location of your choice. Highly qualified Glossiflyists will cater to you and glossifly you whenever and wherever you are. Theres no need to drive to a spa or hair salon and deal with the dreaded wait time. All you need to do is download the app or set up an appointment online. You then wait to be glammed, dolled up, and luxuriously pampered by one of our qualified Glossiflyists.Why Work at Glossifly?Flexibility! You can work as few or as many hours as you want. You set your own hours. You can be a freelancer or already work at a prestigious salon or spa. Many of our Glossiflyists are already working at renowned spas and provide at-home beauty services to clients.Be a Leader of the mobile movement! Everyone enjoys the convenience of mobile these days and beauty on-demand is absolutely essential. You will be the forerunner of the movement by providing on-demand beauty services to clients wherever, whenever.Excellent Pay! Make anywhere from $32/hour to $88/hour!  We are high in-demand providing exceptional beauty services. You wont worry about being under booked.Rewarding! Beautifying and pampering clients will enable you to utilize your exceptional skills and truly make your clients feel better about themselves!No day is ever the same! Whether you are providing a soothing massage at a clients hotel room, or a blowout at a clients home, photo shoot, bachelorette party, or before an office interview, you will always be enriched with new sights and experiences!Requirements:All candidates must possess an esthetician license, stylist license, cosmetology license, or massage therapy license in their designated state. Candidates must pass a stringent background check to ensure there is no criminal history. Candidates must be upbeat, bright, and have a cheerful personality. They must be well-groomed and presentable at all times. Punctuality and reliability is required. They must also have excellent communication skills and cater to their clients needs at all times. It is essential for candidates to have reliable transportation as they will be required to serve clients within a 15 mile radius of their residence.Job Duties:Makeup Artist:Makeup Artist is required to apply makeup on clients and create various looks that enhance each clients individual features. Makeup Artist must supply their own makeup products and tools.Hair Stylist:Hair Stylist is required to perform blowouts for clients and create versatile looks. Hair Stylist must provide their own blow dryer and styling tools.Esthetician:Esthetician is required to provide facials to clients and must supply their own facial products.Massage Therapist:Massage Therapist is required to perform soothing massage strokes to clients and create an overall relaxing environment. Massage Therapist must provide their own massage table. ",,,0,0,1,Other,,,,,0
9144,English Teacher Abroad (Conversational),"US, ND, Grand Forks",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500+ monthly ($200 Cost of living)Housing providedAirfare providedExcellent for student loans/credit cards","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferred, but not necessaryCanada/US passport holders only",See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,Education,0
11580,English Teacher Abroad ,"US, CT, New Britain",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
12121,English Teacher Abroad ,"US, IL, Peoria",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
7736,English Teacher Abroad (Conversational),"US, TX, Garland",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it.Vacancies in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins (#URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7#)#URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#We are looking for friendly people. If you do not plan to take part in a 3-5 minute interview, kindly do not waste your time applying :-)","University degree required. TEFL / TESOL / CELTA, and/or teaching experience preferredCanada/US passport holders only",See job description,0,1,1,Contract,Entry level,Bachelor's Degree,Education Management,Education,0
5812,Account Manager ,"US, CA, Burbank",Connectivity,,"StartupTAP is not a conventional recruiting agency.  We're true Talent Acquisition Partners.We stand behind the belief that talent is the magical ingredient that fuels innovative ideas and builds successful startups. A great idea can only go so far without the right mix of talented, driven people working vigorously toward the same goals. We dont just provide resumes and candidates; well be your Talent Acquisition Partners in crime, doing all the dirty work to find those rare gems, the people that have that unique blend of talent, drive and passion that will bring fresh energy, ability and ideas to your team. So, while youre busy changing the world, let us be your Talent Acquisition Partners, its what we do best.","THE COMPANY: CONNECTIVITY (#URL_1fddf30a8cda1e29d42a2add75f99d2c0cbda4e798c9de439800ef27d307e955#)The front door to most businesses is online.  Connectivity allows business owners know every first impression.  We are passionate about providing customer intelligence solutions to business owners.  Connectivity is a venture backed technology company rapidly growing… We think big and move fast.  Please visit #URL_1fddf30a8cda1e29d42a2add75f99d2c0cbda4e798c9de439800ef27d307e955# and learn more about us.Proven leadership team with extensive startup experience.Currently has 92,000+ paying customers on their SaaS platform and growing.Raised $6.35M in a Series A funding round led by proven venture capital investors and angels including:Proven venture capital firms, Greycroft Partners (#URL_e92f987773d20ba70d8539741ac1f9e142610a3a12331737e85f0700395bbc90#) Rincon Ventures (#URL_f7f868790176237852cc0f3074e41e211c3d7742082c0e8a4621269786a55a65#) Daher Capital (#URL_f31cd231df8a07ac7db3f10f35e02185990af8490ab3102628cd870d073671b8#) Double M Partners (#URL_a6f4784a8d2cf3465d52ecd2c7bbe998421c215299e46ae85c16d4375d11c289#)TenOneTen Ventures (#URL_10907ca068f4b651c315af4a1cc391400769b3ebd69cd834f8c3974aa67e39f7#) Eytan Elbaz (#URL_affdeedbfaf2b202ec2d696d87161f266be36f3da8ecf80dd67cdac1f4ba9738#) Team of 24+ professionals with plans to grow to 60+ by end of year.THE OPPORTUNITY: ACCOUNT MANAGERConnectivity is looking for a customer service oriented professional to maintain and manage quality relationships with current Connectivity clients. This person will act as a liaison between the client success and sales teams within Connectivity; and work to ensure satisfaction as well as solidifying our revenue streams through the upselling of new features, services and promotions.Specifically…Maintain day to day relationships with our clients, ensuring satisfaction while maximizing revenue opportunities on key accountsBe the point person for client communication and contribute directly to the results of the sales teamServe as the “problem-finder-in chief” for Connectivitys most valuable relationshipsDifferentiate between technical related tasks and sales related tasks, and assign tasks to the Customer Service and Onboarding team respectively Responsible for the retention of high revenue accounts and consistent high touch account management with your current client baseServe as a campaign strategist on how to approach each account with maximum revenue generation in mind","REQUIREMENTS/QUALIFICATIONS/ATTRIBUTESEDUCATION: BA/BS preferred or equivalent experienceEXPERIENCE: 4+ years of experience in an account/relationship management capacity, including 2+ years experience working in a business focused on selling &amp; delivering digital marketing servicesStrong customer orientation  promoting customer satisfaction, resolution and loyalty Experience with #URL_8d92932a488fb7e172d73a0f6813d06d464f1f03705d2825f86b2c7947d60a86# highly preferredSuperior organizational and project management skillsExcellent communication skills (both written and verbal)Ability to effectively gather data and prepare client-facing materials (i.e account analysis, presentations, etc)Highly motivated, driven and ambitious to drive salesForward thinker with the ability to creatively navigate and manage multiple tasks at onceEnergetic and a great team playerAbove all, ethical, fair and of high integrity","WHY CONNECTIVITY?Competitive salary + very generous benefits + equity/upside.You will join a very well-funded, profitable startup that is building industry leading customer insight and intelligence technology.Because we are a fun, fast-paced, entrepreneurial company with that environment that empowers you to drive results.Brand new 14,000 sq. ft. office in downtown Burbank.Enjoy catered lunches, daily!",0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Computer Software,Sales,0
17184,Solutions Engineer,"US, CA, Bay Area",Engineering,,"At Cedexis, were passionate about solutions for a faster web and we need people to bring our message to the world.The Internet can be a messy place. Cedexis makes it much easier for companies to evaluate and make decisions on how they serve content to their customers. Today, over 250 media, retail, luxury and consumer brands count on Cedexis for 100% availability, optimal web performance, flexibility and choice that drives traffic and revenue at lower cost and risk. Our customers include Mozilla, Microsoft, NBC, Lacoste, and Volkswagen.Founded in 2009, were focused innovators at the core of global expansion strategies. Were committed to making the web and mobile user experiences equally fast and robust for each and every user on the planet.","The PositionThe Solutions Engineer (SE) is a customer-focused technical sales professional who provides high level support and guidance to customers and prospects. The SE collaborates with sales and partners and is looked to as an expert in her/his field by the account team, channel partners and customers. The successful candidate will be required to work closely with direct sales on large and complex accounts in order to educate prospective customers about Cedexiss services.This includes conference calls, site visits, presentations, technical evaluations, technical objection handling, &amp; follow up on all customer related issues. The solutions engineer is a consultant who designs, develops and delivers technical solutions to the business requirements that are uncovered during the presales process. The ideal candidate would be based near the east coast of the United States though an exceptionally strong candidate from anywhere in North America will be considered.About CedexisAt Cedexis, were passionate about solutions for a faster web and we need people to bring our message to the world.The Internet can be a messy place. Cedexis makes it much easier for companies to evaluate and make decisions on how they serve content to their customers. Today, over 500 media, retail, luxury and consumer brands count on Cedexis for 100% availability, optimal web performance, flexibility and choice that drives traffic and revenue at lower cost and risk. Our customers include Mozilla, Microsoft, NBC, Lacoste, and Volkswagen.Founded in 2009, were focused innovators at the core of global expansion strategies. Were committed to making the web and mobile user experiences equally fast and robust for each and every user on the planet.","ResponsibilitiesThese are the sorts of things you'll be working on.Provide pre-sales assistance for sales opportunities to customers and partners including explaining the Cedexis technology stack, GSLB solution design and troubleshooting customer configurations.Refine and research technical requirements of the opportunity.Design solution options in the context of customer workflows and articulate the benefits of Cedexis products.Act as an interface between the Sales organization and Engineering to resolve outstanding issues and/or develop new feature requirements.Provide reporting and feedback on customer accounts and field activities to Sales, Product Management and Engineering.Work closely with customers to support the design and implementation/integration of Cedexis products.Understand the customers workflows and business drivers and how to map these to a solutions Cedexis can offer.Support existing customers.Proudly represent Cedexis at industry gatherings and conferences.Desired SkillsBelow are the skills required for this position. Please review them carefully.Bachelor or Masters Degree in Computer Science, Computer Information Systems or equivalent work experience.2 plus years pre-sales experience with an emphasis on CDN or DNS solution engineering.Strong leadership skills with the ability to prioritize and execute in a methodical and disciplined manner. Set and manage expectations with sales people, customers and prospects.Exceptional English language written and oral communication skills. This role requires an ability to understand and articulate both the business benefits (value proposition) and technical advantages of Cedexis products and solutions.Demonstrable ability to write and maintain your own scripts in any standard scripting language like PHP, Perl, Python or JavaScript.Working, to excellent, knowledge of DNS, GSLB, CDN, Cloud and related technologies, specifically: Knowledge of how DNS is resolved and how DNS can be used in load balancing.Working, to excellent, knowledge of HTTP, TCP/IP and Internet Delivery.Ability to explain basic to Internet concepts to a non-technical audience.Ability to set up a caching CDN configuration for a basic web site.Some overnight travel is required.",Competitive compensation401kMedical &amp; dental coverageUnlimited vacation policy (not a typo),1,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Internet,Engineering,0
7506,CW5 6PY Manufacturing Apprenticeship Under NAS 16-18 Year Olds Only,"GB, CHW, Nantwich",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government  is only available for 16-18 year olds only.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Manufacturing. During the first 12 months you will work towards a Level 2 Manufacturing NVQ and then be kept on in a permanent position.You will be working for a horsebox manufacturing company and the role will involve:-Sanding down horseboxes-Spraying the vehicles-Cleaning the vehicles-Measuring and cutting aluminiumIdeal candidates will be able to work in a team and will have good timekeeping skills.If you are motivated and career minded please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Manufacturing,0
14764,Finance & Administration Manager (CIMA),"GB, NYK, Harrogate ",,35000-35000,"Take Out Brands is a food franchise business with a #URL_4524df5c91ce800c4ba16ef1406d622db7d51d37105a7fcee27521e61854615e# difference being we want you to genuinely enjoy your workiing experience with us. We hire cheerful, honest and hard-working people - and then treat them well - offering the chance to learn and develop wherever possible.With 7 franchises already live, this young business is run by people you can trust. Our focus currently is on Papa John's - one of the largest pizza companies in the world, with more than 4,300 stores worldwide delivering: Better Ingredients, Better Pizza.Rapidly growing in the UK, there are now over 200 Papa Johns outlets in the UK - with Take Out Brands Ltd already operating stores in Aberdeen, Durham, Harrogate, Leeds and Liverpool. And with up to 30 more planned across the North of England and Scotland in the next 2 years,there'll be plenty of opportunity for career progression along the way. The business is headed up by ex British Army Major Dan Wilkinson, who lives with his young family in Yorkshire. ""This is a people business - and though we love selling worlld class pizza, building a team of good people is crucial to our success. We are keen to support all of our staff well, so they can provide great service to our customers.""  Here's Dan celebrating the recent opening of our Harrogate Papa John's franchise with the local mayor. ","Take Out Brands Ltd is an owner-managed food retail franchise business, geared for rapid growth with the support of external investors. This expansion now brings a new opportunity for a technically proficient CIMA qualified finance professional to join the management team. Well be looking for you to take on the day-to-day management of the finance department including management reporting, budgeting, payroll, team management and ad hoc analysis You'll also be very much involved in improving what we have - bringing your experience to bear in enhancing processes, best practice and robust financial controls. The role reports to the FD, whilst you will oversee 2 part-time support staff - helping ensure accounts payable, payroll etc remain accurate and timely. To do this you'll have real understanding of the key financial statements, management accounting, cash and stock management in what is a high-paced food retail environment. Key accountabilities Providing monthly management accounts and commentary in a timely mannerEnsuring all financial responsibilities are carried out accurately and in timely manner according to the finance timetable including; balance sheet reconciliation &amp; P&amp;L analysis, VAT returns, cash management, purchase ledger, payroll, timesheets and expensesEnsuring accuracy of company and store P&amp;Ls and company balance sheets (currently 4 companies) for presentation to senior managementCreating store budgets, variance reporting and cashflow forecastingEnsuring the company complies with accounting regulatory and legal requirements in respect of HMRCPreparation of staff payroll(s) and submissions to HMRCManaging HR administration; offer letters, contracts and creating new starters on the payroll systemImplementing robust financial controls and ensuring including development of existing systems to streamline processes and improve efficiencyProviding ad hoc financial support to the Operations DirectorManagement and development of 2 part-time book-keepers","Two years' post-qual CIMAPeople management experienceTrack record of managing main functions within a finance departmentTrack record of producing management accounts and ideally commentariesExperience of payroll management, invoice processing, prepayments, accruals, VAT returnsExcellent understanding of the balances sheet and reconciliationsBudgeting/forecasting experience (including cashflow forecasting)Experience of working in companies with a turnover over £2m +Finance systems and payroll systems experienceAbility to write effective business correspondence and reportsIT literacy - including strong Exel skills Willingness to be ""nimble"", felxible and open to supporting the wider business.   You will need to be:  A self-starter  can use own initiativeA good communicator  able to liaise with all levels of staff, external suppliers, store managers and retail operationsA strong manager with good motivational skills, including the ability to self motivateCommercially astute - with the confidence to provide financial support to internal stakeholders when requiredAble to work to tight deadlines and prioritise own workloadPractical with a common sense approach - and focussed on positive solutions to issues",28 days' holidayPossibility of performance bonus Chance to develop with a growing business in a growth sector. ,0,1,0,Full-time,Mid-Senior level,Professional,Food & Beverages,Accounting/Auditing,0
11161,Customer Service Associate ,"US, AZ, Phoenix",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in Phoenix, AZ. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities include, but are not limited to:Greet visitors, answer phones, and direct calls appropriatelyBe well spoken, clear and patient with all interactionsHandle time-sensitive and confidential materialPerform duties and special requests as assigned by management and clientServe as focal point for communication with customer and company personnelEnsure operating and quality standards are met based on service objectivesMaintain accuracy of required reports, logs and measurementsEnsure the highest levels of customer careEnsure adherence to business guidelines, safety &amp; security proceduresSupport financial results by minimizing site waste and reworkDressing in professional attirePerform other tasks as assigned","Required Qualifications:Experience working in a call center requiredHigh school diploma or equivalent (GED) requiredMinimum of 6 month customer service related experience requiredKeyboarding and windows environment PC skills  - MS Office Suite preferredDetail orientedExcellent communication skills both verbal and writtenAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policyLifting up to 50 pounds with or without accommodationAbility to communicate both verbally and written with customers and company personnel requiredAbility to walk, sit, stand or sit for long periods (possibly entire shift)Willingness to submit to a pre-employment drug screening and criminal background check",,0,1,0,Full-time,Entry level,High School or equivalent,Financial Services,Customer Service,0
4210,Web Developer - JavaScript | PHP,"US, CA, San Diego",,,"PINT is a leader in the web industry with 20 years of web design, software development and consulting experience. PINT's principals are also the founders of two software companies - ZingChart and Port80 Software.We not only build sites and applications for leading organizations in California, the U.S. and worldwide, but help move the industry forward with our books, instruction at universities, and conference participation.PINT's CultureFrom keyboard-bashing coders to creative, caffeine-driven web aficionados, at PINT not a day goes by in which our employees aren't learning, teaching, and continually being technically and professionally challenged.The amount of experience and knowledge your co-workers share is often a crash course in advanced web development and software engineering principles. Conference room walls tiled with post-it note mosaics of user interview questions and printed web dev decoupage throughout the office poise PINT on the bleeding edge...exactly where we want to be.Foodie focused Friday mornings are often highly anticipated and enjoyed among the members of the weekly PINT Breakfast Club.","PINT is seeking a Web Developer with JavaScript and/or PHP programming experience. As a developer at PINT you will be a part of a team of industry veterans developing sites, building custom content management system modules and performing maintenance tasks on a variety of over 100 client web properties in several industries. The successful candidate is someone who is passionate about developing the best possible technical solutions for our clients and for the web.Responsibilities:Work independently and as part of an internal team to build and help create solutions to implement existing and new web applicationsCommunicate status, problems, resolutions, etc. on projects and assignmentsEstimate and set expectations for scope and completion of programming tasks and projectsProvide support, maintenance, and bug fixes on existing and new web applicationsHelp brainstorm new ideas and features for sites and applicationsStay current on industry progression and standards","BS and/or Masters in CS, Math or Cognitive Sciences or equivalent work experienceMinimum 2 years related experienceStrong JavaScript skills (AngularJS, jQuery, #URL_a58bd7bd48420a1f4774598bc5f1451bdcc79baee91a357c1d69e8aede501d73#, are a plus)Some PHP and/or SQL familiarityCMS (proprietary, commercial, open source) back-end customization a plusExperience/Understanding of Software Engineering PracticesStrong understanding of web application UI principles","PINT offers industry-competitive salary, health, dental and 401(k) benefits.  PINT is an Equal Employment Opportunity Employer.",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Engineering,0
16516,Visual UI Designer,"IN, KA, Bangalore",IT,,"47Billion is a Product engineering and Experience design company that delivers state-of-the-art solutions. We provide end-to-end solutions starting from user experience, design, architecting, development, testing, deployment and scaling. We are present in Bengaluru, Indore and Hyderabad. We have strategic partnerships with various budding startups and established firms in the US, Europe and India. Apart from helping our partners, we are also developing a product that will disrupt the way people engage with online content. OUR PARTNERSPersonagraph is our strategic partner. Personagraph helps companies understand their mobile users, their interests, and their needs. Personagraph platform provide actionable user insights to help developers improve mobile user acquisition, engagement, monetization, and personalisation efforts. 47Billion's R&amp;D team consisting of product managers, architects and developers build Analytics, Monetization and Campaign Management products for Personagraph. We also help Personagraph in sales in Asia-Pacific region. Cisco is world leader in designing and manufacturing networking equipments. 47Billion is a certified partner with Cisco. We provide user experience and design for their latest products in security and machine-to-machine areas.L-Squared Digital Signage builds enterprise Digital Signage product that any business can use to enhance their core operations. 47Billion is a strategic partner with LSN. We help them with complete end-to-end product development with user experience, design, development and testing.Cavo Knowledge Search is a SAAS based solution of Humanizing Technologies that allows you to find documents and emails quickly and easily. It knocks down document silos by searching across varied repositories as well as in multiple locations. 47Billion is a product development partner with Cavo especially in scalability and high-availability areas.ccZen is a Silicon valley startup that develops products to simplify email communication and collaboration between people. 47Billion is an engineering partner with ccZen. We do end-to-end product design and development. We take care of user experience design, architecture, feature development and testing, scalability and cloud deployment and management of the product.",Executing interaction design and visual design as a part of a multi-disciplinary teamCollaborating on user experience planning with a lead experience architectConsulting with clients and lead experience architectResearching interaction design trendsResearching technology trendsPerforming other duties as assigned,"This position is open for #Indore and #Bangalore ROLE REQUIREMENTSTwo or more years of user interface design experience for web and mobile applications which leverage emergent technologiesStrong conceptualization ability, strong visual communication ability, drawing skills and sketchbook techniqueExceptional design skills, production value and attention to detailAbility to create workflows, wireframes as well as visual design componentsStrong working knowledge of Photoshop, Illustrator, InDesign, Fireworks, Axure and associated design toolsExperience with user interface design patterns and standard UCD methodologiesStrong written and verbal communication skillsHighly creative and curious with interest in photography, painting and other art formsADDITIONALBachelors or Masters degree in interaction design, new media design or related design field or HFI certifiedSome travel is requiredPortfolio to accompany resume",,0,1,0,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
2378,Social Media Intern,"US, NY, New York",,,,"About Us:We are Ridogulous Labs, a hardware/software tech startup founded by a Tbird MBA, engineer and an app developer.  We are not only creating the smartest dog collar ever and connecting it into the internet of things, we are also building the most interactive relationship possible with your dog through your smartphone and helping you to become a better pet parent by providing on the spot guidance and offering sweet discounts and rewards along the way.This product will eventually launch an open source platform, which will eventually allow us to build a whole network of devices all aimed at improving our lives and the lives of our best friends, our dogs.  Wearable computing meets high fashion in this one-of-a-kind collar. About the Position:The mission is to help us build a better presence in the tech and pet product world by forging relationships with consumers, dog bloggers, tech columnists, pet professionals and more.  Take pictures, write mini blogs, post questions, create surveys or recommend complimentary products.  It is all about engagement, engagement, engagement.  Whatever it takes, it must increase our profile in the market and get people talking about our great new product.  Generally, announce to the world who we are, what were doing and why were awesome.Major Expectations:Increase our Twitter following, increase our FB fanbase, establish our presence on Instagram, Vine, G+ and other sites, perform some hardcore customer development, get people to write guest blogs on our website, redesign our social pages, provide input on our campaign copy, get people asking questions and educate the rest of the team on SMM best practices.  Finally, BUILD A BUZZ and help us make our eventual product launch a smashing success!!!Location:  This internship is 100% virtual.  From your bedroom, to the commons to just outside class or in the library… you should be enacting these social media tactics from wherever you are, on smartphone, laptop, tablet or whatever.  We will communicate through Skype, email, text and phone in the truest virtual environment. ","About You:You eat, sleep and breathe social media.  You dont think twice about connecting to people on twitter, sharing a story, commenting on posts, starting conversations, capitalizing on trends and generally building excitement wherever you go.  Youve got a network of 1000s that tune in to your blog to find out what you are going to say next!  You capture more attention in 140 characters than most people do in 140 words.  You know the best times to post on twitter, pin to Pinterest, join LinkedIn groups or update Facebook. Importantly, you know how to make all the different networks work together in a comprehensive social media management plan. Also, you love dogs.  You could look at pictures and talk about them all day long.  They are just the cutest things in the world!  You know which celebrities have dogs and even know their twitter handles.  You also love event planning, coordination and promotion. Finally, you are a self-starter who can quickly understand our vision, strategy and UVP and come up with your own tactics in order to advance that strategy.  You dont need hand holding, in fact, you prefer to work autonomously in order to let your creativity soar! Applying:  If looking to apply for this position, please provide us with your twitter handle, Facebook page and any other accounts that demonstrates your social media presence and following.  Also, please go to our page #URL_dd8c4a4ec9e692844f847200838b85b2f0be69606adb21d92f006a5bde912cec# as well as our twitter @ridogulouslabs and come up with three ideas of how you would first begin building my brand through social media.  Send us an email to #EMAIL_c7180903192630d6e80943bf5c8840ca35dc22e0a190e828dc588bc529808b37# with the above and a little blurb about who you are, where youve come from and where you are hoping to go. ","Terms and Compensation:This is an immediate need project that has a minimum commitment of 3 months and at least 15 hours a week. Based on the success of the candidate and the social media campaign, this position has the opportunity to lead to further employment and potential partnership in the venture. Exceptional results will also be further rewarded by end of summer cash and swag bonuses.",0,0,0,,,,,,0
13779,Dewsbury Operations Assistant Apprenticeship Under NAS 16-18 Year Olds Only,"GB, LDS, Dewsbury",,,"Established on the principles that full time education is not for everyone Spectrum Learning is made up of a team of passionate consultants with the drive for putting people who wish to grow themselves through education whilst working into long term and relevant job roles.We also are official re-sellers for The Institute of Recruiters/ Study Course professional courses in HR Practice, In-House Recruitment and Agency RecruitmentIt is our mission to help anyone wishing to pursue an apprenticeship onto the right qualification and into the right job.We work closely with both the candidate and the employer to ensure when the learner is enrolled they are at the start of a long and successful career.We have great relationships with a number of national training providers to ensure we can cover any apprenticeship available.  ",Government funding is only available for 16-18 year olds only.Perfect role for school leavers.This is a fantastic opportunity for those looking to start their career in Business Admin. During the first 12 months you will work towards a Level 2 Business Admin NVQ and then be kept on in a permanent position. You will be working for a consumer and pharmaceutical supplier and the role will involve:-Assisting with processing and packaging orders-Answering the phone-Data inputting-Updating spreadsheetsIdeal candidates will have a desire to learn and will have excellent communication skills.If you are motivated and career minded please apply now.,16-18 year olds only due to government funding.Full time availability.,Career prospects.,0,1,1,Full-time,Not Applicable,High School or equivalent,,Administrative,0
12565,Business Development Manager,"GB, LND, London",Operations,,"Space Ape Games is an Award Winning Mobile/Social Gaming startup founded in 2012. Were a small, high-performing team with big aspirations to make the highest quality and most successful games on your smart phone and tablet.We believe that the trait nearly all of the most successful games on mobile and tablet have in common is that they were made by talented teams with lots of experience working together. There are tens of thousands of app developers, but only a handful of really good, really experienced, well gelled teams out there.Accordingly, everyone on our team is an “A-player” with deep gaming experience. We are some of the key guys and gals behind the biggest games on Facebook and on the internet. Our team is behind a #1 game on Facebook, two #2 games on facebook, and one of the biggest virtual worlds for kids on the planet. And now with Samurai Siege we have a US top 50 grossing app (top 10 in some countries) played by more than 7m people in its first few months since launch.We love great tech. Not for its own sake but because we make games for big audiences and that means its got to work all the time. Because we are in a competitive space and that means we need to be fast and efficient. We are serious about sprint management. Its good for our game, and keeps us focused.We are a creative company tempered by great analytics. Smart analytics can make a good game even better. But we think analytics alone makes soulless products. Why choose just one?","Space Ape is a “San Francisco Style” tech startup in the heart of Soho, London.We are committed to building a company of world class engineering and world class game craftsmanship  a place where the best engineers love to work and the best game designers and developers love to create the future of play.  We think the best teams will inevitably make the best products.Our culture is centered around empowerment, passion, commitment, and fun.  Were a small team because we believe small teams can change the world.  And one day when we are bigger, well be a bigger company full of small teams.We believe that happiness is important.  We strive to create a happy and productive working environment.  Our studio is really cool.  It is nice, bright, and open, the kitchen is stocked and the Foosball table is wifi-enabled.We seek individuals who, in addition to being amazing engineers, also enjoy the other aspects of building a new company such as designing features, making decisions, multi-stack programming, recruiting, finding users; you name it. And foosball.",,,0,1,0,Full-time,Mid-Senior level,,Computer Games,,0
5843,Process Engineer - Manufacturing Environment Exp - LA,"US, LA, Alexandria",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","Experienced Process Engineer is required having responsibility to provide coordination between the Production, Project Engineering, Maintenance engineering, and Corporate Engineering Departments regarding capital project implementation.D - 191Job Responsibilities: Identify, evaluate, develop, specify, justify, install, and start-up process improvements to increase production capacity, improve operating reliability, reduce manufacturing costs, reduce energy usage, conserve raw materials, improve product yields, improve product quality, enhance safety, upgrade environmental safeguards, and provide for the overall optimization of process operation.Provide ongoing engineering assistance to operations including troubleshooting of process operating problems, conducting special tests, developing reports, providing technical assistance as required, and reporting of production status.Provide coordination between the Production, Project Engineering, Maintenance Engineering, and Corporate Engineering Departments regarding capital project implementation.Thoroughly understand and follow the PSM program related to ""Management of Change"" when implementing process modifications or new process additions.  This involves organizing design packages which contain the technical basis of design, equipment specification sheets, operating procedures, drawing updates, etc.  Before start-up of any project, a pre-startup walkthrough is required along with training of all affected personnel which may include operations, maintenance, I&amp;E, technical, etc.Represent and/or assist production and engineering in matters of evaluation, selection, and coordination and control of vendors supplying necessary operating services, consulting services, materials, equipment, and other needs of operation.Develop and maintain expertise in areas of specialization, develop new skills, develop overall technical competence, provide direction and supervision for less experienced personnel, stay abreast of new technology and new developments, adhere to necessary industry best practices, participate in and identify programs to improve personal and group wide professional development.Provide liaison between the Production Department and the various corporate and plant support functions such as Purchasing, MIS, R&amp;D, and the Plant Laboratory.Maintain safety awareness consistent with plant and corporate standards via attendance and participation in safety meetings, safety training, process hazards reviews, job observations, plant inspections, etc.","Bachelor's Degree in Chemical Engineering with a preferred level of 3 years of engineering experience in manufacturing environment.Strong analytical/problem solving skills.High proficiency in Microsoft applications (such as Word, Excel, PowerPoint and OutlookExcellent written and verbal communication skills. Educational Qualifications: Bachelor's Degree in Chemical Engineering'ms<6D>J ae0<65> <20>F<EFBFBD>   Before start-up of any project, a pre-startup walkthrough is required along with training of all affected personnel which may include operations, maintenance, I&amp;E, technical, etc.Represent and/or assist production and engineering in matters of evaluation, selection, and coordination and control of vendors supplying necessary operating services, consulting services, materials, equipment, and other needs of operation.Develop and maintain expertise in areas of specialization, develop new skills, develop overall technical competence, provide direction and supervision for less experienced personnel, stay abreast of new technology and new developments, adhere to necessary industry best practices, participate in and identify programs to improve personal and group wide professional development.Provide liaison between the Production Department and the various corporate and plant support functions such as Purchasing, MIS, R&amp;D, and the Plant Laboratory.Maintain safety awareness consistent with plant and corporate standards via attendance and participation in safety meetings, safety training, process hazards reviews, job observations, plant inspections, etc.We Provide Full Time Permanent Positions for many medium to large US companies. We have more than 1500 jobs available in our website. Our website has thousands of openings and these job openings are not posted anywhere else online. When you apply online through our website, it automatically forwards your information to the recruiter handling your applied job. If you are a close match, they will contact you immediately to discuss about the positions and do everything to get you an interview with the client as quickly as possible. Visit  : #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_9753a54b28303bf636a2816399b9c255d76fabb791336a4c748da2611a23264f# ",,0,0,0,Full-time,,,,,0
12110,Inside Sales Representative (Hayward),"US, CA, Hayward",Sales,,"Our HistoryFounded in 1929 by Earl Bertrand Bradley, the company began selling products for Knape and Vogt Co. of Grand Rapids, Michigan.With the opening of the Los Angeles branch in 1929, the company became a wholesale distributor specializing in store fixture and specialty hardware such as drawer slides, hinges, brackets and standards. In 1943 branch offices were opened in San Francisco followed by Seattle in 1956.The companys market position and business began to grow during the late 1950s and 1960s after adding Wilsonarts high-pressure laminate line to its product mix.During the 1970s under the leadership of E.B. Bradleys son Robert E. Bradley, Sr., two new branches were opened; San Diego in 1972 and Portland in 1976. Significant product additions were the Blum line of European hinges and drawer slides and Accuride precision ball bearing drawer slides. A greater emphasis as a supplier to the Cabinet and Furniture industries was taking hold.Since the opening of the Anaheim branch in 1995, the company has been consistently growing.In 1998 the company entered the cold press lamination business by opening 3 locations of its West Coast Laminating subsidiary in the Los Angeles, San Francisco and Pacific Northwest marketplaces.Our OwnershipUp until January 4, 2009, the company operated as a 100% family owned business.On January 5, 2009, Industrial Opportunity Partners (“IOP”), a private equity firm based in Evanston, IL. partnered with Robert Bradley, Jr. in aquiring the stock of E.B. Bradley Co. and its subsidiaries from its current shareholders.","Position available is located in our Hayward, CA location.Provide internal and external sales and customer service support within a call center working environment.","Essential Job FunctionsResponsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Answer incoming calls;Input orders;Order processing;Providing customer quotes;Check stock and inventory levels;Track and monitor orders;Interact with customers, vendors, Operation Managers and Outside Sales Reps;Provide technical support to customers and Outside Sales Reps;Resolve product application issues;Provide support to Outside Sales Reps;Filing, faxing and e-mailing;Assist at Will Call Counter;Organize catalogs;Practice good housekeeping maintaining a clean and safe working environment;Attend and participate in product and skills training classes, events and seminars;Develop and maintain product and industry knowledge;Some independent decision making in the areas of pricing, product sourcing, prioritizing tasks and time management;Continue to be knowledgeable and well trained on the products that you sell;Promote products and provide add-on suggestions to customers;Develop and maintain good working relationships with vendor reps and participate in ridealongs and training events with them;Participate in trade shows and events:Participate in product knowledge training events from manufacturers and vendors;Participate in promotions and spiff programs;Promote next-day delivery and one-stop shopping;Build and maintain long lasting business relationships and partnerships with clients;Assist customers by resolving issues and complaints;Complete required paperwork and reports as required by the Operations Manager;Trouble shooting and problem solving;Resolve issues with internal and external customers;Take advantage of all sales tools that are provided by the Company;Assist in resolving delivery issues and making special deliveries;Participate in training and technical support provided by Outside Sales Reps;Monitor inventory and assist in stocking decisions;Maintain a high level of customer service, professionalism and integrity;Perform housekeeping duties within your work area maintaining a clean and safe working environment.Non-Essential Job FunctionsPulling orders;Must assist others and perform additional tasks as directed by the Regional Sales Manager.Skills/QualificationsMust have a basic understanding of the selling process;Product and industry knowledge is preferred;A general knowledge of woodworking tools and equipment;Must have excellent time management skills;Prioritization, Organization, and Execution — ability to multi-task in a stressful, fast paced working environment;Attention to Detail,;Must be highly organized;Excellent Verbal and Written Communication skills;Must have the ability to interact effectively with individuals throughout all levels of an organization;Proficient in standard business software, including Outlook, Excel, Word, Access and PowerPoint.QualificationsTo perform this job successfully, an individual must be able to perform each essential job function satisfactorily. The requirements listed in this job description are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with qualified disabilities to perform the essential functions of the job.RequirementsHigh School Diploma or GED;Bachelor degree in business administration, marketing or equivalent preferred;1-3 years of inside sales and/or call center experience.Building industry experience is a plus.Physical DemandsRequires the ability to walk, stand, carry materials, stoop, kneel, bend at the waist, reach overhead and climb stairs:May require sitting and/or standing for long periods of time;Will be required to lift up to 50 lbs occasionally;Working EnvironmentWork is performed within a diverse environment;Some work may be performed within a general office environment;Some work may be performed within a manufacturing and warehouse environment;Work will also include extensive operation of an automobile;Exposure to moving mechanical parts, machinery, fumes, airborne particles, dirt, dust and chemical fumes;The noise level in the work environment is usually moderate to loud and may require hearing protection.Safety shoes and eye protection may be required at times.Temperature environment range is approximately 30°F to 110°F.SummaryThis position description identifies the key responsibilities, expectations of performance, qualifications and work environment as outlined in the contents.  It is neither intended nor possible to list all tasks and variables the employee may be required to perform or conditions the employee may be subject to.  Employees are expected to follow any and all job related duties and responsibilities, as may be reasonable assigned by management.As an Equal Opportunity Employer, E.B. Bradley, Co. is committed to a diverse workforce.",,0,1,1,,,,,Sales,0
9498,Legal and Finance / Open Positions ,"GB, , London",,,"Medopad is the leading enterprise mobile health solution that delivers patient information and clinical applications straight into the palm of a doctors hand. The Medopad mobile health operating system mHOS™ enables near realtime access to patient information and more. As a result doctors can use the intuitive Medopad mobile app to securely access patient records, lab results, vitals, radiology images and more. With its simple design, breakthrough technology and disruptive clinical applications, Medopad has revolutionised the way healthcare professionals work. Our team members are the brains behind some of the healthcare industrys breakthroughs, including the revolutionary mobile health operating system mHOS™ and world-class clinical applications like Medical Image Viewer, Admission App and more.Founded in 2011, Medopad has grown rapidly and now has team members across 4 international locations (UK, US, Germany and UAE). With our first major enterprise wide rollout on its way, were growing our team in preparation for international expansion.To learn about our company culture, please visit our fan page here: #URL_8a12892a77b6605b99ed18178a36f754aa716bc776f7080e896d2458a6bede33#Or watch our TV Ads here: #URL_e44388e84da0d8e3e7d0af5b3793d9c7231fd94396563f0872a8ac1814c92461#","Medopad is the leading enterprise mobile health solution that delivers patient information and clinical applications straight into the palm of a doctor's hand. We are a dynamic and rapidly growing young business looking for talented and ambitious individuals to join us as we shape the future of healthcare. Youll be expected to take complete ownership of whatever you are doing, and apply all of your energy at all times.Legal Samurai / Head of LegalFinance Ninja Learn more about our company: #URL_8a12892a77b6605b99ed18178a36f754aa716bc776f7080e896d2458a6bede33#Watch our TV Ads: #URL_e44388e84da0d8e3e7d0af5b3793d9c7231fd94396563f0872a8ac1814c92461#Medopad coverage by New Scientist: #URL_a5e51899c23dd757fb4e6c5c7fe941bd66417941d9b2bae93b551512c83b7012#___________________________________________________________________________________________________1. Legal Samurai - London based with global responsibilitiesYou will be Medopads first Legal Samurai (in-house counsel), working part-time on a consultancy basis in the first instance and growing the role in to a full-time one over a short period of time. You are excited to provide the highest calibre legal (and business) assistance with speed, skill and agility. You will help lead the charge with our commercial and government contract endeavours and work on a broad spectrum of legal issues. Though you will relish drafting a statement of work, sparring with a procurement officer or troubleshooting a draft proposal, you will also help oversee a complete portfolio of contracts and drive new deals forward. Youll embrace our tech culture  always questioning and iterating obsessively so that the best idea wins. Youll be ready to give a demo at a moment's notice and will become an expert on a wide range of intellectual property issues involved in deploying Medopads cutting-edge technology to solve real-world problems. You like working with employees from every team in the company, and believe in a human approach to people operations. Youre excited to work on the range of projects that arise at a fast-paced, mission-focused company. Youll focus on the details, but also have the broad vision to bring large and multi-staged projects to completion. You look forward to arriving at work each morning because there is always a new challenge to conquer.REQUIREMENTSLaw degree from a top-tier institutionPassion for technology3+ years at a top firm or in-houseExceptional communication and interpersonal skillsStrong analytical and writing skillsExperience in a start-up or high tech environmentTechnical backgroundContracts experienceFamiliarity with IP, privacy and data protectionBENEFITSYou will work part-time on a consultancy basis in the first instance and grow the role in to a full-time one over a short period of timeHealthy fruits and beverages available throughout the day. Discounted gym and well-being vouchers. Regular themed fun events and anything new you come up with. And much moreBased in the most dazzling part of London next to St James Park and Buckingham PalaceAn opportunity to progress your career in one of healthcare's hottest companiesRESPONSIBILITIES Understand Medopads relationship with its customersDraft and negotiate contracts of all typesNavigate deals through any bureaucracy, foreign or domesticWork closely with procurement representatives at government agencies and other clientsAnswer questions and offer legal advice on a wide range of IP issuesEnsure compliance with employment related laws and regulationsExercise initiative and creativity in solving challenges and accomplishing business goalsWork independently as the first lawyer in our London officeFITWe like people who are prepared to clean the table after a meeting i.e. do whatever it takes to help the company be successfulWe really value relationshipsWe like people who are passionate about most things, Medopad included, and recognise this has its own particular challengeWe focus on results and deliveryWe believe what we are doing is as smart as it gets in the mobile health spaceWe like new ideas and challenge and debate as long as it leads to actionAPPLY BELOW___________________________________________________________________________________________________2. Finance Ninja - London based with global responsibilitiesYou will be Medopads first Finance Ninja (finance director), working part-time on a consultancy basis in the first instance and growing the role in to a full-time one over a short period of time. Our Finance Ninja will take responsibility for all aspects of Medopads Finance function building new financial models, systems, pricing and billings procedures and financial analyses that will scale with our exponential growth.You will hit the ground running, learning new skills every day as you experience life in a dynamic, high-growth, unstructured environment. You will need to know the ins and outs of every financial system and process at Medopad.You like working with employees from every team in the company, and believe in a human approach to people operations. Youre excited to work on the range of projects that arise at a fast-paced, mission-focused company. Youll focus on the details, but also have the broad vision to bring large and multi-staged projects to completion. You look forward to arriving at work each morning because there is always a new challenge to conquer.RESPONSIBILITIESIdentify, destroy and re-build old systems that will not scale with our growthIdentify, track, and create new metrics to improve business processesCreate, implement, and track financial requirements across business sectors and locationsAct as a liaison between Finance, Business Development and IT teams across the company and around the globeIterate financial &amp; operational models built from scratch and then implement themSeek out unsolved problems and take initiative to address themLead the day-to-day operations of the Finance team: transactions processing, data entry, data cleansing, compliance and quality controlREQUIREMENTSBA/BS in Accounting, Finance, Economics, Engineering, or a related discipline and a relevant professional qualification e.g. ICAEWStrong oral and written communication skillsMeticulous attention to detail and the ability to complete tasks with minimal oversightAbility to multitaskStrong interpersonal skillsService-orientedExtremely hard worker, ethical, thoughtful, and most importantly, genuinePREFERRED3+ years in a major professional services firm 1-3 years of experience in a technology start-up environment, including working with investorsFITWe like people who are prepared to clean the table after a meeting i.e. do whatever it takes to help the company be successful.We really value relationships.We like people who are passionate about most things, Medopad included, and recognise this has its own particular challenge.We focus on results and delivery.We believe what we are doing is as smart as it gets in the mobile health space.We like new ideas and challenge and debate as long as it leads to action.APPLY BELOW___________________________________________________________________________________________________",,,0,1,1,Contract,Mid-Senior level,Professional,Hospital & Health Care,Legal,0
13940,Media Producer / Project Manager,,,,"Local Projects is a media design firm for museums and public spaces. While innovation drives much of todays design, were interested in creating projects that endure.Were reinventing public space through media, creating meaning and connections in places as diverse as Memorials, Times Square, and art installations.  We focus on engaging audiences through emotion and technology, developing new ways for people to interact with art, cities and one another.  We gather stories through collaborative storytelling projects, and between Storycorps, the 9/11 Memorial Museum and Change By Us, we have brought forth over 100,000 individuals stories and memories, sharing them with the world and touching millions of lives.Local Projects has won top prize for every major design award including the National Design Award for Interaction Design, Fast Company Masters of Design, Webby Gold, Muse Gold and AIGA Gold. They were #2 on Fast Companys 2011 list for most innovative design studios and were named to the 2012 Public Interest 100, for people working in the Public Interest, alongside Bill Clinton and the Knight Foundation.","Local Projects is currently seeking an experienced Media Producer / Project Manager to help shape the future of museum and public spaces through strong detail management and positive client relationships. Candidate should be an omniscient individual who will be able to conceptualize, develop and produce highly innovative pieces and can track and facilitate next steps on complex projects from conception through installation.Our projects will demand a very special individual, who can both drive and shadow the creative process while producing easy to comprehend status reports, presentations and updates for clients and collaborators.","Candidate must have a strong technical understanding of both the front and back end development process for multiple mediums including shoot coordination and logistics, film production, post-production, web, mobile web and kiosk development and any new media formats that are thrown at you. Were looking for someone with 5-7 years of relevant experience to help coordinate projects from concept through design, prototyping and installation. Candidate should have a strong foundation in traditional design documentation as well as a high comfort level developing and maintaining budgets, production schedules and other associated project administration standards.Candidate must be able to lead as well as collaborate with a strong design team and complex/intelligent clientele.The Media Producer / Project Manager will work under Local Projects Studio Director and Principal.",,0,1,1,Full-time,,,Design,,0
3683,"Sales Representative, Commisions-based","CA, AB, Calgary",Sales,,,"About the JobCurato Technologies is a growing company in Calgary, Canada aiming at providing high quality, efficient technology-based enterprise software for businesses and corporations. We have immediate openings for experienced Sales Representatives in the Calgary area. This is a seasonal, commission-only sales job.  If you are driven and hungry for success, this is the opportunity of a lifetime for you. The Sales Representatives will focus on achieving maximum sales profitability, growth and account penetration within am assigned territory and/or market segment by effectively selling Curato Technologies' product(s).  Main responsibilities include:Promote/sell/secure orders from existing and prospective customers through a relationship-based approachDemonstrate products to existing/potential customers and assist them in selecting a plan that is best suited to their needsDevelop clear and effective written proposals/quotations for current and prospective customersExpedite the resolution of customer problems and complaintsAnalyze the territory/markets potential and determine the value of existing and prospective customers value to the organizationProduce competitive analysis when requestedPlan and organize personal sales strategy by maximizing the Return on Time Investment for the territory/segmentKeep abreast of product trends, market conditions, competitive activities, advertising and promotional trends through the reading of pertinent literature and consulting with Marketing Director and Sales ManagerParticipate in trade shows and conventions    This is a great opportunity for a Sales Representative that thrives in stepping up to a challenge and is rewarded by succeeding at it. If you want to be part of a great team and are excited by this opportunity, please submit your resume via mail, fax or to our email address.  ",         Demonstrated aptitude for problem-solving         Ability to determine solutions for customers (consultative sales approach)         Results-orientated and able to work both independently and within a team environment         Excellent verbal and written communication skills         Proficiency in using Microsoft Office Suite applications and contact management software         Valid drivers license and clean driving record,Travel reimbursement,0,0,0,Temporary,Associate,,Computer Software,Sales,0
11888,C# Developer,"GB, ISL, London",Engineering,,"Cohaesus is a trusted partner to the world's greatest advertising, marketing, communications and creative agencies. By bridging the gap between creative and web development, we work together with internal teams to architect, deliver, test and maintain reliable, scalable technical solutions.Our uniquely flexible approach  coupled with a detailed understanding of the agency world  means we offer a set of services specifically designed to support the needs of creative agencies. From extra brains to help get the most from creative ideas, to extra hands to help meet that deadline and extra scale to stretch budgets with our offshore teams.","We are looking for C# developers who have a thirst for solving problems using the latest technology and best practices. We provide a great environment to build out a career and become famous.You should be eager to get experience on a vast range of platforms and projects. You need to be talented with a real passion and natural aptitude. You probably feel a little frustrated with your current role. You sweat the details. Youre passionate about a great user experience. You would to love work with world class agencies who appreciate it when you get every detail just right.You will be splitting your time between our offices next to Silicon Roundabout in London, and on-site in some of the world's best creative agencies.We believe nothing should get in the way of you doing your best possible work, and have structured the company around this principle. We keep our meetings lightweight, our development processes up to date and dead simple. We aspire to have a role entirely devoted to “developer happiness”!If you are smart, personable, put your team first and want to build a career then join us.","Required SkillsExpert knowledge of .Net, C# with SQL Server;Good knowledge of HTML/CSS/JS;Aptitude for programming;Passion for technology, a self-learner.Preferred SkillsAn appreciation of interface design;An understanding of user experience.PLEASE NOTEWe are unable to sponsor work permits or visas for this role. Applicants must therefore have the continued right to live and work in the United Kingdom to apply for this vacancy.STRICTLY NO RECRUITERS",30 Days HolidayHealth planBonus SchemeMentoring/TrainingA Herman Miller chair!The opportunity to work with the best creative agencies in the world,0,1,1,Full-time,Mid-Senior level,,Marketing and Advertising,Engineering,0
10248,Service Delivery Manager 1 ,"US, MA, Boston",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Service Delivery Manager I will be based in Boston, MA. The Service Delivery Manager is responsible for all aspects of operating day-to-day services for our client site.  Coordinate workflow within the team, including prioritizing jobs and delegating duties to associates.Responsibilities include but are not limited to:Administrative - Performs administrative tasks, provides volumes/billing inputs to one-up Manager, and other assigned duties in a timely manner. Ensures adherence to company policies and guidelines, safety &amp; security procedures.Quality/Workflow compliance - demonstrate commitment and enthusiasm and utilization of quality tools to drive SLA attainment and exceed client expectations. Ensure service levels are clearly communicated, understood, and performed by on-site staff.Coaching &amp; counseling - create a positive atmosphere of professionalism and support. Provide honest and timely verbal and written feedback to employees; address performance issues with directness and sensitivity with guidance from manager and human resources.Personnel Management - Performs all functions in HR Access to include time and payroll authorization, training approval and vacation approval. Duties also include hiring and termination authorization, annual reviews and merit increase actions, with approval from HR and/or one-up manager.Utilizes technology to perform tasks efficiently and demonstrates the ability to communicate effectively both orally and written.Position may require Site Supervisor to perform site activities due to unanticipated volume increases, staffing coverage issues and/or special project needs requested by clients.Understands basic information on core Novitex service/solutions offerings to work effectively with Manager - Client Services in generating leads for site growth and competitive take-away.","Required Qualifications:Proven leadership experience required, 4 years experienceMinimum of 3 years customer service experienceEffective customer relationship building skills, and uses creative solutions to exceed customer expectationsDemonstrates good judgment under pressure, and works well in a multi-taskingEffective written and verbal communication skills; proven analytical skillsSolid, basic mathematical skills requiredExcellent planning, prioritization and organizational skillsProven experience in high volume/fast paced environmentComputer proficiency in email environments, MS Word/Excel or similar programsHigh School Diploma requiredRelevant industry specific certificates a plus (MQC, CMDSM, etc.)Lifting up to a maximum of 55lbs. or the maximum allowed by current State law with or without accommodationsAbility to stand, sit and/or walk for long periods of time with or without accommodationsPreferred Qualifications:Bachelor's degree preferred ",,0,1,0,Full-time,Mid-Senior level,Bachelor's Degree,Legal Services,Management,0
7114,Shop Foreman,"US, NY, College Point",,,,"Do you want to work for a company that recognizes your passion and commitment? At Global Aviation Services we not only recognize you as a key part of our organization, but we also compensate you by providing exceptional benefits (low-cost health, dental, short term disability and free life and long term disability), set schedules, competitive wages, and a safe and friendly environment. As a full time employee you will also receive a tool allowance, free uniforms, education tuition, a wellness plan and profit sharing: to name a few. If you possess the knowledge, skills, and ambition it takes to run your own shop, work hands on, and lead your staff, you are the right person for this challenging career. You will direct your staff to ensure that quality maintenance repairs are done safely, reliably, timely and are cost-effective. As the Foreman you will manage, train and mentor your staff in maintenance best practices, safety, and customer service. You will have direct contact with vendors and customers: developing good, solid relationships. Customer satisfaction, safety, keeping on budget, and meeting productivity goals will be your focus. Best of all, you will still be hands on, keeping your industry knowledge and skills up to date.Essential Functions:Meeting company and customer performance metrics related to shop productivity, budget, and on-time performance.Ensure timeliness of preventive maintenance, cost effective repairs and procurement/maintenance of parts inventory.Accountable for the achievement of acceptable productivity levels and meeting financial objectives.Evaluate equipment repair costs and make recommendations on repairs.Prioritize equipment repairs.Monitor safety practices and procedures in the shop.Evaluate maintenance work practices and identify methods to improve productivity.Review work orders for completeness and accuracy.Provide technical assistance to Mechanics as needed.Coordinate with other departments to obtain equipment needing repair or maintenance.Coach/counsel, discipline employees who violate Company policy, or fail to meet company standards.Performing scheduled preventative maintenance inspections and unscheduled repairs, air and hydraulic brake repairs, tire repairs, warranty procedures and repairs on Ford 700/800 trucks, International trucks and support vans and pickups.In order to meet the requirements of the position, applicant should have a good background in diagnostics to include: electrical, mechanical, hydraulic and pneumatic. Some diagnostics are not performed using computer assisted diagnostic tools.Planning and execution of complex maintenance assignments requiring knowledge of electrical, plumbing, and/or electronic systems. In addition, welding may be required.Work is performed on a variety of ground support equipment platforms, such as jet engine starters, power supply units, lift platform box trucks, and air cycle air conditioners.Uses complex troubleshooting, testing and repair equipment such as but not limited to, load banks, impedance bridges, multi-meters and flow meter panels.Participate in continuing education classes.Keep informed on all technical changes related to fleet requirements.Diesel engine operation preventative maintenance and troubleshooting.Perform the sequence of operations to troubleshoot truck fleet in order to remove, disassemble, clean, check, repair, rework, replace, fabricate, install and adjust many building components, automotive and fleet machinery, accessories, parts, etc., and explain the work procedures to personnel assigned to assist in that work.Knowledge of heavy, medium and light duty trucks and automobiles, machine shop equipment or other similar skills.Global Aviation Services provides comprehensive maintenance solutions that ensure our customers can give their customers the best possible service. We are dedicated to delivering high quality maintenance with skilled technicians who are customer friendly, and operate with a sense of priority and urgency. Our experienced mechanics provide maintenance at many of the largest airports in the United States.If you have the passion and drive we look for in employees and want to work in a fast paced challenging environment you need to apply today. Our opening at LGA will go quickly. ","Qualifications:Minimum 3 years of supervisory experience in a maintenance shop environment.Minimum 5 years of proven preventative maintenance, tire and brake experience on medium to heavy-duty vehicles.Must have strong mechanical aptitude and problem-solving skills.Ability to read and understand repair manuals and instructions, especially in an urgent and/or emergency situation.Ability to read electrical and hydraulic schematics.Must be able to read codes and instructions on the computer.Valid drivers license and good driving background.Ability to pass a 10 year background check and drug test.Minimum high school diploma or its equivalent.Must be able to read, write, speak and understand English.Ability to work flexible schedules including shift work, weekends, holidays.Possess at minimum a set of hand tools including a toolbox and multi-function electrical meter.Ford and International experience required.Able to lift 70 pounds.",Benefits: Superior benefits - As a full-time employee you qualify for:•  Medical Insurance•  Dental Insurance•  Prescription Drug Program•  Vision Care•  Life Insurance/Supplemental Life•  Accidental Death &amp; Dismemberment Insurance•  Disability•  Flex Spending Plan 401(k) Savings and Investment Plan with company match•  Adoption Benefits•  Wellness/Fitness Benefit•  Educational Benefits•  Smoking Cessation Program•  Vacation/Sick/Holiday/Bereavement/Court Service Pay•  Uniforms Global Aviation Services is committed to providing Equal Employment Opportunities to all employees and applicants.  Global Aviation Services is an Equal Opportunity Employer M/F/Disability/Veteran.,0,0,0,Full-time,,High School or equivalent,Automotive,,0
14488,Public Relations Specialist,"GR, I, Athens",,,"Why work with tapely#URL_60bf3d0de1168dd72ed6cdab35c6587b9d4c85a32dd71111ecb0b67e247fd675# is an online startup that allows music lovers to share music in a beautiful and personal way.Were engineers and designers, analytical and creative, but - above all - were music fans. We believe that the way we currently share music online is seriously flawed. And we would like to change this by building a platform for music lovers to express themselves and their taste in music. We need your help to make this happen.","About Us#URL_60bf3d0de1168dd72ed6cdab35c6587b9d4c85a32dd71111ecb0b67e247fd675# is an online startup that allows music lovers to share music in a beautiful and personal way. We believe that the way we currently share music online is seriously flawed. And we would like to change this by building a platform for music lovers to express themselves and their taste in music. Were a super-passionate startup with exceptional rates of growth, validation from big names of music industry and well-funded by amazing investors.Youll be part of an agile, highly motivated and quickly growing team with the opportunity to tackle interesting challenges on a daily basis. This is a senior role.What Youll DoInitiate creative user growth strategies to raise brand awareness.Source, create and cultivate relationships with industry journalists, artists and bloggers.Design and execute multifaceted marketing campaigns.","We are seeking a dynamic, high-calibre individual to manage our communications activities.About You:Solid experience in Public Relations and Digital Marketing. Experience working with influencers.Polished and professional written and verbal communication skills in English. Exceptional attention to detail.Bonus points for experience with technology projects &amp; understanding online social communication channels.What we look for in all employees:Ambition to make things awesome, not just good enough. You get things done.Positive, friendly attitude and desire to work with great people.You enjoy learning about new things and take feedback graciously.Passionate about music and what we're building.","Extremely competitive salary.Stock options.Private health insurance plan.Educational material, books, internal and external trainings.Extras:Opportunity to work at a super-interesting startup (hey, it's about music!) with a team of young, passionate and highly motivated people. Fun, casual working environment. Kick-ass offices and location.Everyone has a voice and the power to make decisions that impact our users and our coworkers.",0,1,1,Full-time,Associate,,Internet,Marketing,0
10257,TEMPLATE - Superstar (Store Manager) - ENTER LOCATION,"US, , ",Retail,,"Ready to let your star shine? Discover the career youve been dreaming about at AvaStars where… The Star Inside is YOU! At AvaStars, were dedicated to making dreams come true and creating entertainment retail that is highly personalized and aspirational. Were currently looking for “A” players to capitalize on this once in a lifetime opportunity. Our senior leadership team is known for the success of Mattel, Pokemon, Build-A-Bear Workshop, LeapFrog and Waterbabies. Join us by getting in on the “ground floor” in the development and implementation of the “next big thing” destined to change the face of retail and the way children play. AvaStars is an equal opportunity employer committed to providing a diverse environment.#URL_77edd0eb5baaf205d0de93d32fc2471370b249e83cdfaacc8c0a5f00c51b8e15#","Ready to let your star shine? Discover the career youve been dreaming about at AvaStars where…The Star Inside is YOU! At AvaStars, were dedicated to making dreams come true and creating entertainment retail that is highly personalized and aspirational. Were currently looking for “A” players to capitalize on this once in a lifetime opportunity. Our senior leadership team is known for the success of Mattel, Pokemon, Build-A-Bear Workshop, LeapFrog and Waterbabies. Join us by getting in on the “ground floor” in the development and implementation of the “next big thing” destined to change the face of retail and the way children play.Were currently looking for a Full-Time Superstar (Store Manager) whos inspirational, entrepreneurial, and dynamic to lead our team at the ENTER LOCATION.Our Superstars enjoy …Bringing the vision of the company to life.Creating engaging and memorable guest experiences for children and families, not just selling products.Using their entrepreneurial spirit and thinking like a successful business owner.Being flexible self-starters that can make great things happen with little guidance.Using technology.Creating a fun work environment for themselves and their team. A day in the life of a Superstar includes … Keeping the AvaStarShip humming, which includes daily operations, opening and closing procedures, the customer experience, and directing the activities of the team.Hiring, developing, and retaining star talent.Creating and delivering stellar guest experiences.Inspiring team members to unleash their full potential.Leading the team to reach for the stars in terms of business goals and performance.Managing expense control including payroll, timekeeping and supplies.Maintaining a unique team culture where the management team provides inspiration, feedback, coaching, development and recognition.","Our Superstars possess … 3-5 years management experience in an interactive retail environment.College degree (preferred).Professional sales development and strong interpersonal skills.Proven leadership qualities, including the ability to effectively communicate with team members and guests.Ability to analyze business and selling reports, identify trends, and change course as needed.Comfortable with making decisions and keeping the peace within a team environment.Intermediate computer skills and a high comfort level with technology.","The perks of being a Superstar:We care about the health and well-being of our team and offer a benefits package that includes medical, dental, and vision coverage, life insurance, short-term and long-term disability, paid time off, company paid holidays and an amazing product discount.",0,1,1,Full-time,Mid-Senior level,,Retail,Management,0
6428,PeopleSoft FSCM Functional Consultant,"US, MN, Minneapolis",,,"i28 Technologies has demonstrated expertise in areas strategic to different business in varying verticals. I28 Technologies provides highly skilled Technology Consultants to meet the IT needs of our clients. The functional expertise gleaned from the experience of working for Fortune 500 companies has enabled the team @ I28 Technologies to provide professional service in the areas of Human Resource Consulting, IT consulting, Financial consulting, Business process consulting and Outsourcing. The company's core capabilities in IT consulting are in ERP, Datawarehouse (ETL), Web Technologies, BI Reporting &amp; Database services wherein we concentrate in SAP, OBIEE, Bigdata, Java, Sharepoint and Oracle.I28 Technologies has been incorporated by Top Professionals; therefore we have firsthand experience of the market. We have Global presence in four countries across the globe - USA, Canada, Malaysia and India. Our Client list includes BlueStar, Allianz Life Insurance, TCS etc. I28 Technologies facilitates online/classroom training on various modules of ERP, Datawarehouse (ETL), Web Technologies, BI Reporting &amp; Database. Courses Offered for entry level positions:•             Data Warehousing  ETL and Reporting Tools (Informatica PowerCenter 7.1/6.2/6.1/5.1, Business Objects, Cognos, Data modeling, Erwin, Sybase)•             SAP HANA/ BO/ BODS/ CRM•             JAVA Hadoop/ .NET/ Sharepoint/ Bigdata•             Teradata/ Oracle/ Spotfire/ OBIEE/ Biztalk and many more as per request…  Why you should join I28 Technologies??We have an unbeatable success rate of 100% placement throughout USA &amp; Canada making us proficient in giving our employees the best salaries.We keep full transparency with the employees and believe in high commitment levels as it is the key to have a long-term fruitful relationship.Our competence with SAP, OBIEE, Java and .NET has helped our clients to streamline their business processes and customize their modules to suit their business environment enabling us to upgrade the skills of our employees and broaden their horizons with the latest technology by facilitating online/classroom cross functional training.We also execute On-project support systems when the skills of employees are upgraded and new projects streamlined for them.","Title: PeopleSoft FSCM Functional ConsultantLocation: Minneapolis, MNDuration: 12+ MonthsMultiple data conversion project experience is a mustModules: Accounts Payable, Purchasing, eProcurmentWorking experience of at-least 2 end to end implementation/roll out OR part of application support and maintenance in PeopleSoft FSCM.Should have excellent working knowledge and proficiency in 3 or more of the PeopleSoft FSCM modules (AP, Purchasing , eProcurement.)Must have prepared the data conversion strategy, test strategy and lead the CRP sessions for the above modulesMust have experience at least 2-3 of end to end data conversion projects.Strong verbal, written communication skills.Working knowledge in PL/SQLLiaison between users and technical team to ensure the system is meeting the business needs as agreed in functional specsDesign and deliver the functional solution for the assigned modulesWork with technical lead to ensure delivery of assigned functional modules as agreed in project plan Identify and prepare the data migration strategy for the assignedThe ideal candidate will bring deep business process design, PeopleSoft 9. 2  FSCM application and module expertise to the team.Perform functional and system Integration testing efforts to ensure solutions meet user requirementsLead User training and acceptance testing for assigned modulesPrepare user documents for modules assigned Required Knowledge, Abilities and Skills essential to Job Functions:Experience in PeopleSoft Financials and Supply Chain management (FSCM) v 8.9/9. x/9.1/9.2Proven PeopleSoft Financials Upgrade experience.Experienced in gathering/ analyzing specific data, writing business requirements, configuration and testing related documents.Ability to conduct fit gap, configuration workshops, walk- through PeopleSoft Financials modules",,,0,1,0,,,,,,0
2368,Software Engineer | Forecasting & Optimization,"US, CA, San Mateo",Engineering,,"Aptitude Staffing Solutions has redesigned the recruiting wheel. Our innovative new platform cuts the recruiting time in half, yields scientifically-proven results and clients and candidates enjoy a pleasant experience through advanced, simple to use technology and a tenured, industry-experienced recruiting team. Join us in a fresh new experience of leveraging your career...the way it should be! All represented candidates enjoy the following perks:Expert negotiations, maximizing total compensation package Signing bonus by Aptitude Staffing in addition to client signing bonus (if applicable)1 Year access to AnyPerkRelocation Services for out of town candidatesContinued education in your area of profession, seminars, workshops and other skill development events Contract employees receive quarterly bonuses for the duration of their project Direct-Hire employees receive double bonues ($2,000) per referred/recruited candidate into their newly appointed companyAll candidates are encouraged to participate in our Referral Bonus Program &amp; earn $500 - $1,000 per hired referral            ","Software Engineer | Forecasting + Optimization | San Mateo, CALooking for a smart engineers to work on our next-generation data mining and forecasting platform. The role involves working with business analysts and research scientists  to build innovative forecasting and optimization solutions for online advertising; building models and algorithms to solve challenging problems such as estimating the click-through-rate of ads, estimating the cost of ad impressions, estimating the supply of different types of impressions, classifying web pages and web sites, improving online auctions, and so forth; and processing terabytes of data to extract insights using data mining, statistics, and machine learning techniques.Responsibilities:Work closely with Research scientist in building predictive models based on millions of ad transactions.Deploy statistical methods for finding structure in large data sets Design A/B tests to determine effectiveness of various strategies. Define complex SQL and other data extraction schemes to gather and filter needed data.Mining large (terabyte scale) data sets to obtain actionable business insights or to explain business patternsDevelop, train, educate others in Statistical Sciences and other technical disciplines in research and business on the use of data mining methods and tools.","Desired Skills &amp; Experience:BS in Computer Science, Mathematics, Statistics, or related field Deep and broad knowledge of data mining methods and tools Able to reduce problem to mathematical/statistical questions/hypotheses that can be answered through data miningStrong ability in data modeling by using machine learning techniquesDatabase experience required Strong programming skills Teamwork and leadership skills Self-management skills with a focus on results for timely and accurate completion of competing deliverablesPrior experience in advertising industry is a plus","The BenefitsGenerous paid time off to help you maintain a good work-life balanceFully catered lunches available everyday to all employees at the corporate officeOffices fully stocked with snacks and refreshments to keep you energized and productiveExtensive employee benefits and perks to show how much we value your effortsFun team events, company events, employee sponsored events, employee recognition awards, and more!",0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Marketing and Advertising,Engineering,1
10908,English Teacher Abroad,"CA, QC, Montréal",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it Love travel? Jobs in Asia$1,500+ USD monthly ($200 Cost of living)Housing provided (Private/Furnished)Airfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#12 month contract : Apply today ",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryCanada/US passport holders only,See job description,0,1,1,Contract,,Bachelor's Degree,Education Management,,0
16997,Java/EDI Developer,"US, FL, Tampa",,,,"Software Engineer-Java/EDINow Hiring Software Engineer-Java/EDI for one of our client in Tampa, FLJob Role: Software Engineer-Java/EDIJob Function: Software DevelopmentJob Type: Full-Time/PermanantJob Industry: HealthcareJob Level: Mid-SeniorJob Location: Tampa, FLSkills and Experience Required/PreferredOver 5 years experience in the development, implementation, and maintenance of large-scale OLTP and DSS in a client-server environment 2 plus years Healthcare experience, preferredExperience in JavaExperience with hibernate and springPreferred EDI experience with 8375 years experience in J2EE and Web Service.Experience with Microsoft SQL Server and Oracle DBMSExperience with PL/SQL, XML and Linux preferredThe CompensationBest in IndustryNote: Citizens, Immigrants and Non-Immirants Considered.Interested professionals please apply with your updated resume.",,,0,0,0,Full-time,Mid-Senior level,,Hospital & Health Care,,0
15167,Agile/Team Coach ,"GB, LND, Shoreditch",Project Management,,"ustwo offers you the opportunity to be yourself, whilst delivering the best work on the planet for some of the biggest and most innovative brands. A culture thriving on collaboration underpins what is an amazing work smart/ live well environment.We genuinely care about the work that we deliver and the people who help make it all possible. We only invest in projects, people and practices that we believe in, to ensure we remain excited about every opportunity. ","The purpose of the coaches at ustwo is to co-create and support a learning organisation that drives change and creates value on three levels: Teams/Projects, Studio culture and The Leadership. You will be working in a team of coaches who focus on making the everyday life in the studio more collaborative, effective and enjoyable.An important part of the coach role is to empower people to dare and do things themselves. You will be driving learning and autonomy for people at ustwo, helping teams to understand its value, improve communication and self facilitation.You will have a good understanding of how teams work as well as conditions for improving and developing them. You will need to encourage and facilitate feedback, reflection, listening skills and self leadership.Enhance collaboration with the help of clear communication, well defined roles and basic understanding of different work roles within the company.You will be a part of designing  and facilitating such internal and external processes as teambuilding activities, kick offs, collaborative evaluations of projects.You will need to live and drive the main principles of Agile methodology.","Design, facilitate and implement evaluations for all teams in order to create overview and learningRegular meetings with a focus on all employeesBe part of the recruitment processFacilitate morning meetings with teams.Individual talks with focus on learning, growth and collaboration.Develop a structure in order to make meetings more efficient and engagingDaily follow ups with teams and individualsDesign and facilitate team kick-offs in the beginning of every projectDesign and facilitate evaluations by the end of every projectImplement, design and facilitate continuous retros/reflection sessions with all teams.Send out weekly check-out email with learning of the weekCreate, analyse, present and follow up on studio temperature check and employee engagement assessmentDesign and facilitate workshops both internal and with clients","Above anything we are a people centred company that strives to create the best opportunities for the best people in the industry. We just so happen to have an amazing studio space and great tools for learning and sharing.We offer a competitive package, including but not limited to company wide profit sharing platform, company pension, life assurance, private medical, training budget and amazing family policies.25 days holiday are offered as standard, inclusive of studio closure days over xmas.We also promote flexible working days.",0,1,1,Full-time,Mid-Senior level,,Design,Project Management,0
13069,Mobile Product Manager,,Business Development/Product,,"Our CompanyAdcash® is an international advertising network that delivers billions of ad units each month reaching several millions of people around the globe. With a strong focus on the entertainment vertical, the networks portfolio currently comprises over 90 000 websites that benefit from the companys successful partnerships with a number of leading brands. The strength of the Adcash® platform lays in its cutting edge ad delivery technology and advanced internal tools.50 billion advertisements served in 2013Work in one of the top 100 biggest website in the worldEnjoy building great software used by a team of 50 personsImprove your skills by working on an interesting projectThe companys headquarter is located in Tallinn (Estonia) with other branches in Queretaro (Mexico), Sofia (Bulgaria) and Paris (France).Our teamComing from very diverse cultural and geographical backgrounds, our team reflects perfectly the companys image and global outlook.With a total of 9 nationalities represented, our 55+ team members bring not only an invaluable level of experience to the table, but also a diversity of thought instrumental in shaping the networks identity.And so we can help you in: Bulgarian, Deutsch, English, Estonian, French, Italian, Russian, Spanish and Turkish!From hardcore techies to online advertising veterans and everything in between, our staff is comprised of committed professionals, who come from all corners of the industry, having worked with organizations such as Vodafone, Skype, Google, EA, Orange, Telcel and many others.","Adcash is looking for an experienced mobile product manager to develop our mobile and in-app advertising offering and become our internal mobile expert. The Mobile Product Manager will own the Android, iOS and web mobile product development and be responsible for: Collaborating closely with stakeholders to build a cutting-edge offeringDeveloping our in-app (Android &amp; iOS) and web mobile advertising solutions (new formats, targeting)Enhancing the products with new features and improved usabilityIdentifying mobile trendsWorking closely with multidisciplinary teams to break-out, schedule, risk manage and ensure deliveryFollowing the product from conception, through the development phase and until product launchCreating a sustainable source of revenues by ensuring success of the productMonitoring and interpreting business performance, understanding key drivers and trendsDealing with other mobile related developments (corporate site) ","Deep knowledge of the mobile landscape, devices/operating systems, and user experience2+ years of experience as product manager on a live consumer facing, Android and iOS appFast learner with solid communication skillsAbility to work in a highly dynamic, multicultural and demanding teamUnderstanding of iOS and Android development standardsExperience in the advertising industry is a plusKnowledge of Jira is a plus",,0,1,0,,,,,,0
15350,Junior Community Monitor,"US, IL, Chicago",Client Services,,"BCV is the premier full-service social media provider in the hospitality industry. Our social media experts have developed proprietary services, methodologies, and tools to continually create significant value for clients. We are the only provider with the capacity to monitor the social space and manage potential crises 24 hours a day, 7 days a week. Our comprehensive management services are supported by a robust arsenal of cutting-edge tools and analytics designed to drive traffic, increase sales, create unforgettable experiences for guests, and mitigate negative customer experiences.","Working with your Senior Account Executives, the Junior Community Manager is responsible for day-to-day execution of client social media campaigns; tasks include daily community listening, maintenance, community engagement/conversation, monitoring and issue escalation/response. In addition, the Community Manager will conduct research (using a variety of tools) to identify social media best practices and trends for brand audits, as well as conduct community conversation audits to identify key online influencers as it relates to client projects.This salaried role is from 12pm-8pm in our River North offices. Pleae apply only if you are willing to work these hours.Help launch and manage social media presences for clients on Facebook, Twitter, YouTube and other relevant social media/collaboration sites.Adhere to editorial calendar to ensure content and assets are being released on time.Support brand loyalty and encourage generation of user created content via active community participation and conversation; manage online discussions by listening and responding to users (where appropriate) in a timely and authentic manner. Escalate issues as needed and provide feedback on community happenings.Monitor and track discussion topics for management team. Proactively monitor brand and relevant themes/news stories within the blogosphere and provide suggestions for timely content, assets and conversations within brand communities.Work daily with AE's and client teams to ensure proper messaging is being executed online and that it is relevant to client goals. Work with AE's to update editorial calendars and ensure all assets/materials are timely and up to date.Use online listening, supplemental research and daily community learnings to provide insights for improving programs and enhancing program successes.Research and identify bloggers/key influencers and associated communities for blogger/influencer campaigns.","0-1+ years of professional work experience (experience at interactive, advertising, public relations or marketing agency preferred).Must have active accounts across key social media sites including, but not limited to, Facebook, Twitter, etc. (You will be asked to provide access to accounts you have established and maintained.) Possess background knowledge and a true passion for the social media marketing space.Must have strong verbal and writing/grammatical skills as well as ability to present information and ideas logically and clearly; keen eye for detail also required.Excellent verbal communication skills with ability to present ideas and information clearly.Collaborative team player able to integrate with a diverse team full of opinions and ideas.This salaried role is from 12pm-8pm in our river north offices. Pleae apply only if you are willing and able to work these hours.",,0,1,1,Full-time,Entry level,,Marketing and Advertising,Marketing,0
4643,Executive Assistant & Marketing Coordinator,"CA, BC, Vancouver",,,"Pardon Services Canada is a national legal services company established in 1989.We serve as an advocate for Canadians with a past criminal record, who wish to exercise their rights under the Criminal Records Act of Canada and the Immigration &amp; Nationality Act of the United States, to obtain a Record Suspension (formerly called a Pardon) and US Entry Waivers. Also assisting with Temporary Resident Permits and Criminal Rehabilitation Status for Americans with a past criminal record.","We are a dynamic, industry leading entrepreneurial firm, delivering high quality professional legal services across Canada since 1989. Working directly for the President you will be responsible for providing Executive and Personal support. Involved in all areas of the business you should be assertive by nature, have a strong sense of initiative, and have business acumen. You must be able to communicate effectively and write very well. In return you can expect a fair salary, benefits and an opportunity to share in a growing company's successes.As a member of the leadership team, you will need to demonstrate superior judgment, problem resolution, team building, negotiation, budgeting, and decision-making skills. You should be able to work under continual deadlines as the primary HR person and communications coordinator.Interested applicants please forward your resume and cover letter with salary expectations.Duties:Manage our Human Resources - recruit, schedule, payManage outgoing communications  press releases, presentations, proposalsManage meetings -agendas, minutes, track action items, and follow up and report on progressCoordinate communication, organization charts, schedules, and information flow among departmentsServe as primary point of contact to distribute material and communicate standard operating procedures","The qualifications and attributes required to successfully fulfill these responsibilities include:Post secondary education5 - 10 years of solid experience supporting senior executivesAdvanced computer skills with MS applications: Word, Excel, Outlook, PowerPoint and QuickbooksSuperior communication skills (both verbal and written)Interpersonal, collaborative, and team work skillsAbility to build consensus and effective relationships in a cohesive business environmentExposure to budgeting and project work will be an advantagePrevious experience in a fast-paced, sales-oriented environment would be an assetStrong analytical and problem-solving skillsHigh degree of discretion and confidentialityAbility to work independently and provide direction to othersStrong organizational and time management skills to plan, schedule and coordinate multiple activities in an environment of tight deadlines, priorities and requirementsDemonstrated organizational skills with the ability to successfully manage multiple priorities in a dynamic environmentHigh level of integrity and ethicsHighly professional in attitude and appearanceA mature, natural leaderPossesses a steadfast positive attitudeInquisitive and enterprisingRegularly takes initiative","Health and dental benefits, performance bonus. Our downtown offices are bright, spacious, well equipped and friendly.",0,1,1,Full-time,,,Legal Services,,0
5741,German speaking PERL/CGI programmer on Unix,"DE, RP, Ludwigshafen",,,"For more than a decade, we EXIS I.T. provide services &amp; solutions that give our clients competitive advantages. We closely work with enterprises and organizations delivering added value in the area of operational efficiency and flexibility. We achieve this combining experience and skills in both business and ICT domains.Following this business model we are proud to serve distinguished clients in sectors as: - Telecommunications / Service providers- Energy / Utilities- Contact Centres- Tele - sales / research / campaigns - Debt Collections- Fast moving consumable goods- Credit services &amp; FinanceWe support our customers with international best practices as also practical and realistic implementation methodologies. We develop innovative business applications that enable cost savings and effectiveness.Typical areas of competence include customer support, dealers' commissions management, debt collections, telesales, order / contract management, loyalty management, promotions / sales, web self-care, credit control etc.Products of EXIS I.T including eXray ® CRM, fleXprint and EXIS Network Inventory offer flexibility and advantages at key operational challenges.#URL_ea0753e92ecfe8191f102eb59bece6f96537016fd38b6b6c5159d1b4c86d7858#","- 6+ years of experience in web developments- Strong knowledge in HTML, CSS, JavaScript, AJAX &amp; Jquery- Scope: Application maintenance and support- Good communication (Reading / writing) in German and customer facing skills- Duration : 6 months and will be extended later- Location  : Ludwigshafen, Germany",,Contract is to be extended.,0,1,0,Contract,Mid-Senior level,Unspecified,Information Technology and Services,Information Technology,0
12780,Product Copywriter ,"US, CA, San Francisco",Receiving,,"The RealReal is changing the way people buy and sell luxury items. The leader in luxury resale, the company is a full service retailer offering customers authenticated, pre-owned, designer brands including Chanel, Hermes, Louis Vuitton , Gucci and Cartier, all at up to 90% off original retail. The RealReal takes possession of all items prior to sale in order for its merchandising team, authentication specialists and skilled gemologists to evaluate each item. The company also offers buyers merchandise returns, with an in-house customer service team available to answer specific product questions.","We are looking for Product Copywriters to join our luxury fashion receiving team in our high volume authentication department.  The RealReal is the countrys largest online luxury consignment marketplace.  Founded in 2011, we are one of the few online resellers to authenticate and photograph every piece of merchandise on our site.  In addition to winning POSH and Fashpreneur Awards, The RealReal has been featured on The Today Show, USA Today, Fortune, Glamour, and Elle.Responsibilities: We are looking for candidates who are passionate about luxury fashion, extremely detail-oriented, and enjoy working in a team environment.  In this role, you will inspect, authenticate, price, write product descriptions, and assign SKU numbers (Stock Keeping Units) to our consigned high end merchandise. The product copywriter aspect of your role will involve using industry-standard fashion terms for luxury apparel, footwear and accessories while maintaining individual performance metrics.Skills and Requirements: College degree preferredAt least 1-2 years of fashion related experience, preferably in the luxury market with multi-brand experience; retail includedLuxury authentication experience preferred but not requiredStrong knowledge of fashion -- top designers, current styles, fabrics, silhouettes, garment construction, etc.Excellent organizational skills and acute attention to detailComputer and data entry skillsStrong written communication skills; commercial copy writing experience a plusExperience working in a fast-paced, ever-changing environmentAn outstanding work ethic and the ability to work independently while adhering to goals and standardsCompensation: starting at $15.00/hourSchedule: Tuesday-Saturday ",,,0,1,0,Full-time,,,Apparel & Fashion,,0
10200,Java Developer,"GR, , Athens",,,"IDEAL Group is a holding company focusing in three major activities; distribution of Advanced Consumer Products and White Goods via its subsidiary IDEAL ELECTRONICS, distribution  implementation  integration of IT Security products and solutions in the Balkans via ADACOM S.A. and software development of i-DOCS Enterprise SW and SegmatiX Automated Marketing system.","i-Docs, a strategic business unit inside Ideal Electronics, is a leading Enterprise Software Vendor that provides solutions to large Financial Institutions, Telecom companies, Utilities &amp; Governmental Organizations and Multinationals Companies in EMEA, since 2003.Within the context of our expansion, we are in the process of recruiting Java Developers.Software analysis, design, documentation, development, automated tests and support. Suggestions related to technical or procedural improvements, new frameworks, concepts &amp; ideas.","Qualifications (mandatory):University Degree in Computer science, engineering, mathematics or similar3+ years working experienceJava Programming expertise. Experience with complex, scalable enterprise software developmentCapable of writing clear, maintainable, effective, good quality codeFamiliar with at least one Java IDE, one version control system and one build toolWorking experience with servlet containers &amp; applications serversUser level experience on Linux &amp; Windows operating systemsAdherence to agile software development,  testing and continuous delivery practicesDesired Skills:XML Related Technologies (XML Schema, XSL:FO, XSLT)Experience with popular frameworks or libraries (Spring framework,Hibernate, JAXB, dom4j etc)NoSQL data stores (Elasticsearch, MongoDB)SOAP and RESTful web servicesWeb applications development - HTML / CSS / JavascriptExperience working with the Google Web ToolkitKnowledge of databases administration, operating systems and networksKnowledge related to the banking or telecom sectorBackground related to billing, printing or invoicing systemsSoft skills:Analysis / logical reasoning abilitiesExcellent verbal and written communication skills in English. Fluent speaker and writer on technical subjectsExcellent communication, human relations, problem-solving skills, training skillsReliable, creative, team player with strong organizational skills that makes things happen",Bonusprivate insurance,0,1,1,Full-time,,Bachelor's Degree,,,0
12090,Product Marketing Manager,"DE, BY, Munich",Marketing,,"Founded in 2010 by a team from Googles London and New York offices, Qubit work with some of the biggest names in Online. Our engineers have built a brand new platform that combines a number of technologies  analytics, automation, personalisation and more  into a simple, easy-to-use product.Were not the only ones excited about our brand new approach to solving the problems of modern e-Commerce. Wired magazine named Qubit as one of the top 5 hottest startups in London. Weve raised $7.5 million in Series A funding from one of the UKs biggest venture capitalists! Come and join the growing team as we embark on the most exciting chapter in Qubits history.Although weve now grown to more than 90 people, were keen on keeping our culture as relaxed and open as when there were only 5 of us. Our offices have table football, kitchen full of fruit and other snacks, never ending coffee supply, dinner service and lots of other startup perks. More importantly were a focused and determined team preparing to double in size during 2014!","Qubit: Cutting Edge Big Data EngineeringQubit is looking for an early career marketer to join our growing team in the heart of Munich. We need a Product Marketing Manager to be our first person on the ground in the city, backed by our experienced London team who are developing and implementing our brand and communications strategy as we enter an exciting new period in the companys history. This is an opportunity to make your mark at one of Europes fastest growing start-ups, now operating across four markets (London, New York, Paris, Germany).What Youll Be DoingYou will own the European content marketing strategy. This involves producing regular content to help educate our clients and prospects about our technology including help videos, FAQs, on-boarding programmes, running the knowledge base and contributing to the Qubit learning academy.Youll support our external events and webinars, putting together our yearly event strategy as well as liaising with our European events manager to organise and run the events throughout the year, working in collaboration with the sales and client teams.Youll be responsible for our partner marketing strategy, working directly with our head of partnerships. This involves creating and executing partner engagement marketing strategies, including guest blogging, joint PR, case studies, co-sponsored events, and so forth.Youll be planning and running bespoke marketing campaigns working in tight collaboration with our client and sales teams, including writing research, creating targeted email communications, running roundtables and networking events, etc.","What You'll NeedBy submitting your application you understand that Qubit will store your data in accordance with local lawsAn undergraduate degree in any discipline from a reputable institution. Candidates with degrees in Business or Marketing are preferred.Exceptional experience working in a marketing, strategy or other creative role. Experience with running marketing campaigns is beneficial.Well presented with excellent interpersonal and organisational skills, with some experience of running and attending professional events.Fluent in German and English, additional languages such as French and Spanish are beneficial but not required.An interest and understanding of our industry and digital marketingYou must be a confident user of MS Powerpoint, Keynote and Excel. The ability to use Adobe Creative Suite would be very helpful.","As well as the opportunity to solve complex problems in this exciting new era of big data, heres what we offer:Realistic performance related bonusesGenerous equity options mean youll own a piece of the pieExcellent health and dental insurance packagesA relaxed approach to time off and enough holidays to see several corners of the worldFridge fully stocked with healthy snacks and the ultimate espresso machine for your java fixA competitive office where we play foosball, football, scrabble, go-karting… you name it, well play itThank Qubit its Friday  we have lots of creative ways to let off steam at the end of the weekPlenty of opportunities for training and development",0,1,1,Full-time,Associate,Bachelor's Degree,Internet,Marketing,0
8465,Would you like to join our IT Team?,"RO, , ",,,"Skyconsult is a global consulting company with highly professional international standards; we focus on leading edge technologies, best practices and work solely with companies with large budgets. When you work with us you're part of the full scale development, training is perpetually stressed and upward mobility is inevitable. Skyconsult provides a dynamic atmosphere; our services include but are not limited to Business Consulting, IT Operations, Critical Stabilization and Improvement, Infrastructure and Cloud. ","If you are IT&amp;C passionate, we would like you to join the SkyConsult team as an Intern and we engage to offer you the opportunity to apply your knowledge in a fast-paced company. Send your resume to #EMAIL_d88f2cd24494dd5c96e2e00223c5db704891547858fa52f9212f1afbc89d6d62# . Should you need further details you can contact us at: +40736.333.960. The Internship will provide project management for a variety of IT&amp;C initiativesYour future duties if you join the Service Desk Team:  Offer support and guidance to our clients Assist the Service Desk team in activities which require the processing, operating and monitoring the IT&amp;C systems, peripherals, hardware and software Offer assistance for solving day to day incidentsYour future duties if you join the Help Desk Team:Assist the updating procedures for PC/mobile applicationsIdentify problems and solutions to problems; assist the team in solving urgent issues. Redirect requests towards other departments when the situation asks for it.Your future duties if you join the SAP Team:  Participate in operational strategy related to business and technology  Prepare presentations and status reportsYour future duties if you join the Applications Team:Participate to the development of technical solutions and applicationsAnalyze functional specifications for the development of new applications or changes to existing applicationsTesting the applications and ensure the functioning  ",Requirements:Superior studies in Information Technology/ Economics/ MathematicsKnowledge and skills:Great spirit of initiative and curiousityStrong problem solving skillsAbilities to effectively communicate technical and business problems in a non-technical mannerCritical and analytical thinkingAttention to detailsResult-orientedExcellent oral and written communication skillsGood command of English,"You will work within a company that provides a dynamic atmosphere and promotes Integrity, Excellence, Accountability, Respect and Innovation. Integrity is at the core of both what we do and how we do it. Our passion for excellence means we will strive to do the very best job we can in all endeavors. Achieving excellence requires that we constantly re-evaluate and improve ourselves. Our customers trust us to take responsibility for their applications and to manage the IT backbone of their corporations. We respect our customers and our investors, we value our partners and vendors, who contribute to the success of our company, and our colleagues. Innovation means always thinking of new and better ways to accomplish a task, it means always improving our processes and our products, and it means that we will use technology not for its own sake but as an enabler to improve delivery. Teamwork. We can achieve far more working together than we can as individuals.You will develop your technical knowledge within a multicultural and friendly working environment during the 3+ months paid internship. ",0,1,0,Full-time,Internship,Bachelor's Degree,Information Technology and Services,,0
12439,Managing Editor - Online Publication ,"US, CA, El Segundo",Content ,,"ConsumerTrack is a technology-centric internet marketing company built on a culture of excellence. We are the preferred digital advertiser for premium financial brands such as American Express, Discover, Allstate and Equifax. Through our 1600+ websites, we set the vision and the strategy for our clients to reach and connect with their target audience.At ConsumerTrack, we pride ourselves in having a team that exudes leadership, high initiative, creativity, and passion. By joining our team, you will have the opportunity to maximize your job performance and satisfaction with a company that is constantly growing.We are committed to recruit, retain, and promote employees with a diversity of backgrounds and life experiences. We believe such diversity fosters growth and innovation, ensuring we remain an industry leader.Were currently looking for energetic, driven and entrepreneurial trendsetters for all areas of the #URL_2a5cb7925ac0d5929dbe762cfc72b186318a2597921e82d05639bbb5978f8089# youre looking to advance your career and become a part of our team, we invite you to apply for our open positions.","ConsumerTrack is a performance based marketing company comprised of 1,600+ personal finance websites that inform, entertain and connect millions of people every day. We partner with a number of major media outlets such as Business Insider and US News &amp; World Report to provide compelling and edifying personal finance content to make it easy for consumers to navigate through the world of finance.We have an immediate need for an Editorial Manager to support our Content Team. Were looking for a highly organized editor with digital publishing experience and strong managerial skills to will help lead a talented team of writers and editors, as well as contribute to the direction of our sites voice and content.Responsibilities: Manage growing team of both in-house and freelance writers and editors, providing training and development, ensuring team remains on deadline, handling internal conflicts and questions, and surfacing personnel issues to Content Director.Work with SEO, PR, Social and Client management teams to create editorial calendars that accomplish site and business goals.Set and enforce policies and procedures to improve the quality and efficiency of the Content Team.Contribute to the development and evolution of the GOBankingRates voice by participating in pitching and calendaring meetings.Contribute to the development of the Content Team's culture and quality standards.Own and support various projects as needed","Degree in Journalism, English, Communications or related field.Strong managerial skills with at least three years in a management role for an online publication.Ability to work independently while remaining accountable to and taking direction from Content Director.Ability to see projects through from conception to execution.Experience working within a content management system (WordPress).Strong time management, communication and interpersonal skills.Ability to manage multiple projects simultaneously.Previous experience in personal finance a plus. This is a full-time position based in our El Segundo office.","Competitive salary with excellent growth opportunityWe will invest in you!Excellent medical, dental and vision plansPaid vacation, holidays, and sick days401k- We contribute 3% of employees salary!Free Gym Membership for elite Spectrum ClubsMonthly complimentary catered breakfast/lunchesMonthly employee outings (ex. Bowling, Paintball, Bonfire, etc.)We are an equal opportunity employer and all qualified applicants will receive consideration for employment without regard to race, color, religion, sex, national origin, disability status, protected veteran status, or any other characteristic protected by law.",0,1,1,,,,,,0
16813,Java/EDI Programmer,"US, FL, Tampa",,,,"Software Engineer-Java/EDINow Hiring Software Engineer-Java/EDI for one of our client in Tampa, FLJob Role: Software Engineer-Java/EDIJob Function: Software DevelopmentJob Type: Full-Time/PermanantJob Industry: HealthcareJob Level: Mid-SeniorJob Location: Tampa, FLSkills and Experience Required/PreferredOver 5 years experience in the development, implementation, and maintenance of large-scale OLTP and DSS in a client-server environment 2 plus years Healthcare experience, preferredExperience in JavaExperience with hibernate and springPreferred EDI experience with 8375 years experience in J2EE and Web Service.Experience with Microsoft SQL Server and Oracle DBMSExperience with PL/SQL, XML and Linux preferredThe CompensationBest in IndustryNote: Citizens, Immigrants and Non-Immirants Considered.Interested professionals please apply with your updated resume.",,,0,0,0,Full-time,Mid-Senior level,,Hospital & Health Care,,0
302,Employee at RhodeCode (Berlin),"DE, BE, Berlin",G&A,35000-100000,"RhodeCode provides the leading source code management solution for enterprises. We are powering the world`s largest organizations, who depend on our products to make their software development process more flexible, collaborative, productive &amp; secure.Every day millions of developers, project managers and QA engineers are using our innovative software which plays a central role in their work life.Our company core values are about questioning the existing, being open &amp; delivering just the absolutely outstanding.Everyone who is with us is an expert in his/her field of expertise, works in a small team of other gifted A-players and helps to achieve the team's mission. Everyone takes full responsibility for his/her work, is treated with respect and management is just there to remove blockers. No committees, no micromanagement, just the focus on delivering the outstanding.Help us to make the world better for millions of people. Have a real impact. Apply today!","Cant find anything suitable? Surprise us!Every day millions of developers, project managers and QA engineers are using RhodeCode Enterprise which plays a central role in their professional life to get their job done.If you want to have a real, lasting impact on the way millions of people work and you are willing to go the extra mile to ship just outstanding work then joining our Berlin A-players team may be something for you.","If you have already set your professional goals, can demonstrate a particular skill set, or want to take advantage of a certain training opportunity, you dont need to wait for us to write out a job description. Take the initiative and send us your application and let us know how you can make a difference at RhodeCode.","Comprehensive compensation package, including stock optionsQuiet work environment with 3-5 people rooms next to a park and riverFlexible working hoursOpportunity to have a real impact",0,1,0,Full-time,Entry level,Unspecified,Computer Software,,0
9898,Software Engineer,"NZ, N, Auckland",Development,70000-90000,"You're youthful, tech savvy and open-minded right? So are we. We aim for excellence in everything that we do, by continually improving the way we do everything, from coding to washing the dishes. Part of this is making sure our team are able to expand their knowledge by developing new skills. We work together on a weekly basis to improve company processes, and everyone is involved in this.","We need someone to research, design, implement, test and support software for the spidertracks product, under the guidance of the senior software engineers and the CTO. Development of software for: go.#URL_68af02ed42804d84bbfd6a3b82d0223f0d0879ca529b32f6661b47cd2d410ce9#Mobile Applications Spider Hardware Internal Customers Engagement in the software planning process Input to development planning Estimations and advice Maintenance and support of softwareTesting software Conformance with company development policies Perform On-Call duties in accordance with on call schedule and requirements Performance of On-Call duties will be on a regular rotation, and may include the need to work on public holidays and weekends, for which alternative days off will be provided ",Bachelor of Engineering majoring in Computer Science/IT or a related disciplinePostgraduate engineering qualificationInternational experience through either work or pleasureProject managementWeb application familiarityAmazon Web Services ExperienceAviation experience,"You get to work here! And we'll pay you.Sometimes on a Friday, we go out to lunch. Competitive salary and a great bunch of people to work with. ",0,1,1,Full-time,Associate,Bachelor's Degree,Information Technology and Services,Information Technology,0
16010,Business Development Representative ,"US, PA, Philadelphia",,,"Founded in 2009 by early LinkedIn employees, PeopleLinx gamifies employee engagement for LinkedIn, Twitter and other social networks. Our cloud-based solution helps employees optimize their profiles (establish credibility), leverage their relationships (get warmer introductions), and engage their connections with targeted content (attract prospects). Customers include Fortune 500 leaders in banking, insurance, legal, high-tech, and professional services. You can find us in the real world and on the web:1835 Market St. // Suite 1105Philadelphia, PA 19103#PHONE_f167a2f286e8f4cb0f9fa1e47261030df0d106c8fd5f112e530d0e136de51f64##EMAIL_11429015bb60de942be3eda067d6bc311a361e27527b1caa61d26744faa41e9d##URL_9844023bca59c32bd969064d87e9140e2e7a27774849164ee371457c6eb393f1##URL_fcf1545d702394fe08474c55816b6430cdab10fa1ca92ab2029f06e4eebec154##URL_9fcf934808d5aae269e51500d2f74a1158320477ca5f92484f12eef95e4812fc#","PeopleLinx is recruiting someone who is enthusiastic and personable, yet has the can-do attitude of a hunter.  As a Business Development Representative at PeopleLinx, you will initiate and build relationships with Sales and Marketing leaders at Fortune 500 companies and other visionary organizations across various industries while marketing our products and services. Your role will include many of the following, but with success you will have the opportunity to write your own future at PeopleLinx:Research and identify key prospects in Sales and Marketing, specifically Sales Leadership, Training, Enablement, and Operations.Source contact information and create lead listsDevelop industry-specific sales scripts for both cold calling and emailsIdentify industry pain points and opportunities, and persuade prospective customers of the benefit of social business investments.Stay ahead of industry trends, best practices, and the markets wants and needsMake 40-60 outbound contacts per day, this includes cold calling, emailing, and social messagingMeet a quota of 8-12 scheduled meetings per week to fill sales pipeline for outbound sales representatives and directorsSupport Business Development Directors with meeting preparation, communicating with prospects, company research, prospect nurturing, and contract negotiationsDiligently track all sales activity and dataWork closely with Marketing and Client Engagement teams to support all companywide initiatives","Bachelors degree and 2+ years of SaaS sales and/or account management experience working with medium-to-large businessesEnergetic, confident, and personableHas a hunter-mindset; able to prospect aggressively for new targetsAbility to meet deadlines and quotas, especially under pressureAbility to prioritize and manage multiple projects simultaneously, and follow through on internal and external items in a timely manner.Ability to think strategically and operate tacticallyExceptional verbal and written communication skillsOrganized, with a keen attention to detailStrong Excel, PowerPoint, and basic computing skillsExperience selling social media solutions a plusSome travel required (10-15%)","Competitive compensation with uncapped commissionsCollaborative, open culture that encourages coaching and developmentFull benefits, including access to Weston Fitness (located in our building)Friday lunches and company outingsFully stocked kitchen and pantryOpportunity to quickly grow into new and exciting roles in fast growing technology company",0,1,0,Full-time,Entry level,Bachelor's Degree,Information Technology and Services,Sales,0
2959,Retail Solution Specialist,"CA, ON, Toronto",Sales,,"Vend is looking for some awesome new talent to come join us. You'll be working in an awesome team doing awesome things, and generally being awesome.Learn about us on our blog, or meet the team on Twitter, Facebook, LinkedInAwesome SpaceOur brand new Auckland office space is located on Nuffield Street in Newmarket, surrounded by our customers and the industry we love. It is huge, open, and shiny new with great meeting room spaces, casual working environments and plenty of space to host awesome events. We don't do cubicles, just plenty of space, whiteboards and meetings rooms. We also have a great cafe/retail space with our very own Front of House Manager taking care of our visitors and fellow Venders. Like Auckland our Melbourne office is surrounded by retail. It's a great wee space equipped with tiny houses and some awesome cardboard cutout animals.Our Toronto office is located right in the heart of downtown retail district. Like Melbourne and Auckland there's a great vibe with great coffee, boutique stores and some of the greatest restaurants in the city. Our SoMa office in San Francisco is located in the middle of the SF tech scene, just a (Biz) stone's throw from Twitter.The EnvironmentWe want you to be at the top of your game. You can wear whatever clothing you like, start work late in the morning, take breaks whenever you want and generally work the way you want to work. Who we're looking forVend is looking for people to push the boundaries. We are a hard working professional team with a wicked sense of humour, and we are looking for people who thrive in a collaborative open environment. We want passionate, hard working, talented individuals that want to lead in their field.","Have you ever wanted to work on a cutting edge online product?  Be responsible for selling this product in Canada and the USA without having to do any cold calling or prospecting?How would you like to be part of a fast growing tech startup, with an already established base of customers and fans worldwide, as it grows into a global Kiwi success story?Vend is an online product - a new type of retail point of sale and store management. Traditional point of sale is ugly and painful to use, but we're changing that, ditching the crufty old cash registers, smashing them to bits with hammers, and making beautiful software for iPads, touch screens and any other device with a web browser.Were shaking things up, pushing out innovations, and finding (and meeting) a huge demand for our products. Our customer base is going through the roof!  We are not an ordinary company, and so we need you to be extraordinary! We need a sales legend to be part of sales process. The Retail Solution Specialist will:Manage the entire sales cycle from the inbound leads to the activation of an accountHelp improve our innovative sales approachBe driven by sales over-achievement.You will report to the Director of Sales for North America and work closely with the support team to ensure a great customer experience.",Some skills that may come in handy:Be self-driven and hard-workerSales experience and achievementsExperience in the retail sector and / or in IT would be nice but not compulsoryBe very well-organized: you will have a high volume of new leads to handle every month,"What can you expect from us?An open culture where we openly share our results and where your input is valuedFun at work!  Like playing pool whenever you want, getting a zombie makeover, or playing Minecraft on our dedicated Vend server.Work-life balance.  We know you have a life outside of work.  At Vend you can have a life inside work, too.Utilise all your talents.  Not just the ones written in your job description.We welcome all of you at work.  We dont want you to leave your personality at the door.",0,1,1,Full-time,,,Information Technology and Services,Sales,0
5196,Freelance writer/analyst for France,"FR, J, Paris",,,"The Advanced Interactive Media Group LLC - or short: AIM Grou - is a global consulting company specializing in classified advertising and interactive media. The company publishes the Classified Intelligence Report, which has been called the “bible of the classified advertising industry.” The AIM Group consults with its clients on revenue growth, best practices, and strategies and tactics for traditional and interactive-media publishers. It was founded in 1997 by Peter M. Zollman, and now has clients, writers and analysts throughout the world.","The AIM Group is the worlds leading consultancy in the field of interactive media and classified advertising. Since more than 15 years we publish ""Classified Intelligence Report"", long considered “the bible of the classified advertising industry.” We care about job boards, automotive portals where used or new vehicles are being traded, real estate websites and general marketplaces where consumers sell used stuff to other consumers. Our business oriented publication keeps our global clients informed about everything relevant to their specific business.We aim to strenghten our position in France and in the French speaking countries. A writer / analyst for Classified Intelligence Report and the Advanced Interactive Media Group works part-time, on a freelance / contract basis, at his or her own pace. At the same time, however, we establish expectations of volume --- how many articles and briefs per month, for example --- and frequently assign research projects with specific deadlines.Most articles will be assigned by our regional directors or editorial director, although regular contributors often establish personal / regional “beats” and follow specific companies, trends or businesses. Companies we follow are typically involved in the business of digital marketplaces that involve , or provide the technology and tools for publishing. We also follow companies involved in social media; mobile publication of local advertising, “daily deals,” and more.In addition to reportage for #URL_ad5933cd4fc5b57fac2d0d3347227d21a8f9c38c62f44d646333f8223fb0bf32# / Classified Intelligence Report, we often use our writer / analysts to contribute to consulting projects that we have taken on for clients. Sometimes this research is published; sometimes it is proprietary.     ","Ideal candidates will have:          --- experience working in journalism, either print, broadcast or online, as reporters, editors, bloggers, etc.          --- an understanding of the business aspects of traditional and digital media          --- another part-time or full-time position, but have flexible availability to complete assignments from the AIM Group and Classified Intelligence Report as mutually agreed          --- a strong command of written and spoken English, and additional language(s) as appropriate to their markets          --- strong familiarity with digital media, including trends and structures","Working within a dedicated and enthusiastic virtual teamGaining experience in a field that is of high demandA regular income with reliable payment scheduleFor more information, visit #URL_ad5933cd4fc5b57fac2d0d3347227d21a8f9c38c62f44d646333f8223fb0bf32#",1,1,1,Contract,,,Internet,,0
16420,Manufacturing Engineer,"US, IL, Chicago",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Manufacturing Engineer :To support production to ensure that Customer demands are fully metTo recommend and implement solutions to the day-to-day operational, quality and performance problemsTo recommend, plan, design and implement methods, tooling and equipment to improve operational performance and quality, whilst ensuring that products are produced at the lowest possible costEducation :BE MechanicalDesired ProfileExperience in a mechanical / electrical engineering positionExperience with CNC programming &amp; operation using Fanuc/Mitsubishi systemsExperience in Product or Tooling designExperience with Word, Excel, AutoCAD and MRP SystemsExperience :10-15 years Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,,,0
10739,Front End JS Developer,"CA, ON, Toronto",,60000-90000,,"Were looking for a kickass JavaScript developer to help build our front-end. We want you to to create an interface that is excitingly easy for our users to interact with. We use AngularJS for our front-end, as well as HighCharts and D3js for visualizations.Youll work with the rest of the team to design the UI, API end points, and anything else we need to create a great user experience. You will be responsible for performance, scalability and testing of the front-end.","Qualifications and Experience• AngularJS experience would be awesome, but at the very least you need to have built production systems using a JS framework like Ember, Backbone, etc.• Must LOVE writing JavaScript tests.• You think visualizations are fun  you have experience with D3js or at least think D3js is awesome and want to learn it.","We are a startup-type team: we wear jeans or shorts and we usually have dogs in the office. We are located at King and Bathurst, have flexible hours, work with great tools (Apple Gear), and offer great benefits, a fully stocked kitchen and pantry (coffee aficionado?), a great team dynamic, competitive pay and share options and more! We offer all of our team $250 towards building your own ecommerce store, and yes you also get free premium access to the whole Vantage Analytics toolkit to grow your store and make it wickedly successful.",0,0,1,Full-time,Associate,Unspecified,Internet,Information Technology,0
8845,Web Designer,"US, MA, ",,,,"WhatArmy is looking for a Web Designer with excellent technical skills, a keen eye for detail, and a desire to grow. Youll be joining our projects team as we pick up steam and take on new &amp; exciting clients.If you are passionate about design, up on the latest web trends, and looking to work with a talented team at a young &amp; hungry startup this is for you.Working with the Creative Director you will assist the team with an array of design, content, and front-end tasks. At times, you will interact directly with our clients and developers. Your core responsibilities will include:Building sitemaps and developing content strategiesDesigning wireframes and mockups for responsive websitesPerforming quality control tasks on our development workMaking CSS edits to improve design detailsWorking with our clients to collect, organize, optimize, and upload web content","Good tasteStrong portfolio featuring examples of modern web design workA keen eye for detail and an understanding of layout, typography, and color theoryMastery with Adobe creative cloud products (primarily Photoshop and Illustrator)The ability to adapt and iterate designs at any stage based on feedbackExperience managing web content on WordPress and other popular CMS platformsStrong knowledge of modern HTML &amp; CSSFamiliarity with responsive design principles &amp; techniques Not mandatory, but it's cool if you have any of these:Illustration skillsAn understanding of UX designExperience conducting user research or running usability testsExperience with modern prototyping tools (sketch, reflow, balsamiq, inVision, etc)Experience with any of the following languages or frameworks:jQuery and basic JavaScript | PHP | Liquid (Shopify) | Bootstrap | Sass / LESS","This is your chance to work on your passion and join a small, fun, and talented team as we grow our company. You will wear multiple hats and learn what startups are all about. There will always be room to grow, learn, and take on new and exciting responsiblities.WhatArmy offers flexible hours. We balance our time between the Bedford office and our home offices. Our company runs in the cloud and we use cutting edge applications to manage all of our work and communication. We work our butts off but we enjoy what we do. We know how to have a good time and we value a healthy work/life balance.We are considering part-time, contract, and full-time employees for this position.",0,1,1,Contract,Mid-Senior level,Bachelor's Degree,Design,Art/Creative,0
1477,Field Hand (Oilfield) - Start Next Week!,"US, PA, Waynesburg",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Leading Water Transfer organization is seeking an exceptional team of Field Hands to start immediately!Job Description:This is an entry-level position involving a dynamic workload. Heavy physical labor is required in an outdoor environment. This role is an exceptional opportunity for military veterans looking to break into the Oil &amp; Gas Industry. As a Field Hand you will be required to work various shifts on a rotating schedule.We are ready to fill these positions with people just like you! Your demonstrated team commitment, safe work habits, and superior job results will be rewarded with an exceptional compensation and benefits plan, training programs, challenging work assignments, and unlimited opportunities for professional growth.","Experience working outdoors.Must be safety and team-oriented.Ability to perform physical labor on a consistent basis.Experience in oilfield services is a definite asset, but not a requirement of the position.Don't miss out on this exciting opportunity!Applicant will be subject to a background check*.Pre-employment drug screen is required as a condition of employment.Applicant will be subject to a Motor Vehicle Report.*A conviction record will not necessarily prevent you from being employed. You will be required to complete and submit a background questionnaire. We will consider your age at the time of the offense, when the offense occurred, the seriousness and the nature of the offense, as well as any rehabilitation to determine your employability.",,0,1,1,Full-time,Entry level,High School or equivalent,Oil & Energy,,0
6817,Product Innovator (Junior),"DE, BE, Berlin",Product,,"Babbel enables anyone to learn languages in an easy and interactive way. The learning system offers 13 languages, from English to Indonesian. Users with and without previous knowledge can access grammar and thematic courses as well as vocabulary, writing and listening comprehension exercises online and on the go. In addition to the full courses for web, iOS and Android, there are also vocabulary trainer apps for Windows 8 and the Kindle Fire.Babbel is operated by Lesson Nine GmbH in Berlin. The company was founded in August 2007 and now has approximately 250 employees and freelancers and is opening an office in New York City (Lower East Side).","We are looking for a Product Innovator (m/f, full-time) to start immediately in our office in Berlin-Kreuzberg, Germany.#URL_8e4e9897aecb1980ec96e296864343a4402263bc440bec39377381446da44dc8# is growing fast while we are shaping the future of learning. With more than 20 million app downloads, millions of regular users and more than 7000 hours of individual content we are the dominant player in online language learning. At Babbel, we take innovation seriously and constantly discover and validate new ways of learning as well as complete new products in our own innovation lab.As Product Innovator, you will be working closely together with our Chief Innovation Officer (CInO) and co-founder as part of our innovation lab to develop next generation learning systems.Responsibilities:Generate and detail ideas on new product lines and business modelsDesign the next generation learning systemDiscover, elaborate and test ideas for the next generation learning systemModel user experiences and design prototypesRun daily A/B tests with large amounts of real users hand for fast feedbackAnalyse, communicate and share results with the team","Requirements:Your mission is to revolutionize and disrupt the learning spaceYou are hooked on data driven insights and improving thingsYou have a passion for creating products (you will work with developers that implement your ideas)You live hands-on mentality and pragmatic approachYou are driven by curiosity, playfullnes, smartnessYou are able to understand and dive into technical complexity if neededYou are a generalist with broad interests in wide area of fieldsYou are in the midst, about to finish or have just finished your studiesYou have a great interest in product design and development","We offer you:Potential to change the world by designing the future of learningCutting edge technical infrastructure and setupDiscover new stuff every dayA fast moving, agile environment with immediate feedbackResponsibility from day oneA highly motivated lab team that includes Babbels co-founder and CInOProfessional and personal growthA lively startup atmosphere with friendly working hoursLong-term prospectsA vibrant international team from over 20 different nationalitiesExcellent working conditions with a location in the heart of the Berlin scene",0,1,1,Other,Entry level,Unspecified,Computer Software,Research,0
13605,Client solutions manager,"US, NY, New York",,,"Industrial Color Software is a division of Industrial Color Brands, a family of vertically integrated creative production companies. Founded in 1991 from a culture of innovation, excellence and attention to industry trends and client needs, the brands operate independently and together to provide high-level holistic solutions for photography, advertising, retail, media, and production industries. Industrial Color Brands include GlobalEdit, Impact Digital, Fast Ashleys Studios, Industrial Color Software, and the M Project Gallery.","We are looking for a seasoned GLOBALedit Support Specialist for our customers who manage or are part of the creative production process.Reporting to the VP of Sales, the Support Specialist is responsible for:1. Account ManagementPossesses full knowledge of client account structures and workflows across multiple industries, such as media and entertainment, fashion retail, photo production, and advertising.Helps solve workflow problems or issues for clientsMaintains relationship with key client contactsTracks client workflow and historical changesPlans and executes product support videosDemos product via phone or web for prospective and current clients2. Product Support:Liaison between customers and development regarding technical issuesCommunicates feature requests to product teamInforms clients of relevant system and feature updatesRegularly contributes to support site / forumsManages support tickets3. Inside SalesIdentifies opportunities to encourage account growth and workflow updates to increase usageEstablishes client relationships, and identifies opportunities for account growth.Leverages relationships for marketing opportunities, encouraging clients to participate in  tradeshow panels and case studies.Handles onboarding and training of new customers,  and leads overall project management for new accounts4. ProductionUpon request, provide production services on behalf of customers. This may include:Receive drives / shoots; maintain detailed tracking reports on files receivedAdd metadata for file batch and/or by specific file contentSort images by talent actor/characterSort / organize images for final delivery","Requirements:Strong technical savviness and know-howPersonable, reliable and highly organizedHas great follow-through skillsHigh energy to grow and learn in a fast-growing companyExperience supporting web-based systemsIndustry experience in media, video, photography, workflow desirablePassion for photography/video a plus","Industrial Color offers competitive benefits including medical, 401k, and PTO in our beautiful 30k sq. ft offices in Tribeca.",0,1,0,Full-time,Not Applicable,Unspecified,Photography,Information Technology,0
13419,Quality Manager,"US, MI, Detroit",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)Implementation and maintenance of quality management system throughout the organization.5. Conducting management review meeting and providing recommendations for improvement.6. To provide customer complaint addressal, resolution and application support.7. Implementation of various standards such as QS 9000, ISO/TS 16949, ISO 9000, Kaizen projects, Six sigma projects, TPM etc.8. To act as management representative for the plant / company.We have many more Global Healthcare Professionals jobs are available in our website. Please go through our website and search the relevant job and apply directly. Visit - #URL_ec64af2b4fe2ca316e828f93b0cd098c22f8beba98dcac09d4dd7384b221a5e8#-#URL_2954b76adf23051d4bc35fc729f5a18c5de715ed82dfaee24b3aabbf3874ca57# ",,,0,0,0,Full-time,,,,,0
9714,Graduates: English Teacher Abroad ,"US, NY, Rochester",,,We help teachers get safe &amp; secure jobs abroad :),"Play with kids, get paid for it :-)Love travel? Jobs in Asia$1500 USD + monthly ($200 Cost of living)Housing providedAirfare ReimbursedExcellent for student loans/credit cardsGabriel Adkins : #URL_ed9094c60184b8a4975333957f05be37e69d3cdb68decc9dd9a4242733cfd7f7##URL_75db76d58f7994c7db24e8998c2fc953ab9a20ea9ac948b217693963f78d2e6b#",University degree required. TEFL / TESOL / CELTA or teaching experience preferred but not necessaryPositive attitude required. Canada/US passport only,See job description,0,1,0,Contract,,Bachelor's Degree,Education Management,,0
7076,Collections Supervisor,"US, VA, Virginia Beach",,,"Tidewater Finance Co. was established in 1992 for the initial purpose of purchasing, and servicing retail installment contracts. There are two divisions: Tidewater Credit Services, providing indirect consumer retail finance options and Tidewater Motor Credit, providing indirect consumer auto financing. We remain committed to offering a partnership with the dealers and consumers to create a WIN-WIN-WIN situation. Our success relies solely on the success of our dealers and our consumers.Full time positions include the following benefits:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentFull benefits to include health, dental, life and disability insuranceA 401k plan with a company match after 6 months of employment based upon a quarterly entry dateIncentive bonuses for individual and team goals (certain positions)Bilingual Spanish eligible for differential pay","Tidewater Finance Company is located in Virginia Beach, VA and has a full-time Collections Supervisor position available. Tidewater Finance is an industry leader in indirect consumer specialty financing since 1992. We provide diverse lending solutions for our dealer network while promoting employee integrity, teamwork, and an uncompromised level of customer service. Requirements:Five years collection experience with at least 2 years in a leadership roleCollege degree preferredStrong references from inside and outside industryStrong knowledge of compliance/collection regulationsUnderstanding of automated Dialer Responsibilities:Orients, coaches, counsels, disciplines and evaluates performance of branch employeesExcellent written and verbal communication skillsPossess excellent leadership, team building and motivational skillsConducts weekly accounts reviews with Collectors as requiredSets branch objectives, monitors and appraises employee performanceCommunicates and enforces ethical business practices and compliance with laws and regulations of jurisdiction specifically finance and collections relatedAssist with development of a calling strategyEvaluates and recommends continuing professional education of Service Center personnelCustomer calls, talk-offs, escalated calls, and appropriate use of all collection toolsAccount reviews- Review customer accounts regularly to ensure quality performanceUpholds Tidewaters Collections Code of Conduct at all timesParticipates in special projects or performs duties in other areas as requestedInterested applicants may submit your resume via e-mail to #EMAIL_169ac3804e2da6e0514e5ef76c29f157f41d80451b486889d9aa#PHONE_4dbd33c1dede3cec472e02df8f201e27aa330a9a201578720111c840de9d8117## or fax your resume to the Human Resources Department at #PHONE_8f86665c8a76d925f761287bb38d6bb5f440845f2a5fa712361f255943a8b21b#.Tidewater Finance Company is an equal opportunity employer in all aspects of employment without regard to race, age, sex (including pregnancy), marital status, religion, disability, genetic information, military status or any other characteristic or status protected by law.  Tidewater Finance Company includes Tidewater Motor Credit and Tidewater Credit Services.",Requirements:Five years collection experience with at least 2 years in a leadership roleCollege degree preferredStrong references from inside and outside industryStrong knowledge of compliance/collection regulationsUnderstanding of automated Dialer,"Our company offers a competitive salary plus BONUSES as well as a comprehensive benefits package to our full-time employees including:40 vacation hours after 6 months of employment, 80 vacation hours after 1 year of employment6 paid holidays as well as an anniversary holiday benefitPaid personal and sick leave after 90 days of employmentHealth, dental, life, and disability insurance as well as AFLAC supplemental insuranceA 401K plan with a company match after six months of employment, however, we have quarterly enrollment periods.",0,1,0,Full-time,Mid-Senior level,Unspecified,Financial Services,Management,0
1027,Country and Sales Manager - Romania ,"RO, , ",,,,"Moosend is expanding its team as we strive to increase our share of the European email marketing market. As a country manager in Romania you will be responsible for setting up the sales channel and lead the development and growth of Moosend in the area. In detail, you will responsible for the ongoing development of the Moosend brand in Romania which will likely involve presenting in various conferences and events as well as providing support to any local customers seeking help.Duties:Setup and develop the Moosend brand in Romania.Collaborate with founding team and discuss progress and opportunities.Drive the business development efforts to ensure that Moosend maintains world-class features.Create and manage client relationships.Serve as an evangelist for Moosend email marketing platform in events and conferences.","Strong sales background &amp; experience, preferably in technology and / or marketing.Self-starter and work well independently without supervision.High energy and have the ability to establish trust and credibility with clients.Enterprenerial attitude - Business development is one of your key skills.Proactive and goal oriented. We need people with do-er attitude.Awesome communicator - Your friend love you and so do you.Must be able to communicate fluently in English and Romanian.Company Description:Moosend is a SaaS email marketing platform with world-class features and unparalled server reputation and deliverability. Through Moosend you manage your mailing lists, create and send your email marketing campaigns and track your results from an easy-to-use web interface. Moosend went live on March 2012 in Athens and is currently sending over 30 million emails monthly. More information and overview of 2013 can be found at our infographic (#URL_f1f7bcbd0226a02a87a165a481cfc73d3e0109a7af48d79d32c62e5e6a2323c5#).",Competitive compensationStock optionsWork from home if required,0,1,1,Full-time,Not Applicable,,Marketing and Advertising,Sales,0
10426,Loan Administrator - High Growth Specialty Finance Company,"US, VA, Reston",,,"Fundation is a technology-empowered direct lender that delivers small balance commercial loans nationwide. The firm provides fixed rate loans up to $500,000 using its own capital. Fundation fills a void in the small balance commercial loan market by offering loans to businesses that banks are unwilling or unable to lend to, and those that desire a simplified process, with capital on terms that will enable them to grow.","DESCRIPTIONFundation is a a fast growing private equity backed commercial lender dedicated to enhancing the borrowing process for small business owners across the United States.  As a technology-empowered lender, we can make faster and smarter lending decisions. As a non-bank lender, we can deliver capital to more borrowers with varying credit profiles.We are seeking to add a passionate, hard working professional to join our loan operations department.  This is an opportunity to work for a company with a high-energy, collaborative culture where your opinion matters on all functional areas within the business and to interact with the senior management team.The Role-       Coordinate the implementation/booking of all new loans and changes to existing loans-       Reviews loan documents prior to funding-       Acts as primary liaison with all customer personnel regarding loan administration-       Initiates appropriate funding actions to fund the loan closing-       Arranges for timely recording of documents, notes any exceptions on exception list, and monitors list to avoid long-outstanding items-       Performs general maintenance of accounts including data input, related reporting and review, wire and transfer processing-       Performs similar and related duties as assigned","Bachelors Degree or relevant experience as a paralegal, loan administrator or compliance associate2+ years of commercial/community banking related work experienceMust be able to work productively and independently with the ability to prioritize assignments and issues of significance.Well versed in financial products and servicesProficiency with personal computers and related software packages such as Word and Excel, and other software packages.Strong oral and written communication skillsTeam player",Salary and bonus commensurate with experience and capabilitiesComprehensive benefits packageWorking with an incredible team,0,1,0,Full-time,Associate,Bachelor's Degree,Financial Services,Business Analyst,0
13714,Sales Manager UK,"GB, , London",Sales,,"About the CompanyWe are ticketscript - the European market leaders in digital self-ticketing. Whether its massive dance events, festivals, gigs, outdoor cinemas, fairs, theater shows, exhibitions, comedy nights, or award ceremonies - we do them all! We believe in empowering our customers. Their success is our success. So far over 50,000 events have worked with us - and this is only the beginning. We have offices in London, Amsterdam, Antwerp, Berlin and Barcelona and are rapidly expanding across Europe.","The roleThe Sales Manager is responsible for expanding our customer base throughout the UK by generating new business and ensuring targets are met. The successful candidate will be based in the Central London office and report directly to the UK Head of Sales. The successful candidate will be based in the Central London office and report directly to the UK Head of Sales.ResponsibilitiesAs a Sales Manager you are part of a sales team who is responsible for generating new business in the UK.- Generating leads through own pro-activity, referrals and networking- Provide a solution-based sales approach to drive new business across multiple event sizes and genres- Negotiate contracts, the terms of an agreement and close sales- Provide customers with quotations and tenders- Attending potential client meetings and delivering presentations- Pipeline Management- Provide ongoing reporting and analysis via CRM system","Role Attributes and Experience- At least 3 years experience of new business sales- History of exceeding targets- Excellent selling and negotiation skills- Extremely organised, flexible,- Proactive and creative- Ability to influence and offer professional insight- Excellent communication and written skills- A confident, professional and determined approach- Self-motivated, competitive and driven","Our offer- Competitive salary based on experience- Uncapped performance based commission planJob detailsDepartment: CommercialJob type: Full-time (40 hrs)Job location(s): London, United Kingdom",0,1,0,Full-time,,Bachelor's Degree,,Sales,0
10193,Technical Support Associate,"US, TX, Houston ",Technical Support,25000-30000,"AboutPPD Partners provides English and Spanish contact center outsourcing to companies that want more from their customer relationships.We position our clients for success by delivering inbound contact center services that allow them to focus on their core business while maximizing profit. We deliver the brand promise of our clients by working with them to understand and apply the knowledge, behaviors, and values at the core of their success.MISSIONTo support our Clients competitive goals and brand recognition through meaningful interactions with their customers by providing quality driven contact center solutions.","We are looking to hire motivated people to add to our team of Technical Support Associates. We provide Support services for thousands of business Nationwide.Job Summary: Troubleshoot via chat, email and phone customer problems with computer hardware and software issues as well as client specific equipment and applications by performing the following duties.Essential Duties and Responsibilities include the following. Other duties may be assigned.- Answers incoming inquiries, responds to customer questions and solves problems according to standardized procedures while maintaining a courteous manner. Asks effective questions and gathers information to determine source of problems and customer needs. Logs on/off customer care system and accesses account files. Enters alpha and numeric data via computer keyboard into an automated system accurately. Accurately captures all customer and issue related information in the proper database. Leads customers through documented process of fixing their software, hardware, or network problems. Uses product information, multiple client tools, client specific reference materials, scripting, advanced technical knowledge, and customer service skills and problem solving skills to diagnose and solve customer problems. Stays current with latest technology changes.","Our entry-level Technical Support Reps answer inbound calls from customers and respond to questions about phone, internet and cable TV issues. Successful Technical Support agents have the following qualifications:- Ability to troubleshoot and resolve customer issues Ability to utilize on-line resources to resolve issues Ability to engage with customers while solving problems Ability to accurately type 25 wpm Ability to talk and enter customer data at the same time","We offer a comprehensive benefits package, 401(k), paid training, paid time off, promotional opportunities and more!!",0,1,0,Full-time,Entry level,High School or equivalent,Consumer Services,Other,0
15427,Application Developer,"US, MO, St. Louis",,,"We Provide Full Time Permanent Positions for many medium to large US companies. We are interested in finding/recruiting high quality candidates in IT, Engineering, Manufacturing and other highly technical and non-technical jobs.","(We have more than 1500+ Job openings in our website and some of them are relevant to this job. Feel free to search it in the website and apply directly. Just Click the “Apply Now” and you will redirect to our main website where you can search for the other jobs.)#URL_94f805e93a6fa859cd8540e9767e187e295d764a384221d77f8880142b6416b7#-#URL_dbdb917780b325918ec611d0f47d6a0dff5ee871b96bc71180616d0d0570925b#Application DeveloperJob DescriptionAt least 2 years experience in application integration development involving middleware technologies such as WebMethods IS v7.* and v8.*, WebMethods Broker v8, WebMethods MQ AdapterEducation:Compulsory EducationCategory:Jobs in IT - Software Programming / Analysis",,,0,0,0,Full-time,,,Computer Software,,0
1882,Driver - Medium Duty (Anaheim),"US, CA, Anaheim",Operations,,"Our HistoryFounded in 1929 by Earl Bertrand Bradley, the company began selling products for Knape and Vogt Co. of Grand Rapids, Michigan.With the opening of the Los Angeles branch in 1929, the company became a wholesale distributor specializing in store fixture and specialty hardware such as drawer slides, hinges, brackets and standards. In 1943 branch offices were opened in San Francisco followed by Seattle in 1956.The companys market position and business began to grow during the late 1950s and 1960s after adding Wilsonarts high-pressure laminate line to its product mix.During the 1970s under the leadership of E.B. Bradleys son Robert E. Bradley, Sr., two new branches were opened; San Diego in 1972 and Portland in 1976. Significant product additions were the Blum line of European hinges and drawer slides and Accuride precision ball bearing drawer slides. A greater emphasis as a supplier to the Cabinet and Furniture industries was taking hold.Since the opening of the Anaheim branch in 1995, the company has been consistently growing.In 1998 the company entered the cold press lamination business by opening 3 locations of its West Coast Laminating subsidiary in the Los Angeles, San Francisco and Pacific Northwest marketplaces.Our OwnershipUp until January 4, 2009, the company operated as a 100% family owned business.On January 5, 2009, Industrial Opportunity Partners (“IOP”), a private equity firm based in Evanston, IL. partnered with Robert Bradley, Jr. in aquiring the stock of E.B. Bradley Co. and its subsidiaries from its current shareholders.","Position available is located in our Anaheim, CA office.Responsible for daily delivery of company products to customer locations in a safe and timely manner ensuring the reputation of being the First Choice in Quality and Service.","Essential Job FunctionsSelect, sort and verify orders for delivery;Plan daily delivery route and strategy for the day;Make changes to the delivery route as per customer needs and requests;Pre-inspect orders for damage and quality before delivery;Load and/or assist with loading the vehicle for deliveries;Ensure that the load is in compliance with weight and other load limits;Conduct a daily walk around safety inspection of the delivery vehicle;Make on-time deliveries to customer locations as per pre-determined route schedule:Unload customer orders at customer location;Provide excellent, professional and courteous services to customers during each stop;Complete and maintain paperwork as required by company policy, procedures and directions provided by supervisor;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Follow all Department of Motor Vehicle policies and procedures at all times;Responsible to know and comply with all Company policies and procedures including safety and fleet safety policies and procedures;Responsible to ensure that the delivery vehicle is clean, safe, maintained and in good operating condition;Operate a forklift;Perform housekeeping duties within your work area maintaining a clean and safe working environment. Non-Essential Job FunctionsShipping;Receiving;Counter Sales;Must assist others and perform additional tasks as directed by the Operations Manager. Skills/QualificationsAbility to operate a small to medium size truck;Must have and maintain a clean driving record in compliance with company set standards;A general knowledge of the surrounding delivery areas;Prioritization, Organization, and Execution — ability to multi-task in a stressful, fast paced working environment;Attention to Detail,;Must have the ability to prioritize and organize;Good customer service skills. QualificationsTo perform this job successfully, an individual must be able to perform each essential job function satisfactorily. The requirements listed in this job description are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with qualified disabilities to perform the essential functions of the job. RequirementsHigh School Diploma or GED;A current valid Class B Driver's License;A current DOT Medical Certification;Basic computer skills;Ability to be certified as a forklift operator;A minimum of five years of general driving experience;1-3 years of route delivery experience. Physical DemandsRequires the ability to walk, stand, carry materials, stoop, kneel, bend at the waist, reach overhead and climb ladders and/or on and off of equipment:May require sitting and/or standing for long periods of time;Will be required to lift up to 50 lbs on a regular basis; Working EnvironmentAll work is performed within a manufacturing and warehouse environment;Exposure to moving mechanical parts, machinery, fumes, airborne particles, dirt, dust and chemical fumes;The noise level in the work environment is usually moderate to loud and may require hearing protection.Safety shoes, back support and eye protection are also required.Temperature environment range is approximately 30°F to 110°F. SummaryThis position description identifies the key responsibilities, expectations of performance, qualifications and work environment as outlined in the contents.  It is neither intended nor possible to list all tasks and variables the employee may be required to perform or conditions the employee may be subject to.  Employees are expected to follow any and all job related duties and responsibilities, as may be reasonable assigned by management.As an Equal Opportunity Employer, E.B. Bradley, Co. is committed to a diverse workforce.",,0,1,1,,,,,Other,0
13933,Production Shift Supervisor ,"US, CA, Modesto",Production,,"With over 30 years of experience in the industry, Mercer Foods has been providing superior quality freeze dried foods and ingredients to clients around the world for two generations. Our dedication to stringent quality control and complete customer satisfaction are the core of our company tradition, allowing us to provide our clients with the best freeze dried foods on the planet.Here at Mercer Foods, you will find ultra-modern facilities, uncompromising quality assurance programs, complete compliance with freeze dried food standards, and innovative technologies that include everything from sustainable energy practices to automated sanitation and allergen removal.Mercer Foods proudly provides a comprehensive list of products and services for our clients around the world. From total menu and ingredient control to fully customized retail packaging services to a global shipping network that delivers your freeze dried foods reliably and consistently, Mercer Foods spares no expense in ensuring your complete satisfaction.In addition, Mercer Foods employs an integrated research and development team that can help you map out a production and delivery schedule tailored to your specific needs. From organic freeze dried fruits and vegetables to specially prepared and packaged bulk freeze dried foods and ingredients, Mercer utilizes innovative technologies and techniques to ensure prompt and accurate delivery of your product.Quality, sustainability, and service have been the hallmarks of Mercer Foods since our inception, and our ongoing commitment to perfecting our freeze drying process has solidified our reputation as a leader in the freeze dried foods industry.Mercer Foods is an equal opportunity employer. We take pride in the diversity of our staff, and seek diversity in our applicants.","Job Title: Production Shift SupervisorDepartment: ProductionReports to: Plant ManagerSchedule: Third ShiftESSENTIAL DUTIES AND RESPONSIBILITIES: Supervises department employees in a freeze dried manufacturing environment: plans and assigns work, implements policies and procedures and recommends improvements in production methods, equipment, operating procedures and working conditions.Primary duties and responsibilities:Ensures effective employee relations. Provides employee coaching and development. Makes employment decisions. Resolves employee issues through problem resolution.Balances quality, productivity, cost, safety and morale to achieve positive results in all areas. Works to continuously improve in all areas.Manages departmental performance measures, including visual controls and provides regular progress reports to manager.Provides leadership through planning cycle.Performs initial accident / incident investigations.Maintains proper inventory levels.Manages department priorities.Tracks absenteeism and time-keeping.Supervision, including employee hiring and retention, performance review and discipline.Departmental conducting of Employee training and development.Measurement of performance to goals and standards.Systems and continuous improvement orientation.Is responsible for all other duties as assigned.Supervisory Responsibility: Leads and hourly employees within the departmentEducation, prior work experience and specialized skills and knowledge:  A demonstrated ability to lead people and get results through others. An ability to think ahead and plan over a 30-day time span and prepare action plans to achieve results. An ability to balance and achieve positive results in the areas of safety, quality, productivity, cost and employee relations. The possession of quality orientation and attention to detail, problem analysis and resolution. In addition to, strong interpersonal and communication skills and an ability to manage multiple priorities.Physical environment/working conditions: The position is a manufacturing setting and could involve sitting, standing, walking and lifting for long periods of time throughout the day. Unrestricted visual and audio abilities are required for the safety of all employees. The ability to lift up to 40 lbs is required.Equipment and Machinery used: Traditional office equipment as well all machines required for the production, packaging, and distribution of freeze dried product.Other (e.g., customer contact or access to confidential information): Must be able to work as part of a team, in extreme temperatures, and various shifts. ",,,0,1,1,Full-time,Mid-Senior level,Associate Degree,Food Production,Production,0
276,"Software Engineer, iOS and Android","CY, 01, ",,,"Avocarrot is a native mobile ad network with offices in Silicon Valley and Athens. We are building the next generation of mobile advertising technology. Backed by leading corporations like Unilever as well as institutional and angel investors from the Valley, our aim is to become the de facto standard in mobile app monetization. Our platform has served several billion ad requests and keeps growing at a fast pace.Our TeamWe are hackers and we love it! As a hacker team we solve most of our problems in code and our objective is to ""ship code fast and iterate faster"".  We are light on process in order to avoid lengthy meetings and unnecessary overheads. We work in small teams that own a specific metric or a part of the product. We want to empower our people to make a huge impact on the business.Your RoleAvocarrot is growing at a fast pace and we need exceptional developers and growth hackers  to help us keep up with the requirements of a product that needs to handle billions of requests each month. What we are looking for are people who are smart and get things done! #jointhehackers",If you are a hardcore mobile engineer who wants to work in a team of other hackers changing the face of mobile advertising once and for all keep reading!We are looking for an outstanding Software Engineer with broad experience in developing Android and iOS applications. If you know almost everything there is to know about the Android and iOS platforms then don't be shy and get in touch.What Youll Be DoingDesign and implement new user-facing features in Avocarrots iOS and Android SDKs with a focus on usability and performanceWork closely with the ad serving team to implement the next generation of our ad serving APIDesign and implement highly customizable mobile ad interfacesProvide first-line customer technical supportWork in a team of talented hackers learning as much as you can and having fun while doing it,"A Computer Science or related degree from a top university1+ year experience in building complex applications for the iPhone or iPad using Objective-C with Cocoa and other frameworks1+ year professional experience in building complex applications for the Android platform using the Android SDKExpert ability working and collaborating in small software teamsExperience with web technologies (Javascript, HTML/CSS) is a plus",Control your own time - We have flexible working hoursCompetitive salaryForget bureaucracy - We want to move fast and help you to make real impact on the businessWe ship code fast and iterate fasterWe work in small teams meaning that you'll own part of the productWe believe no idea is too crazy for a hacker teamWe provide free snacks and beverages,0,1,1,Full-time,Not Applicable,Master's Degree,Computer Software,,0
1100,Chief Operating Officer (COO),"ES, CT, Barcelona",,,"Citymart helps cities to transform their communities by strengthening their innovation capacity and sharing inspiring solutions and methods. We do this by helping cities to introduce problem-based procurement methods, which help engage a much wider community of vendors in solving urban and social challenges. As a result, cities invest less public resources to greater societal effect and increase local job creation through more entrepreneurship. To-date, we have completed more than 90 such programs with over 50 cities worldwide. ","#URL_40db8ee573e5afc88001636e8ccb082c0d19fe854bf028429228acb51eacede4# has grown to serve leading global cities and innovators. We are looking for a mission-focused, strategic, and process-minded leader with experience scaling an innovative organization, leading an executive management team, and developing a performance culture among a group of diverse, talented individuals. The COO must be a leader who is able to help others at #URL_40db8ee573e5afc88001636e8ccb082c0d19fe854bf028429228acb51eacede4# deliver measurable, cost-effective results that make our vision a reality. Importantly, the successful COO will have the skills, sensitivity, and personal confidence to tap into the power that each member of the team brings to this mission. While it is essential that the COO bring efficient and effective systems to increase the productivity of the organization, is it also critical that the team retain the creative spark that drives the #URL_40db8ee573e5afc88001636e8ccb082c0d19fe854bf028429228acb51eacede4# concept.#URL_40db8ee573e5afc88001636e8ccb082c0d19fe854bf028429228acb51eacede4# is supported in this recruitment by Seeliger &amp; Conde and Sylvia Bueso #EMAIL_71854ed4fc3854a16702171bda2411a26a4c782b225ac7ccd1eb721af8c72c65# / T +34 91 577 99 77 is available for any direct enquiries.","ResponsibilitiesThe COO will lead all internal operations and will have the following responsibilities:Working in partnership with the executive board to create the strategic plan and implement new processes and approaches to achieve it.Serve as the internal manager of the organization.Coordinate the annual operations plan and budget.Lead the performance management process that measures and evaluates progress against goals for the organization.Provide for all staff a strong day-to-day leadership presence; bridge national and regional operations and support an open-door policy among all staff.Develop a strong organizational vision, mission and culture.Develop the Human Resources organization &amp; processes.Develop an accounting / financial system that provides the organization with quick access to financial information and enables strategic budgeting with a view to growth.Oversee and lead proposal and contract preparation.Provide leadership by working with the directors of the following areas:           - Cities Engagement           - Product Management           - Technology           - Delivery           - Communications &amp; Campaigns.Key QualificationsAs a prerequisite, the successful candidate must believe in the core values of #URL_40db8ee573e5afc88001636e8ccb082c0d19fe854bf028429228acb51eacede4# and be driven by sharing our vision. The candidate should demonstrate a passion for breaking new ground to lead social change. Beyond that, we are seeking a candidate that has proven experience in scaling an international organization and a demonstrated ability to both lead and build the capabilities and identity of a driven, bright, diverse team. The successful candidate will most likely have had management experience with an international organization. As noted, this is an organization driven by the vision of its people, so experience in managing a “values-driven” organization will be highly prized.Additional requirements are:  Results-proven track record of exceeding goals and a bottom-line orientationStrategic Vision and Agility Capacity Building Leadership and Organization Action OrientedGeneral ManagementInternational experience and inter-cultural sensitivitySolid educational background—undergraduate degree required; MBA or similar advanced degree highly desiredEnglish language skills have to be excellent both spoken and written; additional languages are desirable.This is an outstanding opportunity for a highly motivated professional to assume a pivotal role in the evolution of a fast-growing, highly respected global impact organization. We are seeking an individual of outstanding quality with a respected track record.",,0,1,1,Full-time,Executive,Unspecified,Information Technology and Services,Management,0
3418,Data Scientist,"GR, I, Athens",,,"Creators of innovative software products for financial industry. We believe that simplicity and great user experience can open up the financial world to more people than ever before and can create great opportunities for success.As the largest and most capable #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# development team in Athens, we specialize in production of high performance scalable cloud applications, using only the latest technologies.BDSwiss Labs offers diverse, challenging and exciting working environment, where everyone can contribute to interesting projects by their best abilities having plenty of space for skill development and learning. Enjoy dynamic workflow while constantly learning and experimenting. Join us and build the future!","Duties and responsibilitiesWe are looking for Data Scientist to work as quantitative researcher analysing data, finding insights, answering important product questionsWorking closely with product team to identify, analyse and answer business questionsUsing appropriate statistical techniques on available data to answer business questionsSuggesting ways to incorporate insights of human behaviour onlineProactively drive the collection of new data and refine existing data collection techniquesSupport engineering Quality Assurance by integrating measurement methods Present findings to product team in the most effective and appropriate wayActive learning and researching of web and mobile technologiesManaging your own tasks, workflow, responsibilities and work techniquesDirectly responsible to Business Intelligence manager","Qualifications, abilities and skillsExcellent English, interpersonal and communication skills (primary working language)M.S. or Ph.D. in a relevant technical field, or 3+ years experience in solving analytical problems using quantitative approachesWork experience in online financial services, online entertainment or gambling is a plusTheoretical foundation in mathematics and statisticsPassion for empirical researchAbility to communicate complex quantitative analysis in a clear, precise, and actionable mannerFamiliarity with relational databases and SQL and PostgreSQLUnderstanding of at least one scripting language such as #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# (preferred), Python, PHP, VB; or programming languages such as Java, C++, C#Data engineering and business understandingExpert knowledge of a few analysis tools such as R, Matlab, SAS, Cognos, Tableau, QlikTech, Oracle, MicroStrategy, Tibco, Information Builders, SAP, IBM, Prognoz, LogiXMLExperience working with large data sets, experience working with distributed computing tools a plus (Pig, Hadoop, Hive, etc.) Knowledge of human behaviour online is a plusAttention to detail, high level of discipline and strong sense of responsibility","BDSwiss Labs offers diverse, challenging and exciting working environment, where everyone can contribute to interesting projects by their best abilities having plenty of space for skill development and learning. Enjoy dynamic workflow while constantly learning and experimenting.In addition to friendly workplace and competitive remuneration we offer:Flexible working hoursStock option planComplimentary lunch and healthy beveragesInnovative bonus system based on collaborationExpenses for the workspace enhancement of your choiceSupport, advice and consulting for your professional skills developmentFor international applicants: relocation package and accommodation.",0,1,0,Full-time,Mid-Senior level,Master's Degree,Information Technology and Services,Data Analyst,0
10872,"Full-Time Caregiver for an Agreeable, Shy Young Man","US, OR, Portland",,,"United Cerebral Palsy of Oregon &amp; SW Washington (UCP) supports adults with all kinds of developmental disabilities (not just cerebral palsy), so that they can live the life of their dreams.We're growing, so you will see lots of exciting job openings in the next few months!WHY WORK FOR UCP?As an employee of UCP, you'll be proud of the work you do, every day! Our employees assist the people we support to live independently in their own homes and apartments, find and keep their dream jobs, make community connections, serve as their own advocates, and make their own choices. You'll get the chance to work for one of the 100 Best Non-Profit Employers in Oregon. We're fun and flexible, and there's plenty of room for advancement and growth.","If youre looking for a full-time job with great benefits and the chance to make a difference, United Cerebral Palsy (UCP) might have the perfect job for you.We are currently seeking a full-time caregiver (""Personal Assistant"") who will support a young adult man who experiences autism. This is a laidback job with lots of downtime, and the rewards are priceless: getting to see the biggest smile in the world as you help a young man do fun activities. He is a quiet, shy and agreeable person who does most tasks fairly independently, with just some prompting from you. He lives with his parents in Southwest Portland (very close to the Sellwood Bridge). You will work with him Mondays-Fridays, from 11am-7pm (40 hours per week), including any Agency holidays that fall during your normally scheduled hours.YOUR DUTIES:Help him build skills for daily living tasks such as grocery shopping and making meal choices.Provide prompting and praise as he does daily tasks, such as showering and getting dressed.Prepare healthy meals, and do minor household chores.Accompany him to any necessary appointments.Assist him to pursue his interests, such as taking swimming lessons and trips to the park.Provide life coaching by modeling healthy interactions, encouraging him through occasional emotional challenges.  ABOUT UCP:United Cerebral Palsy of Oregon &amp; SW Washington supports adults with all kinds of developmental disabilities, so that they can live, work and play independently. We were recently voted one of the top 100 Nonprofit Employers in Oregon.Find out more about is at #URL_2b35257099321dacb52af707d4a6bdf5dd187d81d6bfd24398f0e5b68a6727a7#.UCP is an Equal Opportunity Employer, and actively seeks applicants from diverse backgrounds.","High school diploma or GED.Be able to drive on the job: a reliable personal vehicle, valid drivers license, at least 3 years driving experience, and a relatively clean driving record.Be at least 21 years old (a requirement of our auto insurance program).Must be able to pass our background check process, which includes a reference check, a physical with a lifting test of 75lbs, a drug/alcohol screen and a criminal history background check.Applicants with at least 2 years of experience in the mental health or developmental disability field will be preferred. Experience with autism would be ideal.","$9.47/hour, 5% increase to $9.95/hour after successful 90-day Trial Service Period.Full medical, alternative, and vision benefits for employee, spouse/domestic partner, and children.401k plan with company match.Generous paid time off.Fully paid training and lots of room for advancement.",0,1,1,Full-time,Entry level,High School or equivalent,,,0
9750,Lawn and Maintenance Contractors ,"US, MD, Annapolis",,,,We are looking for a contractors/individual who can perform general maintenance and repairs on residential properties. Work may include but no limited the following: - Grass Cuts - General Repairs / Perform per bid approvals - Trash Outs (Debris Removal) - Eviction Services - Initial Secures/Securing (replacing locks) - Winterizations - Boarding - Mold Remediation - Cash for Keys - Janitorial Cleaning of home interior," **NOTE: You must have the necessary tools for perform any of the above tasks**SUBJECT TO PASS A BACKGROUND CHECK** If you know how to perform WINTERIZATION in property is a plus. You must have a Digital Camera with to take photographs supporting work done. We also require the use of email. This work is time sensitive, so a strong work ethic is preferred. **NOTE: Please be sure to include your full name, the City where you are located at and phone number and email in order to be considered for the position.  If your name, phone #, and email are not in the response, your response will be deleted.  Thanks and we look forward to hearing from you!",As per job,0,0,0,Contract,,,Real Estate,,1
16356,Digital Interns,"IE, , Dublin",Digital Experience,,"Ryanair is Europes favourite low fares airline, operating more than 1,600 daily flights (over 500,000 per year) from 69 bases, across 1,600 low fare routes, connecting 186 destinations in 30 countries and operating a fleet of 300 new Boeing 737-800 aircraft. Ryanair has recently announced firm orders for a further 180 new Boeing aircraft, which will be delivered between 2014 and 2018. Ryanair currently has a team of more than 9,500 highly skilled professionals, will carry 86 million customers this year and has an outstanding 30-year safety record.",Dara Brady sign off for 4 software testers - digital internsReporting into Dani RyanTemporary Contract 2nd of Dec - 31st of March3 days a weekHired to test new banners and features on the website. ,Digital GraduatesUCD DCUonly three days available ,,0,1,0,Temporary,,,Airlines/Aviation,Marketing,0
12861,MicroStrategy- ETL Developer,"US, VA, Arlington",,,,"Section I: Position Description• Functions as a senior business strategic consultant for data warehousing projects to solve business intelligence challenges• Provides strategic guidance and subject matter expertise to leverage MicroStrategy capabilities and advise on big data initiatives• Possesses deep technical expertise in database design, ETL (OWB), reporting, and analytics• Previous consulting experience utilizing an agile delivery methodology Section II: Position Requirements Primary Requirements:• Strong conceptual, analytical, and decision-making skills• Knowledge of, and experience in, dimensional modeling• Knowledge of Oracle PL/SQL Server• Expertise in MicroStrategy data modeling• Proficiency in creating PL/SQL packages• Full SDLC and Data Modeling experience• Ability to create both logical and physical data models• Ability to tune databases for maximum performance• Experience in Data Preparation: Data Profiling, Data Cleansing, and Data Auditing• Ability to work with Business Analysts to create functional specifications and data• Manages QA functions • Develops unit, system, and integration test plans and manages execution• Ability to write technical and end-user system documentation• Excellent written and oral communication skills• Experience transforming logical business requirements into appropriate schemas and models• Mentors and develops junior staff though knowledge transfer Secondary Requirements: Desired Requirements• MicroStrategy certification• DBA Administration• Database performance tuning experience• Familiar with highly available database solutions / architectures in Oracle/SQL Server. Section III: Experience • Three years ETL experience using MicroStrategy tools with at least one OWB • project • Three years experience with ETL processing • Three years experience utilizing data warehousing methodologies and processes",,,0,0,0,Contract,Mid-Senior level,,,,0
15864,Support Engineer,"AU, TAS, Launceston",,60000-80000,"Anittel is an ASX listed company providing IT &amp; telecommunications services and solutions, with 17 offices throughout Australia. The company has experienced rapid growth over recent years; and last year was the winner of both the Deloitte Technology Fast 50 award &amp; CRN's Faster 50 award.","About AnittelAnittel is currently seeking an experienced Support Engineer to join our technical team as we continue to grow as one of Australia's leading IT&amp;T providers. The role will be based in Launceston, and is open to applicants currently living in Australia.About the RoleIn this role you will be responsible for providing 1st and 2nd level troubleshooting and fault escalation to our clients, providing support in installing, and maintaining hardware, software, and networks. The successful applicant will be able to demonstrate exceptional time management skills, initiative, and experience in working under pressure in a fast-paced environment. Role ResponsibilitiesProvide remote and onsite 1st and 2nd level technical services to our clientsManage the configuration, deployment, and on-going administration of desktop computers, servers, storage, and networking hardware within our client baseAssist in the design, implementation, and management of a range of IT for new and existing clientsAssist with the building and staging of equipment for projectsPrepare site documentation and reporting as requiredBe willing to undertake additional training and development to progress your career with Anittel","Desired Technical Skills &amp; ExperiencePractical experience working with Windows Desktop (XP, 7, 8) and Windows Server (AD, Exchange, etc.) is essentialPractical experience with the configuration and implementation of Cisco or HP networking devicesExperience implementing core technologies for small to medium businessesExperience working with MS products including SBS, Exchange Server, SQL Server, ISA Server etc.Strong understanding and knowledge of Active Directory and associated services and architectureKnowledge and experience working with virtual infrastructure technologies (VMWare and HyperV)Knowledge and experience working with storage and SAN products (HP, EMC, and IBM)Key Selection CriteriaCertifications in VMWare, MS 2008/2003 Server, Cisco, HP etc. coupled with relevant practical experience working experienceA demonstrated passion for delivering outstanding customer serviceExcellent time management skills, initiative, ability to multi-task and work under pressureStrong written and oral communication skillsAn ability to thrive in a fast-paced environment, and genuine desire to be part of the winning team - work hard, learn fast, and grow with usCurrent license is essential",,0,1,1,Full-time,Associate,Certification,Information Technology and Services,Information Technology,0
14259,Inside Sales & Business Development,"US, , Austin",Sales,91000-150000,"TrendKite helps PR professionals and agencies build a timely, highly accurate picture of their brands' media coverage with ease. We are using the latest technologies to transform how companies like BP, Nikon, H&amp;R Block, Campbells Soup, and WPP measure the impact of earned media. We are a venture backed, high traction startup that is disrupting a huge existing market.TrendKite believes strongly that bringing on the best people will build the best company. To do that we make sure we offer a great environment. Our office is located in the heart of downtown Austin in one of the citys coolest and most historic buildings. As a company we work hard, play harder, and do our best to stay actively involved in the community.We all enjoy a great cup of coffee (great high-end espresso equipment in the office), a great tasting craft beer, and great music and incorporate all three into our work activities. Enjoy doing stuff outside of the office? Great, us too! We do our best to maintain a really good life/work balance.All TrendKite employees receive full health benefits and get to enjoy our vacation policy  there is no policy.","Join Our TeamTrendKite helps PR professionals and agencies build a timely, highly accurate picture of their brands' media coverage with ease. We are an innovative platform that is transforming how companies like BP, Pinterest, Snapchat, Campbells Soup, and CDC measure the impact of earned media. We are a venture backed, high traction startup that is disrupting a huge existing market.Were looking for a smart, talented person to join our early stage sales team.Our CultureTrendKite believes strongly that bringing on the best people will build the best company. To do that we make sure we offer a great environment. Our office is located in the heart of downtown Austin in one of the citys coolest and most historic buildings. As a company we work hard, play harder, and do our best to stay actively involved in the community.We all enjoy a great cup of coffee (great high-end espresso equipment in the office), a great tasting craft beer, and great music and incorporate all three into our work activities. Enjoy doing stuff outside of the office? Great, us too! We do our best to maintain a really good life/work balance.All TrendKite employees receive full health benefits and get to enjoy our vacation policy  there is no policy.",I'll make this easy:Have a strong work ethicGood culture fit (Subjective upon meeting with you)Competitive natureBachelor degree requiredMust be a US citizen or permanent resident.,"We Offer:Salary Stock Options Free ParkingTeam EventsGenerous PTOMedical, Dental &amp; Vision for you and your family. Employee premiums covered 100% and dependents 50%Apply by December 12th 2014. Start date Jan 3rd 2015",0,1,0,Full-time,Entry level,Bachelor's Degree,Online Media,Sales,0
16969,Sales Lead Generator,"US, KY, Lexington",,,"LEI Home Enhancements, is an Ohio based company that has been installing windows, siding, doors and decks in homes throughout the Tri-state, Dayton, Indianapolis and Columbus for over seven years.With pride in our work, honesty and integrity in our professionalism and a companywide dedication to customer satisfaction, we offer a wide range of remodeling services to homeowners.Whether your project is large or small, we understand the trust and confidence each customer places in our skilled hands.  That's why we use only superior quality products and exceptional craftsmanship to achieve long-lasting beauty, performance and value for your home.  We take every measure to carefully ensure our craftsman are properly trained in all phases of home improvement.  Likewise, our sales staff and customer service representatives draw upon their years of experience for quality installations.From day one, we will welcome any questions and concerns you may have during the renovation process.  It is our goal to provide you with beautiful and practical home improvements that will stand the test of time, along with the peace of mind that you have made an excellent selection for your home.","We are a fast paced, fun exciting place to work this summer!The person we are looking to hire IMMEDIATELY has to be extremely outgoing, friendly and always has a smile on their face.  We are looking for anyone who has met or greeted people at a customer service based position or worked for an event in a promotional setting.   Anyone, who has this type of experience would be a great fit for this postion.Warm, friendly and an engaging personality are successful attributes we are looking for in this position. Great job for College students, part-timers, retirees!  Full time positions are available as well.You'll receive a weekly base plus commissions.  Average Lead Generators are making $600-$1275 a week.In addition, you'll receive full benefits as well as vacation pay.Please apply to this AD. These positions are filling up quickly!  We'll contact you promptly!!!",High school or general education degreeCustomer Service experienceInterpersonal and listening skillsMust enjoy working in a fast paced and positive environment,Full Benefits providedVacation PayAdvancement opportunities available,0,1,0,,,,,,0
1447,Finance/Accountancy Recruitment Consultant,"GB, LDS, Leeds",,,"Recruitment to Recruitment specialists, covering the UK.",THIS IS YOUR NEXT MOVE IN RECRUITMENT!!!!1 year plus Recruitment Experience?Accountancy and Finance Experience?Looking for a fresh challenge?Perm or TempGood sales skills360 degree roleGrowing teamGreat prospectsGood earning potential.Modern City Centre Offices.Reduced parking ratesNational Company.Induction TrainingWant to find out more?  Contact Philip Wagstaff on #PHONE_2b4c04b10c9adb5e4bc8f40cbd37a54c79288d415c31c4a64654477e1c1226db#,,,0,1,0,,,,,,0
15429,Construction Scheduling-Planning Manager (Ammonia/Urea Plant),"US, IA, Wever",,,"Valor Services provides Workforce Solutions that meet the needs of companies across the Private Sector, with a special focus on the Oil &amp; Gas Industry. Valor Services will be involved with you throughout every step of the hiring process and remain in contact with you all the way through the final step of signing of the employment contract with your new employer. Valor Services was founded with the vision of employing the unique skills, experiences, and qualities of Americas finest veterans to provide Private Sector companies with precise and concerted value-added services  and Americas finest Veterans with an optimized career opportunity.We are eager to get the word out to veterans that there are ample opportunities for employment in the private sector and that you are the ideal candidates to fill those positions. Valor Services Your Success is Our Mission. ™ ","Our client, located in Houston, is actively seeking an experienced Construction Scheduling-Planning Manager that possesses strong communication and leadership skills to support the Site Project Management Team. Experience with large-scale projects is a must. The ideal candidate will assist the team to achieve projects targets in the final stage of construction of a world-scale fertilizer project. Responsibilities:Knowledge of scheduling, planning, and progress techniques (WBS, critical path, KPI, constructability, working sequence, etc.) per international standards.Strong communication and leadership skills.Attend daily and weekly meetings with client and local contractors.Prepare reports for management.Experience with IT software tools such as Primavera, P6, Word, Excel, and PowerPoint.","Proven experience managing the mechanical completion and pre-commissioning stages of a large-scale (more than $1 billion) construction project in the USA.Qualifications:15+ years' of experience in the construction industry for oil and gas and/or the petrochemicals projects industry.Experience in ammonia/urea plants preferred. Company Overview:Our client is a premiere engineering, construction, and procurement company that executes large-scale projects internationally.",,0,1,1,Contract,,,Oil & Energy,Project Management,0
3724,Senior Geologist,"US, TX, Houston",,,,"Job DescriptionCompany Overview:INTECSEA is a global company within the WorleyParsons Group and offers all the Groups capabilities for floating systems, offshore pipelines and subsea production systems. Combined with WorleyParsons Group, we offer clients complete project expertise from subsea wellhead through onshore processing and distribution. For over 25 years, INTECSEA has provided frontier technology leadership for the energy industrys most challenging offshore field development and pipeline projects.Essential Duties and Responsibilities:Survey Lead / Lead Geologist / Lead Geophysicist providing support to projects charged with the following activities:Evaluation of survey and geoscience needs for projects.Interfacing with internal project teams, providing geo-consulting guidance to those teams.Development of scopes of work and specifications for geophysical and geotechnical site investigation.Preparation and evaluation of tender packages for geophysical and geotechnical site investigation.Management of geotechnical or geophysical contractorClient representative during offshore site investigationPreparation or management of integrated desktop and field development studies.Preparation or management of pipeline right-of-way or well site drilling and site clearance reports.Preparation of integrated studies, integrating geophysical and geotechnical data.Support INTECSEA and WorleyParsons worldwide geoscience operations.GIS-based data integration from multiple disciplines.Interpretation and reporting on geophysical and geotechnical data.Pipeline route assessment, evaluation and design.Liaises with subsea engineers on geohazards and siting conditions for subsea facilities.Subject-matter expert on geosciences to internal and external clients.Familiarity with basic geotechnical engineering principles.Business Development:Exploration and development of geosciences opportunities and staff within INTECSEAIdentifying market/client trends, potential upcoming job opportunities, and development of novel approaches or improvements to the project execution work process.Maintains contact and positive relationships with clients.Mentoring of staff.It is preferred that candidate has a general familiarity with subsea facilities and infrastructure including risers, pipelines and umbilicals, PLETs, PLEMs, UTAs, skirted foundations, suction caisson foundations, driven piles, etc.Knowledge and/or appreciation of US CFR and GOM regulatory NTLs and BOEM/MMS requirements for site clearance and permitting of wellsites and pipeline rights-of-way is a plus.","Job RequirementsTechnical Requirements:Working knowledge of: MapInfo GIS, ArcGIS, SMT Kingdom Suite 2D/3D Pak, Global Mapper, Surfer, Grapher, Terramodel, Word, Excel, PowerPoint, Triton, OIC, or other equivalent programs.Managing internal and external integrated geoscience project teams and interfaces with project engineering Leads and direct-reports to Client.Educated &amp; Experienced geologist/geophysicist with background and/or hands-on knowledge of:principles of structural geology,principles of salt and salt tectonics,principles of seismic and sequence stratigraphy,principles of single and dynamic fault environments,principles of seismicity and seismic hazards assessments,principles of 2d and 3d geophysical data, loading, interpretation, and analyses,understanding of age-dating techniques,understanding of offshore geohazards,understanding of Geographic Information Systems and application to solving geologic problems,understanding of mapping and map generation,understanding of surveying and survey data,understanding of geodetic datums, projections, and positioning,understanding of basic geotechnical engineering,general understanding of ocean currents and applicability to geologic and engineering studies (i.e. scour, etc).understanding of general offshore geophysical and geotechnical site investigation techniques,understanding of wellsite and pipeline geohazards, reporting, and permitting processes,large desktop studies for regional or local pipeline or field development,basic understanding of quantifying geo-risk to subsea projects.understanding of geologic processes such as slope stability, mass-transport, etc.multi-phased, inter-disciplinary, deepwater field development studies.Evolving trends and techniques in deepwater geology, interpretation, and geohazards.Experienced with providing reports and presentations to internal or client peer assists on results and relevance to deepwater engineering projects.Good public speaking and public interaction skills.Able to work both within teams and as self-starter/self-manager.Innovative and motivated.MSc or Ph.D. preferred in Geology or Geophysics, or, BSc in Geology or Geophysics with 7-10 years of experience with the following:Working knowledge of: MapInfo GIS, ArcGIS, SMT Kingdom Suite 2D/3D Pak, Global Mapper, Surfer, Grapher, Terramodel, Word, Excel, PowerPoint, Triton, OIC, or other equivalent #URL_a3e3b09f07536a3c0e5d3ea7e4c7e147572a5164bdf03bafb25a7a29b3bda51a#",,0,0,0,Full-time,,Master's Degree,Oil & Energy,Engineering,1
13136,Internship - Software Engineering (Summer 2015),"US, IA, Dubuque",Products,,"We design, build, sell, and service the most innovative operations management technology in the world.And we do it working together.  We dont believe in aiming low. We want our technology to be the standard by which the rest of the industry measures itself. Thats why we want great people on our team  talented, enthusiastic people who thrive on innovation, imagination, collaboration, and fun.Were all about people.We work very hard to sustain a culture that empowers people and inspires them to do the very best work they can. Processes,schedules, goals, rewards  they all reflect the massive appreciation we have for the people who make this company tick.  Sound like you? Take a look at our current openings and let us know where you fit.","WANTED:  A fearless, scrappy intern with a lively attitude and an eager mind. Were using HTML5, JavaScript, JQuery, CSS3, AJAX, and more to develop the most innovative operations management technology in the world. Wanna help?What youll do…        Youll work in a state-of-the-art collaborative development space on the Northeast frontier of the Silicon Prairie.Youll work with and learn from a crack team of Software Engineers.        Youll get elbow-deep in HTML 5, JQuery, JSON, AJAX, Javascript, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#, MVC3, and C#.        Youll experience every stunning aspect of the development life cycle — building, testing, implementing, foosball playing, and more.Youll develop data entry screens &amp; reports, implement client-side and server-side business logic, write automated unit tests and perform manual testing.        Youll eat, sleep, and breathe the tenets of outstanding UX and UI.        Youll floor everyone with a barrage of fresh ideas about corporate culture and the future of technology.        Youll constantly brag to your friends about how much your summer internship rules.  ","Who you are…         Youre at least a junior whos currently enrolled in a four-year Computer Science, CIS, MIS or Mathematics bachelors degree program.        Youre acquainted with web and object-oriented development processes and related terminology/concepts.          You have excellent programming skills (experience with C#, #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a# MVC Framework, HTML, HTML 5, JavaScript, JQuery, CSS3 and/or AJAX is a definite plus).          Youre familiar with Unified Modeling Language (UML) notation.          Youre capable of developing test scripts and test code, and using automated test tools.          You know the ins and outs of databases, especially SQL Server and Oracle.          Youre organized, you manage your time well, and you stand behind your work.",,0,1,0,Temporary,Internship,,Computer Software,,0
2466,Junior iOS Developer,"GR, I, Athens",,,"ParkAround (ex Parking Defenders) is an Athens-based start-up which aims to disrupt the global parking industry. We work with private parking places across different cities to help them transition to a yield management pricing model, similar to the one being used by airlines and hotels all over the world.ParkAround is an essential tool for drivers as well, since it compares parking garages by price based on how much time they want to park. Furthermore, they can reserve a parking space using just their mobile phone and receive special rates! ParkAround is backed by one of the top European startup accelerators - Rockstart.","ParkAround (ex Parking Defenders) is looking for a passionate junior iOS developer to join the team. ParkAround is an Athens-based start-up which aims to disrupt the global parking industry. We work with private parking places across different cities to help them transition to a yield management pricing model, similar to the one being used by airlines and hotels all over the world. ParkAround is an essential tool for drivers as well, since it compares parking garages by price based on how much time they want to park. Furthermore, drivers can reserve a parking space using just their mobile phone and receive special rates!ParkAround is backed by Rockstart one of the top European startup accelerators.","A passion for the iOS platform  you consider it the best, use it yourself and know it wellProven experience and technical background in iOS developmentAttracted to challenges with a ""can-do"" and ""hands on"" attitudeWork side by side with the technical and design team, build and implement new features for our services","Competitive salary, depending on skills and experienceStock option planWork with a young and dynamic team in relaxed working atmosphereFantastic opportunity to expand and develop your own skill set and knowhow",0,1,1,Full-time,,,Computer Software,Engineering,0
3407,Internet Producer,"US, FL, Miami",,,"Applied Memetics LLC is a professional services company dedicated to integrating and delivering best practice communication and information solutions in pre-conflict, conflict, or post-conflict areas.  The world has changed: always on brands require a new way of thinking to engage and manage their consumers. Our purpose is to inspire original thinking through a deeper understanding of technology and human behaviour.  From strategy through to implementation, our teams of connected specialists  all experts in their respective fields  work together to help our clients maximise the opportunities created by the changing digital world and create a multi faceted digital strategy through to implementation.Our work explores a new model of journalism that is based around a global story  in this case, the struggle for human rights and democracy around the world. Our goal is to build a better user experience of these stories by adding context to content, using the latest digital tools of the day. Over time, we hope to add greater clarity, deeper understanding, and more sustained engagement to the conversations surrounding global events. As such, our content is transcribed and translated into English for broadcast to a global audience.","The Internet Producer shall properly complete all daily tasks. These daily tasks include but are not limited to: proper and timely publishing of news articles (fails no more than twice per week); correcting factual, production, or copyediting errors in news stories before publication (fails no more than once per week). ","The Internet producer shall perform digital journalism services, including conceiving, planning, researching, adapting, producing and editing multimedia content for OCBs digital platforms, websites, mobile news and other digital outlets.The Internet Producer shall conceptualize and design complex web stories with an innovative and creative approach. Presentations are of various formats, such as web articles, photo-galleries, live blogs for breaking news coverage, time-lines, as well as multimedia presentations with audio, video and graphics.The Internet Producer shall perform relevant duties, including writing copy, editing copy, recording and editing audio and video segments, performing interviews, basic graphic design for data visualization and upload content directly to the CMS.The Internet Producer shall have extensive knowledge of the target region, its people, culture, institutions and political situation, and have the ability to adapt English material to the target language and translate the target language to English.The Internet Producer shall keep up to date on web, mobile, and social media trends.The Internet Producer shall become familiar with the Agencys Best Practices Guide and shall adhere to its policies.Knowledge of Spanish language required.",,0,1,0,,,,,,0
2725,Marketing Specialist,"HK, , ",Marketing,,"At Hayes-Corp, we create the fun stuff.  With a focus on software development for mobile platforms, we are constantly looking for talented people that can think outside the box logically and creatively.  Whether you define yourself as a coder, a marketer, or a number cruncher, we give you the resources to excel at what you do best. If you dont see a position you like, but think you have what it takes - just send your resume to #EMAIL_eaa37b5bf6cd5f435db52ea17edfd1f61c685afdf336f31ca36d3514a139e79e#.","Capture updated trends in the mobile app ecosystemProvide mobile market intelligence to the project teamsDeep understanding of  SEO, keywords, categories, genres, etcMobile Marketing content editing: App Naming, App Description, Screenshot, keywords, categories, etcManage, coordinate, and/ or support special projects as neededAnalyze and evaluate digital marketing trends of selected channels to improve the performance of online campaigns of them","Minimum 2 years of full time solid experience in mobile industry / 4 relevant marketing experience in digital/ internet media industryLocal understanding of the US MarketUS Marketing Degree holder preferableBroad and deep understanding of major players in mobile marketFluent in English, knowledge of Mandarin is an advantageHighly proactive, team-oriented, fluent communicator and committed",- Annual Bonus- Medical Plan- Mandatory Provident Fund- 5 days work- 15 days of Annual Leave,0,1,1,Full-time,Mid-Senior level,Bachelor's Degree,Information Technology and Services,Marketing,0
13596,Winter Associate,,,,"Our work at HPS is collaborative, centered on a fun, team-oriented environment. It is also extremely challenging. We focus on the most complex, difficult debates in public policy, requiring everyone at the firm to continuously learn and stretch themselves in new directions.If you are ready for the challenge and want to make an impact, we encourage you to apply and learn more about what makes HPS unique.","Summary of Position:Hamilton Place Strategies is a policy and public affairs consulting firm based in Washington, DC, providing analysis, communications, and advocacy solutions at the intersection of government, business, and media.  HPS is seeking associates to join our dynamic team working on some of the most challenging issues in public policy. Associates at HPS benefit from immediate client exposure and vast potential for professional development, while working in a fun, fast-paced, and challenging environment. Associates serve as project coordinators for clients and have the opportunity to lead and contribute to internal firm projects. The successful candidate will have strong leadership skills, related internship or full-time experience, and be a curious, proactive, high-achiever interested in making an impact on public policy. Duties &amp; Responsibilities:Handle media requests, arrange press interviews, assist in writing press releases, pitching journalists, etc.Build and maintain strong relationships with key reporters, producers, etc.Assist with executing events, including press conferences, speaking engagements, editorial board meetings and media trainingsLearn and implement field organizing techniques into a campaign structure; manage national field teamsDraft constituent level materials and track daily activitiesResearch and monitor clients business and industries to maintain a current knowledge baseResearch policy issues and message testingCollect, conduct and present quantitative and qualitative research &amp; analysisWrite concise memos that summarize and analyze findings Qualifications:Bachelor's degree in Political Science/Government, Business, Economics, Journalism, or related fieldAgency, publicly-traded company, or Hill/Administration experience a plusDemonstrated ability to prioritize multiple, competing prioritiesExcellent verbal and written communication skillsFamiliarity with business, economics, politics and the legislative processStrong proficiency in Microsoft Office Suite to include Word, Excel, and PowerPointWillingness to learn new skills and participate in new projectsAbility to take initiative; motivated and self-startingDemonstrated ability to work independently as well as in a small teamSolid presentation skills and strong attention to detail",,Competitive salaryExcellent health care &amp; retirement benefitsStipend for public transportationGenerous paid time off and holiday scheduleFrequent firm-wide social events and activitiesFormal leadership training programExcellent environment for learning and growth,0,1,0,,,,,,0
9987,Continuous Improvement Engineer,"US, IL, Oak Park",,65000-85000,"Executive search and recruitment agency, providing successful high growth organizations with highly talented, skilled, in-demand professionals","We are aggressiveness recruiting for a Six Sigma Black Belt/ Continuous Improvement Engineer for our facility in the Oak Park, IL.The ""perfect"" candidate for this role will possess:At least 3 years experience in a Continuous Improvement role, specifically working within a Manufacturing (Plant) environment.Must be skilled in Lean/Six Sigma Principles.Bachelors Degree in Engineering or closely related concentration.Strong Leadership skills.Expertise in developing strong technical network with plant resources and personnel.Will have experience coordinating or implementing specific skill training for management teams with both HR and plant staff.The position is offering a competitive salary, full benefits and relocation package.Please submit resume for consideration.",Applicants MUST be coming out of a Manufacturing Environment and/or a a great deal of Improvement Experience in a Manufacturing Environment.Bachelors Degree in Engineering or closely related concentrationStrong a steady work history,,0,1,0,Full-time,,Bachelor's Degree,Machinery,Engineering,0
14793,Web designer / User Experience expert,"GR, I, Athens",Development,,"Geotag Aeroview is an international company with offices in Athens, Greece, aiming at the global internet content &amp; services market through unique technological concepts and approaches. The company has just launched an online travel platform called #URL_ac1c6dbec071447140faecbdfd88fa69c78b0f4fa6cf8b3cfd5e29bc551f2050#.#URL_ac1c6dbec071447140faecbdfd88fa69c78b0f4fa6cf8b3cfd5e29bc551f2050# helps people discover beautiful destinations and choose the best place for their “sun and sea” vacations. With hundreds of thousands already using our service from more than 150 countries around the globe, a career at Geotag Aeroview offers many ways to make an impact in a fast growing organization.","Build world-class, well engineered web pagesTackle tough design and UX problemsCreate new ideas with our Design team and continually iterate on the experienceExperiment and iterate on the designs based on A/B testsTake full ownership of projects from design to implementation and deploymentGeotag Aeroview is an international company with offices in Athens, Greece, aiming at the global internet content &amp; services market through unique technological concepts and approaches. The company is currently developing a large-scale online travel project called #URL_ac1c6dbec071447140faecbdfd88fa69c78b0f4fa6cf8b3cfd5e29bc551f2050#. #URL_ac1c6dbec071447140faecbdfd88fa69c78b0f4fa6cf8b3cfd5e29bc551f2050# is being built to help people discover beautiful destinations and choose the best place for their “sun and sea” vacations. With hundreds of thousands already using our service from more than 150 countries around the globe, a career at Geotag Aeroview offers many ways to make an impact in a fast growing organization.","Academic Background: University Degree in relevant areas and / or in artistic fields will be considered an asset. A Postgraduate title (MSC or PhD) will be considered as a competitive advantage. Technical Skills  Expertise: The candidate, in addition to exceptional talent in graphic arts and design, must have very good knowledge and proven experience on:Proven experience in modern web development technologies, including CSS, HTML5, Javascript,  jQuery &amp; BootstrapExperience in responsive web site development is a must along with proven experience in UI-UX designProficiency with graphic design software, including Adobe Photoshop &amp; IllustratorAdditional knowledge of PHP or Python will be considered a very strong advantage Please include prior work of up to 3 websites, clearly describing your contribution in design and implementation. Team Skills: The position requires strong attention to detail, persistency and follow-through with the ability to multi-task, prioritize, take on responsibility, and work well under strict deadlines. Candidates would need to be able to collaborate efficiently with designers and engineers of various skillsets in the implementation of web and mobile software, working closely with the Design team to create compelling, engaging and differentiated user experiences. Foreign Languages: Fluency in English language is required (spoken and written). A second foreign language is considered as a competitive advantage.",,0,1,0,Full-time,Mid-Senior level,,"Leisure, Travel & Tourism",Information Technology,0
12242,Teaching Assistant,"US, FL, Jacksonville",,,,Requirements:                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                High school diploma or equivalent                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                           Description                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                            Assist the assigned teacher as requested in all areas of the daily classroom routine.                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                             Competitive salary with full benefits package.                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                         ,,,0,0,0,,,,,,0
1590,Customer Service Associate ,"US, NY, New York",,,"Novitex Enterprise Solutions, formerly Pitney Bowes Management Services, delivers innovative document and communications management solutions that help companies around the world drive business process efficiencies, increase productivity, reduce costs and improve customer satisfaction. For almost 30 years, clients have turned to us to integrate and optimize their enterprise-wide business processes to empower employees, increase productivity and maximize results. As a trusted partner, we continually focus on delivering secure, technology-enabled document and communications solutions that improve our clients' work processes, enhance their customer interactions and drive growth.","The Customer Service Associate will be based in New York, NY. The right candidate will be an integral part of our talented team, supporting our continued growth.Responsibilities:Indexing, classification and assignment of meta-file while completing data entry for various record typesPerform various Mail Center activities (sorting, metering, folding, inserting, delivery, pickup, etc.)Lift heavy boxes, files or paper when neededMaintain the highest levels of customer care while demonstrating a friendly and cooperative attitudeDemonstrate flexibility in satisfying customer demands in a high volume, production environmentConsistently adhere to business procedure guidelinesAdhere to all safety proceduresTake direction from supervisor or site managerMaintain all logs and reporting documentation; attention to detailParticipate in cross-training and perform other duties as assigned (Filing, outgoing shipments, etc)Operating mailing, copy or scanning equipmentShipping &amp; ReceivingHandle time-sensitive material like confidential, urgent packagesPerform other tasks as assignedScanning incoming mail to recipientsPerform file purges and pullsCreate files and ship filesProvide backfill when neededEnter information daily into spreadsheetsIdentify charges and match them to billingSort and deliver mail, small packages","Minimum Requirements:Minimum of 6 months customer service related experience requiredHigh school diploma or equivalent (GED) requiredPreferred Qualifications:Keyboarding and windows environment PC skills required (Word, Excel and PowerPoint preferred)Experience running mail posting equipment a plusExcellent communication skills both verbal and writtenLifting up to 55 lbs with or without accommodationsWillingness and availability to work additional hours if assignedWillingness to submit to a pre-employment drug screening and criminal background checkAbility to effectively work individually or in a team environmentCompetency in performing multiple functional tasksAbility to meet employer's attendance policy",,0,1,0,Full-time,Entry level,High School or equivalent,Legal Services,Customer Service,0
8485,Digital Marketing Manager,"BR, , ",,,,"Due to expansion of our business to the Portuguese-speaking market, Web Service uCoz is now hiring online marketing professionals.Key Responsibilities:▪    Leading establishment and development of overall marketing strategy▪    Evaluate strategies and tactics across channels and report on their effectiveness▪    Customer acquisition and maintenance (attracting new users and, for the most part, maintaining a productive relationship with them)▪    Monitoring blogging activity and staying in contact with Mass Media (MM)▪    Interacting with the web-industry MM and portals▪    Build comprehensive reports that provide detailed analysis of campaign spend and results, along with insights and recommendations▪    Other tasks assignedThe salary depends on the competencies of the candidate. ","▪    Experience in Internet Marketing (1year)▪    Fluency in Portuguese language▪    Intermediate English or Russian▪    Willingness to work distantly with the international team▪    Familiarity with SEO, SMO, Internet stats etc.▪    Excellent writing/editing skills▪    Strongly analitical mindset▪    Solid understanding of website design and web technologies▪    The demonstrated ability to work independently and autonomously▪    Strong motivation to produce results▪    Creativity and ability to turn ideas into actions▪    Ability to work with deadlines▪    A degree, preferably in Marketing, Advertising or Communications (can be a graduate student)  ",What we offerCompetitive salary and bonusesOpportunity to lead localization projects and be responsible for their successChallenging working experienceFlexible schedulesOpportunity to initiate new projects and partnershipsInternational team experienceInformal and efficient work environmentOpportunity to advance professionally and grow together with the company,0,0,0,Full-time,Mid-Senior level,Unspecified,Information Technology and Services,Project Management,0
6010,Account Executive,"US, , ",,,,"Join one of the fastest growing industries in the country! Large independent Coffee Company is seeking aggressive individuals to sell coffee, water filtration systems as well as related condiments. Sell business to business. There are thousands of businesses in the Houston and surrounding areas and 90% of them provide coffee and/or water service to their employees. We provide name brand products you have grown to know and trust. You will be thoroughly trained on all facets of the coffee and water industry. If you are a quick learner, proactive, assertive, self motivated, self disciplined with excellent communication skills, this is the job for you! Sales experience required.",,,0,0,0,Full-time,Entry level,,Food & Beverages,Sales,0
6873,Web Developer,"GR, B, Thessaloniki",,,"Εδώ συνήθως γράφουμε πόσο επαγγελματίες είμαστε και γιατί πρέπει να μας επιλέξετε και μπλα μπλα μπλα κανείς δεν τα διαβάζει. Εμείς δεν είμαστε επαγγελματίες. Είμαστε ερωτευμένοι με το design και άρρωστοι με τα social media. Αν θες συνεργάτες τους τύπους που θα σου λένε ακαταλαβίστικα και στο τέλος θα κάνουν αυτό που θέλουν -και όχι αυτό που έχεις δει στο site κάπου και σου άρεσε- γιατί αυτό είναι σωστό, αν θες τους τύπους που το κινητό τους χρειάζεται ιατρική επέμβαση για να αποκολληθεί από το χέρι τους και μιλάνε real life σε 140 χαρακτήρες, τότε μπορείς να μας βρεις στο #URL_55dc29916b0ab26294c87199535090bc80cb6d1d368a459080d29478291cf3cd# , στο #URL_8fca4c0559701fe8c29d197edd058d27e435d0ac48f0211f2e9094c22eadd4ef# στο #URL_d2187809a672a0f83fffaeaf8b68bcc9bc4148a30241b8b748999f579a5407ef# ή μερικές φορές στο γραφείο μας Μητροπόλεως 110, στο κέντρο της Θεσσαλονίκης )100m από τον λευκό πύργο μετρημένα!).","Web Media Studio is searching for a talented and experienced web developer to join us and play a leading role in the development of our new products. The successful candidate must demonstrate proven technical ability in developing enterprise-grade software products with a proven track record of developing web front-ends using technologies such as JavaScript, PHP, HTML5, CSS3  and an established JavaScript framework such as Twitter Bootstrap,Zend etc.","Advanced knowledge of PHP, MySQL, JavaScript, JQuery and experience with Zend Framework is essentialAdvanced knowledge of HTML &amp; CSS with the ability to transform complex layout (PSD) into pixel-perfect presentation-layer HTML/CSS templatesThorough understanding of cross browser compatibility issuesExperience incorporating custom fonts on the web utilizing tools like typekit, sift, cufon, etc.Proven ability to write clean structured and well documented code (Samples will be requested)Demonstrated experience with Magento Enterprise and Community Edition development. (optional but appreciated)","Why you will Love working for Web Media Studio:Competitive payFriendly co-workers/great teamSmall, very flexible companyYour good ideas will immediately be put into action",1,1,1,Full-time,,,Internet,,0
11088,NY | UX POOL,"US, NY, ",,,"Kettle is an independent digital agency based in New York City and the Bay Area. Were committed to making digital do more — for both people and brands — because we believe the digital world offers more than meets the eye. Every online experience has the potential to change a life, a brand, or even change the world. And we carry this philosophy into each and every product we build.",Let's store candidates we like here but don't have an opening for yet.,,,0,1,0,,,,,,0
11820,Backend Platform Engineer,"HU, BU, Budapest",Engineering,,"Based in San Francisco with a development office in Budapest, Hungary, Secret Sauce Partners enables the world's largest ecommerce companies to provide the best shopping experiences online. Our products are branded and built into ecommerce web sites and are actively used by over 40 million users. We are well funded, fun, dynamic and growing fast. Join us!","As a Backend Platform Engineer you are responsible for building the tools and internal services we use to integrate and process large data sets (30+ million new records a day) for our products. Our products, powered by data science, are integrated into the largest online stores in the US and Europe and provide shopping experiences that revolutionize ecommerce. You will be directly responsible for developing and maintaining the platform that powers our products.This is an opportunity for you to directly impact our 40 million users and to ensure their happiness. You will take pleasure in lots of hacking and iteration to explore the problem space and polish your code relentlessly to ship high quality products. If you are passionate about building awesome products at massive scale, this is your opportunity. RESPONSIBILITIESDesign and build software that scales to terabytes of dataCollaborate with R&amp;D to implement the algorithms that power our productsBuild the back-end component of one of the world's most advanced ecommerce analytics platforms we are developing to derive meaning from our users' dataPerform code reviews ","We are looking for smart people who are hungry to tackle massive challenges. If the responsibilities are attractive to you and you would like to test your capabilities in a dynamic, unstructured, fast paced environment, we would love to talk to you.TECHNOLOGY STACKWe believe in using the right tool for the right job instead of a particular set of technologies. Experience with our current stack is useful, but not required.Front End: AngularJS (+ tooling: grunt, bower)API: #URL_968b3a839b798052f33a95a811f8b2366cd66f6bcc98f2885bea5ee47d000659# (express/koa, mongoose), MongoDBAdmin/Back End: Ruby/Rails (activeadmin, sidekiq), PostgreSQLBig Data: Amazon S3/EMR/Redshift, Hadoop (Hive, Pig, Spark, Azkaban)DevOps: GitHub, TravisCI, Heroku, HipChat, Sentry, PagerDuty, New RelicQA: BrowserStack, JIRA, Selenium","Be part of a successful startup with phenomenal growth opportunitiesCasual, fun, international teamCompetitive compensation package, flexible vacation daysStock optionsCool downtown office with games, beer, wine and moreDrinks, lunches and dinners with the foundersRELOCATIONWe love people who want to move to Europe or want to move to Budapest within Europe. Our open arms policy includes relocation benefits so we pay for your move.ABOUT USBased in San Francisco with a development office in Budapest, Hungary, Secret Sauce Partners enables the world's largest ecommerce companies to provide the best shopping experiences online. Our products are branded and built into ecommerce web sites and are actively used by over 40 million users. We are well funded, fun, dynamic and growing fast. Join us!",0,1,0,Full-time,Associate,Associate Degree,Internet,Engineering,0
17636, Data Entry Representative,"US, TX, AUSTIN",CSR CENTER,35000-40000,"Our globally connected world has forced businesses to rethink how they communicate. Mobile lifestyles, a flood of technology, economic pressures and an “always on” mentality have created both opportunity and challenges for organizations of all sizes. Mitel® (NASDAQ: MITL) (TSX: MNW) is a global leader in business communications that easily connect employees, partners and customers -- anywhere, anytime and over any device, for the smallest business to the largest enterprise. Mitel offers customers maximum choice with one of the industrys broadest portfolios and the best path to the cloud. With more than US$1 billion in combined annual revenue, 60 million customers worldwide, and #1 market share in Western Europe, Mitel is a clear market leader in business communications.",Responsible for reviewing details of tickets to ensure they contain the data required by customers.Monitors the bin movements to ensure the activity seen matches the paperwork providedWatches contract levels vs volume remaining in bins and reports any discrepancy to quality managerProvides ticket copies to customers as neededAnswers any questions the customers may have on specific ticketsAdvises plant managers of any missing paperworkCollect paperwork from North and South Plant. Review bin information. To include sand being pulled from correct bin. Bin movement is in line with activity.esponsible for reviewing details of tickets to ensure they contain the data required by customers.Monitors the bin movements to ensure the activity seen matches the paperwork providedWatches contract levels vs volume remaining in bins and reports any discrepancy to quality managerProvides ticket copies to customers as neededAnswers any questions the customers may have on specific ticketsAdvises plant managers of any missing paperworkCollect paperwork from North and South Plant. Print items scanned from AmarilloReview bin information. To include sand being pulled from correct bin. Bin movement is in line with activity.Requirements:  - See more at: #URL_1d7626d7c5ba3ff0d31c49d2adda5d517e38070ec37e61c84db213e32cefb616#~WQG~1318232T1~99/?utm_source=Indeed&amp;utm_medium=organic&amp;utm_campaign=Indeed&amp;id=2129##URL_7ecc8c08b19f903a44541e4f593f10d4b74fce2e474d104bb1a084463ed14145#,HIGH SCHOOL DIPLOMAWORK GOOD ON A TEAM,"FULL TIMESALARY $35,000- $40,000HEALTH CARE, DENTAL, LIFE INSURANCE , STOCK PLAN OPTION",0,1,1,Full-time,Associate,High School or equivalent,Consumer Services,Customer Service,1
3578,Senior Systems Director,"US, WI, Milwaukee",,130000-150000,"Cigniti Inc. is a 15 year old Irving, TX based professional services and staffing company which helped companies like Trimac Transportation Services, The Freeman Company, Crowley Maritime Corporation, SUBWAY , Teletech Holdings, Heartland Payment Systems, Merrill Lynch, MoneyGram, Stroz Friedberg, HighRadius Corporation, Hertz, Lego, Exeter Finance, NQ Mobile, SecondStreet Media, IQ Interactive, Intuit, Kabam, Vungle, Winshuttle, Shazam Entertainment, Opera Software ASA, GXS India Technology Center, Commerce to identify the right talent for their ongoing and upcoming projects in multiple locations across the USA. The Cigniti Advantage: With a full time staff of over 500 certified consultants across the USA, Cigniti can be your go-to partner for all your staffing needsDedicated Talent Acquisition team(s) with over a decade and a half of experience and expertise in staffing IT/QA teams15% bench to meet those dynamic business and project demandsOnsite consultants working at client locations have access to a specialized R&amp;D team and senior leadership at no extra cost to our clientsDedicated account management that will take ownership of resource onboarding processes to ensure rapid induction of consultants to your projects Can we schedule a few minutes to talk about your recruitment strategy and plans?  We would like to explore opportunities to work in partnership with your team. We look forward to hearing from you.","Our client is an innovative and differentiated suite of valuation solutions and appraisal services for mortgage industry professionals nationwide; solutions include full-service nationwide appraisal management (AMC) services to automated appraisal review technology.  Our commitment to quality and service, combined with our unique partnership approach to clients and appraisers, sets us apart as the nations premier appraisal management company (AMC).  Do you believe in challenging the status quo?  Are you driven by a passion for doing things right and doing the right things?  Does a conversation about a new idea prompt another and lead you down a path where youre excited about finding a new solution?  Do you thrive in a dynamic environment surrounded by innovative technology thought leaders? If so, then this role is for you. Responsibilities of the Position:This position is responsible for applications development, maintenance, budget, vendor management and all Information Technology (IT) responsibilities for the  Development, QA and Support teams. This person will sit on the business board. Accountabilities include:• Develop and implement short-term and strategic initiatives to support business objectives. Direct and manage multiple development groups to ensure business objectives are understood and achieved. • Achievement of customer service level standards and through rigorous monitoring and solicitation of feedback to ensure customer needs are being met. • Support development and implementation of information technology strategic objectives. Provide leadership to ensure timely completion of projects. • Providing leadership for the management of Distributed and Open Source applications. • Provide technical consulting to internal and external customers to achieve business objectives related to technology solutions.   • Manage onshore and offshore Software vendors and related contract negotiations. • Management of budget requirements for responsible cost centers; management of expenses to achieve financial objectives. • Ensure governance and compliance of systems development methodology and deliverables. • Align staff according to skill set and experience to support IT projects for the business. • Promote staff development and cross training programs to improve technical and business knowledge and achieve exceptional results. • Lead and support performance of direct reports and programming staff; provide regular and effective performance coaching. • Participates and represents IT on cross site initiatives within Assurant. • Promote a high engagement culture marked by high creativity, accountability and ownership for results.","The successful candidate will have demonstrated an ability to get things done through others and have a high degree of business acumen.  The ability to establish strong personal credibility and build client relationships throughout the organization is needed in order to deliver client driven solutions.  Prior experience creating and leading in a dynamic and high engagement culture is strongly desired.  The individual must have strong assessment, problem solving, project management and client contracting skills.• Bachelor's degree in MIS, CS, etc. and/or 10 plus years of increasingly responsible professional experience including 4 years management experience. • Experience with and clear understanding of open Source development and databases(PostgreSQL), Technical skills in object oriented technology, web based system development and SQL databases. Required skills and competencies: • Advanced consulting and facilitation skills • Ability to build and cultivate relationships with internal and external constituents • Change leadership and a willingness to challenge the status quo • Excellent communication skills, executive presence and an ability to influence in a collaborative environment • Effective in managing competing priorities and client needs • Experience in new system development and managing large projects • Ability to manage a dynamic creative environment • Open to engaging in out of the box thinking as it pertains to work space, and development of new ideasStrategic orientation: able to clearly align work to the business strategyResults oriented: outcome and metrics driven; use of benchmarking to create, revise and deploy programsDeveloping organization capability: uses sound assessment methods and development activities to support the development of teams and organizations; introduces interventions that will enhance execution of strategic initiativesChange leadership: energizes and alerts groups to the need for change; willingly champions changeInfluencing skills: is able to build value based relationships and leverage them to get things done","Our client offers comprehensive benefits to full time and part time employees including medical, dental, STD, LTD, life insurance, 401(k), generous paid time off and much more. ",0,1,0,Full-time,Director,,Insurance,Information Technology,0
11814,Junior/Intermediate C# Developer,"NZ, N, Auckland",Development,,"HSAGlobal specialises in solutions enabling connected care, to reduce the impact of the heaviest users on the healthcare system.  Our vision for connected care applies across multiple health providers and is patient-centric. It includes integrated, shared, collaborative or co-ordinated care. HSAGlobal partners with forward-thinking healthcare purchasers and providers who want to shift towards a connected model of care. The HSAGlobal team is experienced in successfully leading connected care projects in private and government healthcare organisations in New Zealand, Australia and Singapore. Our experience and expertise is backed by a software platform purpose-built for connected care. Our team of professionals can share years of clinical, technical and change management experience, gained over a number of connected care projects, to help organisations succeed in implementing this new way of working.","HSAGlobal are a New Zealand based business specialising in solutions enabling connected care to reduce the impact of the heaviest users on the healthcare system.  We have a great team and are passionate about our product and our clients around the world.  We have ambitious targets and to help us achieve these we employ leading professionals.  We need a junior or intermediate level Developer who has skills using Microsoft technologies, primarily using C# as the development language and MS SQL Server as the database.  You will be able to demonstrate:Working knowledge of modern web frameworks and patterns.Experience with some of the following #URL_01a736d89d2f0b19de700923d2c312837e180465650804d0f84105352812bf9a#, SQL, VS2010, VS2012, TFS, Web Services, RESTful services, MVC, C# .NET, CSS, HTML5, and JavaScript.A good understanding of MVC concepts.Exposure to Microsoft Team Foundation Server, Git, Teamcity &amp; Visual Studio 2012.Experience with any of the following client-side JavaScript frameworks would be great but not essential: AngularJS,  KendoUI, #URL_5a11468a896168b38252a058e31c208d3a6365bddf04d3591eee9cdb4fb3f32d#, Twitter Bootstrap. We are also looking for someone with the right team fit.  To join this outstanding team you will need to show us that you are a self-starter capable of working both independently and in a team environment and that you have the ability to prioritise work to meet project goals.  You will be an excellent communicator and collaborative worker.  Having worked in an Agile environment would also be beneficial. So, if you want to be part of an exciting and dynamic environment where you can have a positive impact on peoples lives, then we would love to hear from you.",,,0,1,0,Full-time,,,Computer Software,Information Technology,0